CN115458401A - 形成氮化硅的方法、相关半导体结构及硅前体 - Google Patents

形成氮化硅的方法、相关半导体结构及硅前体 Download PDF

Info

Publication number
CN115458401A
CN115458401A CN202210956218.9A CN202210956218A CN115458401A CN 115458401 A CN115458401 A CN 115458401A CN 202210956218 A CN202210956218 A CN 202210956218A CN 115458401 A CN115458401 A CN 115458401A
Authority
CN
China
Prior art keywords
silicon
feature
silicon nitride
monolayer
nitrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210956218.9A
Other languages
English (en)
Inventor
苏密特·C·潘迪
布伦达·D·克劳斯
斯特凡·乌伦布罗克
约翰·A·斯迈思
蒂莫西·A·奎克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN115458401A publication Critical patent/CN115458401A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供形成氮化硅的方法、相关半导体结构及硅前体。在低于或等于约275℃的温度下通过原子层沉积在衬底上形成氮化硅。将所述已形成的氮化硅暴露于等离子体。所述氮化硅可形成为氮化硅的一部分或氮化硅的至少一个其它部分。氮化硅的所述部分及氮化硅的所述至少一个其它部分可暴露于等离子体处理。本发明还揭示形成半导体结构的方法、半导体结构及硅前体。

Description

形成氮化硅的方法、相关半导体结构及硅前体
分案申请的相关信息
本申请是申请号为201710691033.9、申请日为2017年8月14日、发明名称为“形成氮化硅的方法、相关半导体结构及硅前体”的中国发明专利申请的分案申请。
优先权主张
本申请案主张2016年8月12日提出申请的标题为“通过原子层沉积形成氮化硅的方法、相关半导体结构及形成半导体结构的方法,以及用于原子层沉积的硅前体(METHODSOF FORMING SILICON NITRIDE BY ATOMIC LAYER DEPOSITION,RELATED SEMICONDUCTORSTRUCTURES AND METHODS OF FORMING THE SEMICONDUCTOR STRUCTURES,AND SILICONPRECURSORS FOR ATOMIC LAYER DEPOSITION)”的第15/235,365号美国专利申请案的申请日期的权益。
技术领域
本文中所揭示的实施例涉及半导体制作(包含氮化硅的原子层沉积)、半导体结构(包含氮化硅)及形成半导体结构的方法。更明确地说,本发明的实施例涉及通过原子层沉积形成氮化硅的低温方法、半导体结构(包含氮化硅)、形成半导体结构的方法及用于原子层沉积的硅前体。
背景技术
氮化硅(SiN)是在集成电路(IC)的制造中广泛使用的材料。由于氮化硅的低反应性及高热稳定性,因此氮化硅被用作绝缘材料、掩模材料、蚀刻停止材料、势垒材料、间隔件材料等。
用于形成SiN的技术包含物理气相沉积(PVD)及化学气相沉积(CVD),例如高温热CVD、等离子体增强CVD(PECVD)、低压CVD(LPCVD)或低温热大气压CVD(APCVD)。在一种工艺中,硅烷(SiH4)与氨(NH3)发生反应以形成SiN。可使用其它硅前体,例如卤化硅。卤化硅的实例包含四氯化硅(SiCl4)、二氯硅烷(SiCl2H2)、三氯硅烷(SiHCl3)、四碘化硅(SiI4)、HSiI3、H2SiI2、H3SiI、H2Si2I4、H4Si2I2或H5Si2I。为产生高质量SiN,PVD及CVD工艺在通常大于750℃的高温下进行。然而,这些温度并不与当前IC中所使用的材料兼容,所述材料中的一些材料是热敏性的。另外,使用卤化硅作为硅前体并非合意的,因为例如盐酸(HCl)等反应性卤化物物质会作为副产物而产生。已知,反应性卤化物物质为在半导体制作中所使用的蚀刻材料,例如含硅材料。还已知,卤化硅使半导体制作中所使用的装备降级(例如,腐蚀所述装备)。
随着IC持续小型化,已开发用于在复杂形貌上形成SiN的额外技术。已使用原子层沉积(ALD)来形成SiN。硅烷、卤化硅及NH3 CVD前体的反应性足以在大于450℃的温度下或在等离子体环境中通过ALD而形成SiN。然而,所述前体的反应性并不足以使其在较低温度下或在不具有等离子体的情况下使用。虽然已使用等离子体增强ALD(PEALD)来形成SiN,但SiN的阶梯覆盖率并不足以保形地覆盖当前IC中存在的复杂形貌。PEALD前体包含H2n+2-y-z- wSinXyAzRw,其中n为1到10,y为1或大于1,z为0或大于0,w为0或大于0,X为碘或溴,且A为除X之外的卤素,且R为有机配位体。另外,氢被并入到SiN中,氢会降低SiN的期望性质。此外,在PEALD工艺的等离子体部分期间所产生的经激发物质对于IC上的所暴露材料并非具选择性,且因此,在经激发物质与所暴露材料之间会发生不期望反应。
还研究了使用基于胺的前体(例如,双(二乙基氨基)硅烷(BDEAS)及双(叔丁基氨基)硅烷(BTBAS))作为ALD前体来形成SiN。然而,使用这些基于胺的前体的反应具有高活化能,且因此,SiN的ALD无法在低温下进行。
还曾使用SiI4作为前体来通过ALD形成SiN。虽然形成SiN,但所得的SiN并不具有足以在具有复杂形貌的IC中使用的质量。
随着IC的大小持续减小且形貌变得越来越具挑战性,SiN的形成变得越来越复杂。由于用于形成SiN的要求变得越来越严格,因此上文所提及的技术已无法以所要程度的保形性及在低温下形成SiN。
发明内容
在一个方面中,本发明涉及一种形成氮化硅的方法。所述方法包括:在低于或等于约275℃的温度下通过原子层沉积在衬底上形成氮化硅;及将所述已形成的氮化硅暴露于等离子体。
在另一方面中,本发明涉及一种半导体结构。所述半导体结构包括:衬底上的至少一个特征,所述至少一个特征具有大于约10:1的纵横比;及所述至少一个特征上的氮化硅,所述氮化硅展现大于约85%的阶梯覆盖率。
在又一方面中,本发明涉及一种硅前体,所述硅前体包括硅烯化合物,所述硅烯化合物包括N,N’-二-叔丁基-1,3-二氮杂-2-硅杂环戊-4-烯-2-亚基。
附图说明
图1是根据本发明的实施例而形成的包含氮化硅材料的半导体结构的简化横截面图;
图2是根据本发明的实施例在12:1高纵横比结构上形成的氮化硅的隧穿电子显微图(TEM);
图3是在暴露于蒸汽之后随时间发生的SiN的损失百分比的曲线图;且
图4是在暴露于H2等离子体之后随时间发生的SiN的损失百分比的曲线图。
具体实施方式
本发明揭示在低温下在衬底上形成高质量氮化硅(SiN)的方法、包含SiN的半导体结构及形成半导体结构的方法。SiN在低于或等于约275℃的温度下通过原子层沉积(ALD)而形成。SiN的ALD形成在本文中称为SiN形成行为。在SiN形成行为期间未使用等离子体。在形成之后,SiN被暴露于等离子体处理,此在本文中称为等离子体处理行为。与通过常规PVD、常规CVD或常规ALD工艺制备的SiN相比,通过本发明的实施例而形成的SiN展现增大的对下伏材料的粘合性、增大的阶梯覆盖率、增大的湿法蚀刻速率阻力、增大的对蒸汽的阻力及增大的对氢(H2)等离子体的阻力。所得SiN还展现均匀组成及高度保形性,且经形成处于被控制在几埃
Figure BDA0003791468130000031
内的厚度。
如本文中所使用,术语“氮化硅”意指且包含包括硅原子及氮原子的化合物。氮化硅可包含化学计量量的硅及氮(例如Si3N4),或可包含非化学计量量的硅及氮(例如SixNy),其中x及y中的每一个独立地为从约0.5到约2.0的有理数。氮化硅还可包含Si(CH)xNy,其中x及y中的每一个独立地为从约0.5到约2.0的有理数。SiN中可存在少量的氢(例如从约4原子%到约12原子%)。SiN中可存在少量的碘或溴,例如低于约1原子%。
如本文中所使用,术语“衬底”意指且包含在其上形成额外材料的基底材料或构造。衬底可为半导体衬底、支撑结构上的基底半导体层、金属电极或其上形成有一或多个(种)材料、层、结构或区域的半导体衬底。半导体结构上的材料可包含但不限于半导电材料、绝缘材料、导电材料等。所述材料中的一或多个可为热敏性的。衬底可为常规硅衬底或包括半导电材料层的其它块状衬底。如本文中所使用,术语“块状衬底”不仅意指且包含硅晶片,而且意指且包含绝缘体上覆硅(“SOI”)衬底(例如,蓝宝石上覆硅(“SOS”)衬底及玻璃上覆硅(“SOG”)衬底)、在基底半导体底座上之外延硅层及其它半导体或光电材料(例如,硅锗、锗、砷化镓、氮化镓及磷化铟)。衬底可为经掺杂的或未经掺杂的。
如本文中所使用,术语“纵横比”意指且包含特征的高度与特征的宽度的比率。
通过本发明的实施例而形成的SiN可具有高度保形性,例如大于约80%的阶梯覆盖率、大于约85%的阶梯覆盖率、大于约90%的阶梯覆盖率或大于约95%的阶梯覆盖率。高度保形性使得能够在高密度高纵横比(HAR)半导体结构上形成SiN。由于SiN在低温下且在不使用等离子体的情况下形成,因此通过本发明的实施例做出的SiN的形成与衬底上在SiN的形成期间可能暴露的敏感性材料兼容。
以下说明提供具体细节(例如,材料类型、材料厚度及处理条件),以便提供对本文中所描述的实施例的透彻说明。然而,所属领域的技术人员将理解,可在不采用这些具体细节的情况下实践本发明的实施例。实际上,本发明之实施例可结合半导体工业中所采用的常规制作技术来实践。另外,本文中所提供的说明不形成对半导体结构或用于制造半导体结构的完整工艺流程的完整说明,且下文所描述的结构不形成完整半导体结构。下文仅详细地描述理解本文中所描述的实施例所必需的那些过程行为及结构。用以形成包含本文中所描述的结构的完整半导体结构的额外行为可通过常规技术而执行。
通过两个或多于两个SiN形成行为以及两个或多于两个等离子体处理行为,通过ALD在衬底上形成SiN。SiN的一部分最初在低于或等于约275℃的温度下通过ALD形成于衬底上。初始SiN部分在形成SiN的另一部分或另外若干部分之前会经受等离子体处理。SiN的另一部分或其它部分在低于或等于约275℃的温度下通过ALD形成于所述SiN部分上,接着为对每一部分进行等离子体处理。可重复SiN形成及等离子体处理行为,直到实现SiN的所要厚度为止。SiN形成行为中的每一个均在无等离子体环境中进行。即,在SiN部分的形成期间在反应器中不产生等离子体。反应器可为常规反应室或常规沉积室,例如常规ALD反应器,此处不再进行详细描述。然而,在SiN形成行为之后的等离子体处理行为期间使用等离子体。另一选择为,可形成SiN的两个或多于两个部分且接着使所有SiN部分经受单个等离子体处理。
在形成SiN之前,衬底任选地可暴露于氨(NH3)气体以制备衬底表面。NH3可被引入到含有衬底的反应器中,且衬底被暴露于NH3达足以使衬底与NH3发生反应的时间量。衬底与NH3之间的接触时间可介于从约10秒到约180秒的范围内。衬底可在从约150℃到约250℃的温度下且在从约1托到约10托的压力下暴露于NH3。在不受限于任何理论的情况下,认为,NH3会与用于SiN形成的衬底发生反应并制备所述衬底的表面。仅以实例方式,NH3可在于衬底上形成SiN部分之前为衬底表面提供所要表面终止。
为通过ALD形成SiN部分,可使用硅前体及氮前体来分别在衬底的表面上形成硅单层及氮单层。硅前体用作SiN的硅源,且氮前体用作SiN的氮源。硅前体及氮前体的反应性可足以使得不需要等离子体来形成硅单层及氮单层。因此,SiN的硅单层及氮单层可在反应器中存在硅前体及氮前体时在不产生等离子体的情况下形成。硅前体及氮前体可被依序引入到含有衬底以及与衬底表面接触的硅前体及氮前体的反应器中以形成硅单层及氮单层。硅前体可经调配以展现充分稳定性,使得硅前体在处理温度下不发生分解或不参与次级反应,同时所述硅前体的反应性还足以与衬底的表面发生反应(例如,化学吸附到衬底的表面)。硅前体在加热时可为稳定的且在不发生分解的情况下产生足以提供饱和剂量的硅前体的蒸汽压力。硅前体及氮前体中的每一者可经调配以形成于衬底的表面上的若干位点上(例如,化学吸附到所述位点)或者以自限制方式形成于下伏硅或氮单层上,从而分别产生硅单层及氮单层。可重复依序形成硅单层及氮单层,直到实现SiN的所要厚度为止。
虽然SiN形成行为在本文中描述为先将硅前体引入到反应器中且接着引入氮前体,但引入硅前体及氮前体的次序可被颠倒,使得氮前体被引入到反应器中、与衬底的表面接触,且过量的未反应氮前体被从反应器排出以在衬底上形成氮单层。接着,硅前体可被引入到反应器中、与氮单层接触,且过量的未反应硅前体被从反应器排出以在氮单层上形成硅单层。
SiN形成行为可在低于或等于约275℃(例如,低于或等于约250℃)的温度下进行。所述温度可介于从约90℃到约275℃的范围内,例如从约100℃到约275℃、从约200℃到约275℃或从约200℃到约250℃。反应器内及衬底的温度在SiN形成行为期间可低于或等于约275℃。相对于形成SiN的常规高温热CVD、PECVD或PVD工艺的热预算,在其下形成SiN的低温可减小热预算。尽管衬底上存在敏感性材料,但低温还可使得能够保形地形成SiN。不加限制地,敏感性材料可包含但不限于硫属化物材料、有机(例如,碳)材料、碳的同素异形体(例如,石墨)、反应性金属(例如,钨、铝或钽)或其它敏感性材料。因此,SiN可邻近于此些敏感性材料而形成而不发生降级、分解或以其它方式不利地影响材料。
为形成初始SiN部分的硅单层,硅前体可作为固体或液体被引入到反应器中、经加热变为反应物蒸汽且与衬底表面或与氮单层接触。硅单层或少量硅可形成于衬底上或形成于氮单层上。反应器可维持处于从约1托到约40托的压力,例如在SiN形成行为期间处于约20托。硅前体可以从约1标准立方厘米(sccm)到约2000sccm(例如,从约1sccm到约1000sccm)的流动速率被引入到反应器中。硅前体可借助载体气体被引入到反应器中,所述载体气体例如氦、氮、氩、氙、一氧化碳或其组合。载体气体可以从约1sccm到约500sccm的流动速率(例如,以约300sccm的流动速率)被引入到反应器中。硅前体可保持处于反应器中达足以使硅接触且化学吸附到衬底表面或氮单层并形成硅单层的时间量。仅以实例方式,硅前体可保持处于反应器中达从约0.01秒到约100秒,例如从约10秒到约25秒。在一个实施例中,硅前体保持处于反应器中达约10秒。硅前体任选地可保持处于反应器中达更长时间量以确保基本上完成成核作用。
硅前体可包含硅原子以及氢原子、碳原子、氮原子或其组合中的一或多个。硅前体可为溴化硅烷或碘化硅烷或者硅烯化合物。溴化硅烷或碘化硅烷可包含但不限于四碘化硅(SiI4)、四溴化硅(SiBr4)或其组合。在一些实施例中,硅前体为SiI4。在其它实施例中,硅前体为SiBr4。硅烯化合物可为具有二价硅原子且总体不具有电荷的含硅化合物。硅烯化合物可为饱和的或不饱和的(即,包含碳-碳双键)。在又其它实施例中,硅烯前体为N,N’-二-叔丁基-1,3-二氮杂-2-硅杂环戊-4-烯-2-亚基,其具有以下化学结构:
Figure BDA0003791468130000061
虽然个别前体称为四碘硅烷、四溴硅烷或硅烯化合物,但为简单方便起见,前体在本文中统称为“硅前体”。硅前体可为商业上可从众多来源获得的,所述来源例如阿法埃莎公司(Alfa Aesar)(马萨诸塞州沃德希尔市)、盖勒斯特公司(Gelest,Inc.)(宾夕法尼亚州莫里斯维尔市)、西格玛奥德里奇有限责任公司(Sigma-Aldrich Co.LLC)(密苏里州圣路易斯市)或施特雷姆化学公司(Strem Chemicals,Inc.)(马萨诸塞州纽伯里波特市)。
接着,可从反应器移除(例如,排出)过量的未反应硅前体。可通过将惰性气体(例如,氦、氩、氙或其组合)引入到反应器中而移除未反应硅前体。惰性气体可以从约1sccm到约100sccm的流动速率(例如,以约25sccm)流动到反应器中。可在从约1托到约10托的压力下(例如,在约2托下)对反应器进行排出操作达从约5秒到约30秒。在一些实施例中,硅前体被从反应器排出达约30秒。
为形成初始SiN部分的氮单层,氮前体可作为固体或液体被引入到反应器中、经加热变为反应物蒸汽且与硅单层接触。氮单层或少量氮可形成于硅单层上。反应器可维持处于从约1托到约40托的压力,例如处于约20托。氮前体可以从约1sccm到约2000sccm(例如,从约1sccm到约1000sccm)的流动速率被引入到反应器中。氮前体可借助载体气体被引入到反应器中,所述载体气体以从约1sccm到约2000sccm的流动速率(例如,以约1000sccm的流动速率)流动。氮前体可保持处于反应器中达足以使氮形成氮单层的时间量。仅以实例方式,氮前体可保持处于反应器中达从约10秒到约25秒。在一些实施例中,氮前体保持处于反应器中达约5秒。
氮前体可为NH3、肼(N2H4)、叔丁基肼、单烷基肼、二烷基肼或其组合。在一些实施例中,氮前体为NH3
接着,可通过将惰性气体(例如氦、氩、氙或其组合)引入到反应器中而从反应器移除(例如,排出)过量的未反应氮前体。惰性气体可以从约1sccm到约100sccm的流动速率(例如,以约25sccm)流动到反应器中。可在从约1托到约10托的压力下(例如,在约2托下)对反应器进行排出操作达从约5秒到约30秒。在一些实施例中,过量的氮前体被从反应器排出达约30秒。
上文所描述的压力、流动速率及时间可取决于以下各项中的一或多个而增大或减小:反应器的体积、引入硅前体及氮前体的效率、泵浦效率、间歇式炉构造、下伏在SiN下的衬底的材料及此项技术内已知的其它因素。
硅单层及氮单层可如上文所描述依序形成,直到实现SiN的初始部分的所要厚度为止。SiN的初始部分可经形成处于最小厚度,例如处于SiN的总厚度的至少约50%的厚度。初始SiN部分的厚度可足以基本上完全覆盖下伏在SiN下的材料,此防止或减少在后续处理期间对下伏材料的损坏。初始SiN部分的厚度可占SiN的总厚度的约80%到约90%。在一些实施例中,SiN的初始部分经形成为约
Figure BDA0003791468130000071
的厚度。
在不受限于任何理论的情况下,和氯硅烷(例如四氯硅烷(SiCl4))与NH3的反应相比,上文所提及硅前体中的一个与氮前体(例如NH3)的反应展现高放热性及较低能量势垒。由于所述反应具有较低能量势垒,因此上述硅前体适合用作低温(即,低于或等于约275℃)ALD前体。
在形成之后,SiN的初始部分可被暴露于等离子体处理。等离子体可产生于反应器中(例如,直接等离子体),或等离子体可产生于反应器外且被供应到反应器(例如,远程等离子体)。等离子体可具有惰性物质(例如氦、氩、氙或其组合)、反应性物质(例如氮(N2)、氢(H2)或其组合)或者惰性物质与反应性物质的组合。等离子体可通过常规技术而产生,本文中不再详细描述所述常规技术。等离子体产生与SiN的初始部分发生反应且使SiN的初始部分改性的自由基及其它物质。等离子体物质可基于下伏在SiN下的材料而选择。在一些实施例中,等离子体包含N2及氦。等离子体可为电感耦合等离子体(ICP)、电容耦合等离子体(CCP)或其组合。等离子体可以从约400kHz到约30MHz的频率操作。在一些实施例中,频率为约13.56MHz。等离子体具有从约150W到约600W的功率。频率及功率可取决于反应器设计及等离子体操作模式以及下伏在SiN下的衬底的材料而选择。上文所描述的压力、流动速率及时间可取决于以下各项中的一或多个而增大或减小:反应器的体积、引入硅前体及氮前体的效率、泵浦效率、间歇式炉构造及此项技术内已知的其它因素。
在对SiN的初始部分进行等离子体处理之后,可在低于或等于约275℃的温度下通过ALD在所述初始SiN部分上形成SiN的另一部分或其它部分,接着对所述另一部分或其它部分进行等离子体处理。SiN的另一部分或其它部分可如上文针对初始SiN部分所描述而形成,只不过所述另一部分或其它部分的厚度可能小于初始SiN部分的厚度。对SiN的每一部分的等离子体处理可如上文所描述而进行。所形成的额外SiN部分的数目及所进行的等离子体处理的次数可取决于SiN的预期使用而选择,如下文更详细描述。可重复SiN形成行为及等离子体处理行为,直到实现SiN的所要总厚度为止。
初始SiN部分及后续形成的SiN部分可经受等离子体处理以使SiN改性。在不受限于任何理论的情况下,认为,将已形成的SiN部分暴露于等离子体处理会增大SiN的密度,此提供改善的粘合性、增大的阶梯覆盖率、增大的湿法蚀刻速率阻力、增大的对蒸汽的阻力及增大的SiN对H2等离子体的阻力。等离子体处理还可减少SiN中的杂质,例如氢、碘或溴杂质。因此,与通过常规技术而形成的SiN相比,通过本发明的实施例而形成的SiN的质量更高。
等离子体处理提高SiN的质量的能力是意料之外的,因为据预期,等离子体会使下伏在SiN下的材料降级(例如,分解)且还会使例如反应器等装备降级(例如,腐蚀所述装备)。然而,由于在不存在硅前体及氮前体(即,硅前体及氮前体在SiN形成行为之后被从反应器移除)时进行等离子体处理行为,因此不存在使下伏敏感性材料或装备降级、分解或以其它方式不利地影响下伏敏感性材料或装备的反应性卤化物物质。因此,在SiN形成期间未观察到卤化物副产物污染。
因此,揭示一种形成SiN的方法。所述方法包括:在低于或等于约275℃的温度下通过原子层沉积在衬底上形成氮化硅。将已形成的氮化硅暴露于等离子体。
因此,揭示形成SiN的另一方法。所述方法包括通过原子层沉积在衬底上形成氮化硅的一部分。氮化硅的所述部分通过使硅前体及氮前体与衬底接触而形成,所述硅前体包括溴化硅烷、碘化硅烷、硅烯化合物或其组合,所述氮前体包括氨。氮化硅的所述部分被暴露于等离子体处理。通过原子层沉积在氮化硅的所述部分上形成氮化硅的至少一个其它部分。氮化硅的所述至少一个其它部分通过使硅前体及氮前体与氮化硅的所述部分接触而形成,所述硅前体包括溴化硅烷、碘化硅烷、硅烯化合物或其组合,所述氮前体包括氨。氮化硅的所述至少一个其它部分被暴露于等离子体处理。
因此,揭示一种包括硅烯化合物的硅前体。所述硅烯化合物包括N,N’-二-叔丁基-1,3-二氮杂-2-硅杂环戊-4-烯-2-亚基。
此处所包含的图解并不意指任何特定半导体结构的实际视图,而是仅为用于描述本文中的实施例的理想化表示。因此,图解可能未按比例绘制。
通过本发明的实施例而形成的SiN可用于具有拥有高纵横比的至少一个特征的半导体结构中。如图1中所展示,半导体结构102包含SiN 100、具有高纵横比的至少一个特征104及其中具有界定特征104的开口108的衬底106。SiN 100可保形地形成于特征104上。取决于SiN 100的预期应用,衬底106可为半导电材料、绝缘材料或导电材料。仅以实例方式,半导电材料可为硅、氢掺杂的硅、氧化硅、氢掺杂的氧化硅、镓等。绝缘材料可包含但不限于二氧化硅、氧化铪或氧化铝。导电材料可包含但不限于金属、导电掺杂的硅、导电掺杂的锗、金属硅化物、金属碳化物、相变材料等。衬底106的材料可通过常规技术而形成,例如物理气相沉积(PVD)、化学气相沉积(CVD)或ALD。此些技术是此项技术内已知的,且因此本文中不再详细描述。如图1中所展示,特征104由衬底106的材料形成。然而,衬底106上可包含构成特征104的一或多个(种)材料、层、结构或区域,例如堆叠结构。堆叠结构的材料可通过常规技术而形成,本文中不再详细描述所述常规技术。特征104可具有高纵横比,例如至少约10:1(例如至少约12:1、至少约13:1或至少约15:1)的纵横比。根据本发明的实施例,SiN 100可形成于特征104上。SiN可经形成处于从约3nm
Figure BDA0003791468130000091
到约100nm
Figure BDA0003791468130000092
(例如,从约4nm到约20nm或从约4nm到约9nm)的范围内的总厚度。然而,取决于其中将使用SiN的半导体装置结构,SiN还可经形成处于更大厚度。保形地形成于特征104上的SiN 100可展现大于约80%的阶梯覆盖率、大于约85%的阶梯覆盖率、大于约90%的阶梯覆盖率或大于约95%的阶梯覆盖率。另一选择为,SiN 100可作为平面层(未展示)形成于半导体结构102上。
半导体结构102的SiN 100可用于具有至少一个高纵横比特征的半导体装置结构中,例如用于晶体管、存储器、逻辑装置、存储器阵列等中。SiN可用于包含但不限于以下各项的半导体装置结构中:动态随机存取存储器(DRAM)装置、“或非”(NOR)快闪存储器装置或三维(3D)交叉点存储器装置。SiN 100可作为绝缘材料、掩模材料、蚀刻停止材料、势垒材料或间隔件材料用于半导体装置结构中。用于SiN形成行为的工艺条件及ALD前体以及用于等离子体处理行为的工艺条件可取决于将要形成的半导体装置结构以及所要粘合性、阶梯覆盖率、湿法蚀刻速率阻力、对蒸汽的阻力及SiN 100对H2等离子体性质的阻力而选择。包含SiN 100的半导体装置结构可通过修整SiN形成行为及等离子体处理行为的条件而形成。仅以实例方式,如果SiN 100将用于DRAM装置中,那么SiN 100可在约250℃的温度下形成,而如果SiN 100将用于3D交叉点存储器装置中,那么SiN 100可在约200℃的温度下形成。仅以实例方式,在DRAM装置的制作中将使用的等离子体处理行为可为ICP模式等离子体,而在3D交叉点存储器装置的制作中将使用的等离子体处理行为可为CCP模式等离子体。
可进行额外工艺行为以形成完整半导体装置结构。额外工艺行为可通过常规技术而形成,本文中不再详细描述所述常规技术。
因此,揭示一种形成半导体结构的方法。所述方法包括在低于或等于约275℃的温度下通过原子层沉积在衬底上的至少一个特征上形成氮化硅。所述至少一个特征具有大于约10:1的纵横比。已形成的氮化硅被暴露于等离子体。
因此,揭示一种半导体结构。所述半导体结构包括衬底上的至少一个特征及所述至少一个特征上的氮化硅。所述至少一个特征具有大于约10:1的纵横比且所述氮化硅展现大于约85%的阶梯覆盖率。
以下实例用于更详细地阐释本发明的实施例。对于本发明的范围来说,不将这些实例解释为穷尽性或排他性。
实例
实例1
SiN形成
SiN形成于衬底上,所述衬底包含具有12:1的纵横比的HAR堆叠。所述堆叠中的材料中的至少一些材料包含热敏性材料。SiN是使用配备有ALD漏斗盖室的AppliedMaterials
Figure BDA0003791468130000101
框架而沉积到HAR堆叠上。在开始SiN ALD沉积之前,衬底被暴露于NH3达30秒。卡盘在ALD沉积期间维持处于200℃的温度。SiN的初始部分通过进行90次如下循环而形成于HAR堆叠的侧壁上:将SiI4引入到室中达10秒、接着为30秒的排出操作,将NH3引入到室中达5秒、接着为30秒的排出操作。初始SiN部分被暴露于包含N2及He等离子体的第一次等离子体处理达60秒,其中等离子体在300W下于ICP模式中产生。第二SiN部分通过进行30次如下循环而形成:将SiI4引入到室中达10秒、接着为30秒的排出操作,将NH3引入到室中达5秒、接着为30秒的排出操作。衬底经受包含N2及He等离子体的第二次等离子体处理达60秒,其中等离子体在300W下于ICP模式中产生。第三SiN部分通过进行30次如下循环而形成:将SiI4引入到室中达10秒、接着为30秒的排出操作,将NH3引入到室中达5秒、接着为30秒的排出操作。衬底经受包含N2及He等离子体的第三次等离子体处理达60秒,其中等离子体在300W下于ICP模式中产生。
实例2
SiN形成
ALD沉积及等离子体处理行为如实例1中所描述而进行,只不过衬底在开始SiN的ALD沉积之前不被暴露于NH3
实例3
粘合性、阶梯覆盖率、湿法蚀刻速率及蒸汽阻力性质
图2中展示如实例1中所描述而沉积的SiN的隧穿电子显微图(TEM)。尽管HAR堆叠包含至少五种不同材料,但仍观察到SiN与HAR堆叠的材料的良好粘合性。如图2中展示,SiN沿HAR堆叠的侧壁的整个长度粘附到HAR堆叠的侧壁。
如实例1中所描述而沉积的SiN的阶梯覆盖率经测量为至少约85%。在许多位置中,阶梯覆盖率大于约90%。
如实例1中所描述而沉积的SiN在2000:1的水溶液:HF中具有介于约
Figure BDA0003791468130000111
与约
Figure BDA0003791468130000112
之间的湿法蚀刻速率。以比较方式,通过常规技术而形成的SiN展现约
Figure BDA0003791468130000113
Figure BDA0003791468130000114
的湿法蚀刻速率。因此,所述SiN与通过常规技术而形成的SiN相比具有相当的湿法蚀刻速率阻力。
如实例1中所描述而沉积的SiN(样本a)与不暴露于等离子体处理的对照样本(样本b及c)相比展现增大的对蒸汽的阻力,如图3中所展示。对照样本的SiN是在250℃下沉积。如实例1中所描述而沉积的SiN与对照样本的SiN在300℃下被暴露于蒸汽退火,且在蒸汽退火之后的不同时间测量SiN的损失百分比。图3展示在蒸汽退火之后随时间发生的SiN的损失百分比的曲线图。如实例1中所描述而沉积的SiN(样本a)与两个对照样本(样本b及c)相比展示较低损失,且因此展现增大的对蒸汽的阻力。
实例4
H2等离子体阻力
SiN如实例1中所描述而沉积,只不过等离子体处理包含暴露于Ar-He等离子体达20秒(样本c)或达40秒(样本d)。所得的SiN(样本c及d)暴露于H2等离子体,且在H2等离子体暴露之后的不同时间测量SiN的损失百分比。样本a及b包含如上文针对样本c及d所描述而沉积的SiN,但暴露于不同等离子体及/或具有不同暴露时间。图4展示针对SiN且针对对照样本(样本e)的在H2等离子体暴露之后随时间发生的SiN的损失百分比的曲线图。与通过常规技术而形成的SiN的对照样本(样本e)相比,样本c及d的SiN展现增大的对H2等离子体的阻力。
虽然已结合各图描述特定说明性实施例,但所属领域的技术人员将认识到并了解:本发明所涵盖的实施例不限于本文中明确展示及描述的那些实施例。而是,可在不背离本发明所涵盖的实施例的范围的情况下做出对本文中所描述的实施例的许多添加、删除及修改,例如后文中所主张的那些内容,包含合法等效内容。另外,来自一个所揭示实施例的特征可与另一所揭示实施例的特征组合,同时仍涵盖于本发明的范围内。

Claims (20)

1.一种形成半导体装置的方法,其包括:
在材料上形成至少一个特征,所述至少一个特征具有大于约10:1的纵横比且包括与在其上形成所述至少一个特征的所述材料不同的材料;
在低于或等于约275℃的温度下且在没有等离子体的情况下,使所述至少一个特征与硅前体和氮前体接触以在所述至少一个特征上形成硅单层和氮单层;及
将所述硅单层和所述氮单层暴露于等离子体以在所述至少一个特征上形成氮化硅,所述氮化硅沿其长度具有基本上均匀的厚度。
2.根据权利要求1所述的方法,其中在材料上形成至少一个特征包括从包括硫属化物材料、有机材料、碳的同素异形体、反应性金属及其组合的材料形成所述至少一个特征。
3.根据权利要求1所述的方法,其中在低于或等于约275℃的温度下使所述至少一个特征与硅前体和氮前体接触包括在低于或等于约250℃的温度下使所述至少一个特征与所述硅前体和所述氮前体接触。
4.根据权利要求1所述的方法,其中在低于或等于约275℃的温度下使所述至少一个特征与硅前体和氮前体接触包括在从约200℃至约250℃的温度下使所述至少一个特征与所述硅前体和所述氮前体接触。
5.根据权利要求1所述的方法,其中将所述硅单层和所述氮单层暴露于等离子体以在所述至少一个特征上形成氮化硅包括在将所述氮化硅形成为达所述氮化硅的总厚度的至少约50%的厚度之后,将所述硅单层和所述氮单层暴露于所述等离子体。
6.根据权利要求1所述的方法,其中将所述硅单层和所述氮单层暴露于等离子体以在所述至少一个特征上形成氮化硅包括在将所述氮化硅形成为达所述氮化硅的总厚度的从约80%到约90%的厚度之后,将所述硅单层和所述氮单层暴露于所述等离子体。
7.根据权利要求1所述的方法,其中将所述硅单层和所述氮单层暴露于等离子体以在所述至少一个特征上形成氮化硅包括在将所述硅单层和所述氮单层暴露于所述等离子体之前,将所述硅单层和氮单层形成为期望的厚度。
8.根据权利要求1所述的方法,其中将所述硅单层和所述氮单层暴露于等离子体以在所述至少一个特征上形成氮化硅包括通过原子层沉积形成所述氮化硅的至少一部分。
9.根据权利要求1所述的方法,其中将所述硅单层和所述氮单层暴露于等离子体以在所述至少一个特征上形成氮化硅包括形成沿其整个长度直接接触所述至少一个特征的所述氮化硅。
10.一种形成半导体装置的方法,其包括:
在材料上形成至少一个特征,所述至少一个特征具有大于约10:1的纵横比,且包括与在其上形成所述至少一个特征的所述材料不同的材料;
在低于或等于约275℃的温度下且在没有等离子体的情况下,通过原子层沉积在所述至少一个特征上形成氮化硅,所述氮化硅直接接触所述至少一个特征且所述氮化硅沿其长度具有基本上均匀的厚度;和
在将所述氮化硅形成为期望的厚度之后,将所述氮化硅暴露于等离子体。
11.根据权利要求10所述的方法,其中通过原子层沉积在所述至少一个特征上形成氮化硅包括使硅前体和氮前体与所述至少一个特征接触,所述硅前体包括溴化硅烷、碘化硅烷、硅烯化合物或其组合。
12.根据权利要求10所述的方法,其中通过原子层沉积在所述至少一个特征上形成氮化硅包括使氮前体和包括四碘化硅、四溴化硅、N,N’-二-叔丁基-1,3-二氮杂-2-硅杂环戊-4-烯-2-亚基或其组合的硅前体与所述至少一个特征接触。
13.根据权利要求10所述的方法,其中在所述至少一个特征上形成氮化硅包括形成具有从约
Figure FDA0003791468120000021
到约
Figure FDA0003791468120000022
的厚度的所述氮化硅。
14.一种半导体结构,其包括:
衬底上的至少一个特征,所述至少一个特征具有大于约10:1的纵横比;及
所述至少一个特征上的氮化硅,所述氮化硅展现大于约85%的阶梯覆盖率。
15.根据权利要求14所述的半导体结构,其中所述至少一个特征包括所述衬底上的硫属化物材料、碳材料或反应性金属中的至少一种。
16.根据权利要求14所述的半导体结构,其中所述氮化硅展现大于约90%的阶梯覆盖率。
17.根据权利要求14所述的半导体结构,其中所述至少一个特征具有约12:1的纵横比。
18.根据权利要求14所述的半导体结构,其中所述至少一个特征具有约15:1的纵横比。
19.根据权利要求14所述的半导体结构,其中所述氮化硅直接接触所述至少一个特征的侧壁。
20.一种硅前体,其包括硅烯化合物,所述硅烯化合物包括N,N’-二-叔丁基-1,3-二氮杂-2-硅杂环戊-4-烯-2-亚基。
CN202210956218.9A 2016-08-12 2017-08-14 形成氮化硅的方法、相关半导体结构及硅前体 Pending CN115458401A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/235,365 2016-08-12
US15/235,365 US9865456B1 (en) 2016-08-12 2016-08-12 Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
CN201710691033.9A CN107731659A (zh) 2016-08-12 2017-08-14 形成氮化硅的方法、相关半导体结构及硅前体

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201710691033.9A Division CN107731659A (zh) 2016-08-12 2017-08-14 形成氮化硅的方法、相关半导体结构及硅前体

Publications (1)

Publication Number Publication Date
CN115458401A true CN115458401A (zh) 2022-12-09

Family

ID=60812863

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202210956218.9A Pending CN115458401A (zh) 2016-08-12 2017-08-14 形成氮化硅的方法、相关半导体结构及硅前体
CN201710691033.9A Pending CN107731659A (zh) 2016-08-12 2017-08-14 形成氮化硅的方法、相关半导体结构及硅前体

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201710691033.9A Pending CN107731659A (zh) 2016-08-12 2017-08-14 形成氮化硅的方法、相关半导体结构及硅前体

Country Status (3)

Country Link
US (3) US9865456B1 (zh)
CN (2) CN115458401A (zh)
TW (1) TWI638064B (zh)

Families Citing this family (261)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9865456B1 (en) * 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) * 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019212938A1 (en) * 2018-04-29 2019-11-07 Applied Materials, Inc. Low temperature atomic layer deposition of silicon nitride
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
SG11202107377VA (en) * 2019-01-24 2021-08-30 Applied Materials Inc Methods for depositing silicon nitride
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20210106003A (ko) * 2019-02-14 2021-08-27 엔테그리스, 아이엔씨. 질화규소의 선택적 증착
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11158561B2 (en) * 2019-05-01 2021-10-26 Micron Technology, Inc. Memory device with low density thermal barrier
US10964621B2 (en) 2019-05-01 2021-03-30 Micron Technology, Inc. Memory device with high resistivity thermal barrier
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11955370B2 (en) * 2020-04-28 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11515145B2 (en) 2020-09-11 2022-11-29 Applied Materials, Inc. Deposition of silicon boron nitride films
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN112582536B (zh) * 2020-12-10 2023-03-24 长江先进存储产业创新中心有限责任公司 一种半导体器件及其制备方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114686972A (zh) * 2022-02-21 2022-07-01 上海华力集成电路制造有限公司 一种改善外延层异常生长的方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1195317C (zh) * 2002-06-14 2005-03-30 台湾积体电路制造股份有限公司 超薄氮化硅/氧化硅栅极介电层的制造方法
US7125582B2 (en) * 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US20140023794A1 (en) * 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
KR20140059107A (ko) * 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘 질화물 박막 제조 방법
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20140273531A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9879340B2 (en) * 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
US9385318B1 (en) * 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US10410857B2 (en) * 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9865456B1 (en) * 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures

Also Published As

Publication number Publication date
TW201812084A (zh) 2018-04-01
CN107731659A (zh) 2018-02-23
TWI638064B (zh) 2018-10-11
US9865456B1 (en) 2018-01-09
US10964532B2 (en) 2021-03-30
US11651955B2 (en) 2023-05-16
US20180144927A1 (en) 2018-05-24
US20210217611A1 (en) 2021-07-15

Similar Documents

Publication Publication Date Title
US11651955B2 (en) Methods of forming silicon nitride including plasma exposure
JP7158616B2 (ja) SiNの堆積
JP7135187B2 (ja) SiOCN薄膜の形成
CN109423617B (zh) 通过循环沉积过程在衬底的电介质表面上沉积钼金属膜的方法和相关联的半导体器件结构
KR102646467B1 (ko) 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
TWI789733B (zh) 在反應空間中在基板上形成氮化矽薄膜的方法
KR102180890B1 (ko) Nmos 금속 게이트 물질들, 그 제조 방법들, 및 금속계 전구체들을 사용하는 cvd 및 ald 프로세스들 장비
CN109252145B (zh) 用于在低温下沉积SiN的Si前体
KR20190024834A (ko) 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP4896016B2 (ja) 先端トランジスタ製造のための低熱量窒化シリコンの形成
JP4685104B2 (ja) 低温シリコン化合物堆積
JP2018150627A (ja) モリブデン含有皮膜の堆積のためのビス(アルキルイミド)−ビス(アルキルアミド)モリブデン分子
JP2020528670A (ja) 酸化ケイ素上の超薄型アモルファスシリコン膜の連続性を向上させるための前処理手法
JP2020029618A (ja) 周期的堆積プロセスによって基材の誘電体表面上にモリブデン金属膜を堆積させる方法および関連する半導体デバイス構造
TW202129843A (zh) 以氮化鈦為主之保形薄膜及其形成方法
KR20210024418A (ko) 기판 표면 위에 다결정질 몰리브덴 막을 형성하는 방법 및 이와 관련된 다결정 몰리브덴 막을 포함한 구조
Roh et al. Effect of deposition temperature and surface reactions in atomic layer deposition of silicon oxide using Bis (diethylamino) silane and ozone
CN115807217A (zh) 空气间隙形成方法和选择性沉积方法
CN114606477A (zh) 用于氮化硅沉积的硅前体
JP7164789B2 (ja) 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
US10366879B2 (en) Dry and wet etch resistance for atomic layer deposited TiO2 for SIT spacer application
TWI843623B (zh) 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
JP2023507308A (ja) 高品質Si含有膜を形成するための超低温ALD

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination