CN111886688A - 静电卡盘的保护涂层 - Google Patents
静电卡盘的保护涂层 Download PDFInfo
- Publication number
- CN111886688A CN111886688A CN201980020652.2A CN201980020652A CN111886688A CN 111886688 A CN111886688 A CN 111886688A CN 201980020652 A CN201980020652 A CN 201980020652A CN 111886688 A CN111886688 A CN 111886688A
- Authority
- CN
- China
- Prior art keywords
- coating
- esc
- chucking surface
- chucking
- substrate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70691—Handling of masks or workpieces
- G03F7/707—Chucks, e.g. chucking or un-chucking operations or structural details
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68757—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
- H01L21/6833—Details of electrostatic chucks
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B23—MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
- B23Q—DETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
- B23Q3/00—Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
- B23Q3/15—Devices for holding work using magnetic or electric force acting directly on the work
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70691—Handling of masks or workpieces
- G03F7/707—Chucks, e.g. chucking or un-chucking operations or structural details
- G03F7/70708—Chucks, e.g. chucking or un-chucking operations or structural details being electrostatic; Electrostatically deformable vacuum chucks
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/708—Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
- G03F7/7095—Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6835—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/6875—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
-
- H—ELECTRICITY
- H02—GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
- H02N—ELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
- H02N13/00—Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Mechanical Engineering (AREA)
- Health & Medical Sciences (AREA)
- Environmental & Geological Engineering (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
静电卡盘(ESC),其包括卡持表面,该卡持表面具有覆盖有氧化硅(SiO2)、氮化硅(Si3N4)或二者的组合的至少一部分。可以在衬底处理工具的处理室中原位施加该涂层,并定期将其去除并重新原位施加以产生新鲜的涂层。
Description
相关申请的交叉引用
本申请要求2018年3月20日提交的美国申请号15/926,349的权益,该美国申请通过引用并入本文以用于所有目的。
背景技术
静电卡盘(ESC)广泛用于各种制造工具中,例如用于薄膜沉积、等离子蚀刻、光刻胶剥离、衬底清洁以及光刻、离子注入等。
ESC通过在卡持表面(chucking surface)上施加一种极性的电荷并在衬底上施加相反极性的电荷来进行操作。由于相反的电荷吸引,因此衬底将通过产生的静电力被保持或夹持就位。
库仑(Coulombic)和约翰森-拉赫贝克(Johnsen-Rahbek,即“J-R”)是众所周知的两种类型的ESC。两者均具有卡持表面,该卡持表面包括形成在电极上方的电介质。对于库仑ESC,电介质是绝缘体,而对于J-R型卡盘,电介质具有有限的电阻(例如,室温下的体电阻率范围为5.0e+15至.0e+16ohm-cm,而在550℃下,体电阻率为5.0e+8至5.0e+9ohm-cm)。
发明内容
公开了一种静电卡盘(ESC)。该ESC包括具有被布置为卡持衬底的卡持表面的基座。该卡持表面包括覆盖有氧化硅(SiO2)、氮化硅(Si3N4)或两者的组合的涂层的至少一部分。
还公开了一种在衬底处理工具的处理室内将涂层沉积到ESC的卡持表面上的方法。在该方法的非排他性变形中,沉积的涂层是氧化硅或氮化硅,或两者的组合。
进一步公开了一种方法,该方法(a)使用基于卤素的清洁剂去除形成在ESC基座的卡持表面上的第一涂层,以及(b)沉积第二涂层,该第二涂层形成在ESC基座的卡持表面上。通过去除第一涂层并用第二涂层将其取代,磨损的第一涂层可以用新的第二涂层刷新。在该方法的非排他性变形中,沉积的涂层是氧化硅或氮化硅,或两者的组合。
在又一个实施方案中,可以在处理室中原位进行前述清洁和涂覆。例如,在常规维护期间,可以使用基于卤素的清洁剂来去除不希望有的沉积物和颗粒,这些沉积物和颗粒已经作为衬底处理的副产物被收集在处理室内部的表面上。清洁之后,通常在随后的等离子体沉积步骤中将氧化硅和/或氮化硅的涂层施加到清洁的表面上。由于对ESC的卡持表面和处理室的清洁和重新涂覆顺序基本相同,因此可以与处理室同时,在处理室原位清洁和重新涂覆卡持表面。
使用在ESC的卡持表面上形成的氧化硅和氮化硅具有许多优点。该涂层可用于保护卡持表面免受由于侧向剪切力所引起的降解和磨损,该侧向剪切力由衬底和卡持表面之间不同的热膨胀率所引起。氧化硅和氮化硅的涂层可以很容易地在处理室原位沉积在卡持表面上并去除。结果,可以将旧的磨损的涂层去除,并根据需要或以固定的时间间隔用新的涂层取代,所有都在处理室内部进行。
在其他非排他性实施方案中,施加到ESC卡盘的涂层可以是氧化硅,氮化硅,氧化硅和氮化硅两者的组合,或包括一层或多层的多层结构,各自为氧化硅和氮化硅。
附图说明
通过参照以下结合附图的描述,可以最好地理解本申请及其优点。
图1是根据非排他性实施方案的用于处理衬底的衬底制造工具的框图。
图2是根据非排他性实施方案的静电基座的横剖视的透视图。
图3是示出根据非排他性实施方案的用于将涂层周期性地原位施加到处理室内的ESC的卡持表面的步骤的流程图。
图4A和图4B是根据非排他性实施方案的具有不同保护涂层的衬底的放大剖视图。
图5是根据非排他性实施方案的可被配置为用于控制衬底制造工具的控制器的计算系统的框图。
在附图中,有时将相似的附图标记用于指示相似的结构元件。还应当理解,附图中的描述是示意性的,并且不一定按比例绘制。
具体实施方式
现在将参照如附图所示的本申请的一些非排他性实施方案来详细描述本申请。在以下描述中,阐述了许多具体细节以便提供对本公开的透彻理解。然而,对于本领域的技术人员显而易见的是,可以在没有一些或所有这些具体细节的情况下实践本公开。在其他情况下,未详细描述公知的处理步骤和/或结构,以免不必要地使本公开不清楚。
ESC,不管类型如何,都有几个限制。在沉积衬底(例如半导体晶片)的过程中经常使用氟。ESC的夹持表面暴露于氟会在电介质中引入电荷陷阱,从而对其电性能产生负面影响,降低用足够的力进行夹持的能力。而且,残留的碳作为许多CVD工艺的副产物也可在夹持表面上形成。由于碳是绝缘体,其在夹持表面上的存在会干扰J-R型ESC,还降低了夹持强度。
已知在ESC的夹持表面上使用静电防护盖(PEC)以防止暴露于氟和/或残留的碳。但是,使用PEC会带来许多复杂性。当不使用PEC时,需要在处理室内或附近的存储位置。另外,需要一种机制来在其存储位置和ESC衬底之间移动和定位PEC。这样的机制往往产生不希望有的颗粒,需要定期维护,并且经常破裂。另外,需要控制软件来控制该机制的操作。这种控制软件往往增加工具的复杂性并增加开发成本。
图1是可以在非排他性实施方案中使用的衬底处理工具100的框图。在该示例中,衬底处理工具100包括处理室102、气体源104、射频(RF)源106、偏置源108、排放泵110、温度控制器112、真空源114和控制器116。处理室102包括耦合到RF源106的电极118,以及用于卡持衬底122的静电卡盘(ESC)基座120。
在工具100的操作过程中,将衬底122卡持在处理室102内的ESC基座120上,该处理室102包含由气体源104提供的气体。当将来自RF源106的RF功率施加到电极118上时,在处理室102内产生用于处理衬底122的等离子体。根据工具的类型,等离子体可以以包括薄膜沉积、蚀刻等的多种方式用于处理衬底122。
尽管未示出,但是应当注意,在替代实施方案中,RF源106可以替代地耦合至基座120。在该替代实施方案中,基座120还充当用于在处理室102内生成等离子体的电极。
同样在操作期间,控制器116可以选择性地控制处理室102内的许多操作,例如经由偏压源108将偏压施加到衬底122,经由排放泵110使等离子体或其他气体从处理室102中排出,经由温度控制器112和真空源114控制基座120和/或衬底122的温度。由于这些元件中的每一个及其操作是众所周知的,因此为了简洁起见在此不提供详细说明。
在图1中,在各种部件108至116与处理室102和/或基座120之间提供各种电气和/或管道。应当注意,为了不使附图的细节过于复杂,图示的各种电气和/或管道连接简化为单个连接124。很好地理解的是,各种电气和/或管道进出处理室102和/或到基座120的路由非常复杂,但是为了简洁起见,在此不作说明。
在一个非排他性实施方案中,工具100可以包括远程等离子体源126或与远程等离子体源126协同操作。在远程等离子体源126中生成的等离子体被供应到处理室102。使用远程等离子体发生器的一种可能的原因将减少或消除处理室102内各表面的通常不希望有的离子轰击。例如,在非排他性实施方案中,远程等离子体源126可以用于清洁处理室102,这往往通过减少处理室102内的各种表面和/或部件暴露于离子轰击(这通常会加速降解)来增加它们的使用寿命。
在替代实施方案中,基座120是库伦和约翰森-拉贝克(“J-R”)型ESC。
在其他实施方案中,基座120可以由多种导热材料制成。这样的材料可以包括但不限于氮化铝、氧化铝、陶瓷、其他导热材料或其任何组合。
工具100可以是几种不同类型的化学气相沉积(CVD)工具之一,例如低压CVD(LPCVD)、超高真空CVS(UHVCVD)、等离子增强CVD(PECVD)、远程等离子增强CVD(RPECVD)或原子层沉积(ALDCVD)。由于这些工具中的每一个都是众所周知的,因此为了简洁起见,在此不提供详细说明。然而,不管CVD工具的类型如何,衬底122通常都暴露于一种或多种前体,其在衬底122的表面上反应或降解,从而形成期望的沉积层。通过多次重复该过程,可以在衬底122的表面上形成多个层。应当理解,本文提供的该CVD工具清单不是穷举的,并且不应被解释为限制性的。相反,本文所述的基座120可以与任何类型的CVD工具或用于处理衬底的工具一起使用。
参照图2,示出了ESC基座120的透视剖视图。ESC基座120包括ESC卡盘202,其具有用于卡持衬底(未示出)的卡持表面204;基座杆206,其被布置为在安装到设置在基座底座208中的凹部时支撑ESC卡盘202。
卡持表面204包括多个凸起的最小接触区域(MCA)210。在非排他性实施方案中,ESC卡盘202、卡持表面204和MCA由氮化铝制成。在CVD处理室(例如处理室102)中通常使用的操作温度(例如400至650℃)下,氮化铝具有有限的电阻。这样,该特定实施方案中的ESC基座120是JR型ESC。在其他实施方案中,可以使用其他材料。对于作为电绝缘体的材料,ESC基座将为库仑型ESC。
MCA210执行许多功能。首先,MCA 210限定在被卡持在表面204上时与衬底122的背面物理接触的表面积。结果,生成卡持静电力所需的电荷转移集中在这些位置。其次,MCA210减少了与卡持表面204接触的衬底122的背面的表面积。结果,金属污染和电流泄漏均得以减少。
在一个特定实施方案中,MCA 210是圆形的,具有大约1毫米(0.001英寸)的高度,大约0.028英寸(0.7112毫米)的直径和0.015英寸(3.81毫米)的间距。应该指出,图2未按比例绘制。为了清楚起见,所示的MCA 210相对于卡持表面204明显更大。在实际的实施方案中,MCA 210将通常小于所示的MCA 210。
图2的实施方案仅是示例性的,不应解释为限制性的。在各种其他实施方案中,MCA210可以采用各种形状(例如,正方形、矩形、椭圆形、多边形等),可以具有更高或更矮的高度,可以在尺寸和间距上变化,并且可以占据卡持表面204的更大或更小的百分比。另外,MCA 210可以以各种布置(例如,行、列、特定图案等)布置在卡持表面204上。在实际的实施方案中,卡持表面204的形状、高度、间距、表面积和图案可以广泛地变化,并且至少部分地由许多设计约束决定,例如衬底122的尺寸、所需的夹持力的量、工具100的类型以及许多其他工程方面的考虑。
MCA 210和诸如硅晶片之类的衬底122通常由不同的材料制成。结果,MCA 210和衬底122的背面通常将随着在处理室102内的夹持期间发生的温度变化而以不同的速率膨胀/收缩。不同的膨胀/收缩率在MCA 210的顶表面上产生侧向剪切力。随着时间的流逝,已知这些力会降低MCA 210的质量,改变表面粗糙度,降低高度并改变其电特性,所有这些都会降低在卡持表面204上生成的静电力的程度。
传统实践规定,没有材料沉积在ESC 120的卡持表面204和/或MCA 210上。如果存在这种材料,电荷陷阱通常会发生在卡持表面或其附近,从而对其电性能产生不利影响。并干扰静电电荷夹持效果。因此,一般而言,通常不使用常规ESC将材料或涂层施加到卡持表面上或以其他方式设置在卡持表面上。
与常规实践相反,申请人提出在ESC 120的卡持表面204上使用氧化硅(SiO2)或氮化硅(Si3N4)作为涂层。氧化硅和氮化硅两者中的电子在升高的温度下更加被激发。结果,这些材料中的任何一种(或两者的组合)在450到600℃的升高的温度下都变得更具导电性,并且往往表现得更像具有有限电阻的电介质。由于在诸如CVD工具之类的衬底处理工具的某些处理室中通常使用此温度范围,申请人已经发现,实际上可以在ESC的卡持表面上有利地使用氧化硅和/或氮化硅。
使用形成在卡持表面204(包括MCA 210)上的氧化硅和氮化硅具有许多优点:
(1)如上所述,该涂层可用于保护MCA 210免受由于衬底122的不同热膨胀率所引起的侧向剪切力引起的降解和磨损;
(2)氧化硅和氮化硅的涂层可以容易地在处理室102原位沉积在卡持表面204和/或MCA210上并去除。结果,可以去除旧的磨损的涂层并根据需要或以固定时间间隔用新的涂层取代,所有都在处理室102内进行;并且
(3)此外,可以通过在基座120和处理室102的表面上施加涂层来提高处理室102的颗粒性能。该涂层将颗粒粘附到这些表面上,减少了衬底122上颗粒污染的可能性。附加地,涂层在基座120的卡持表面204上提供针对任何金属污染的保护层,从而使转移到衬底上的金属污染最小化。
参照图3,示出了流程图300,其图示了用于在处理室102中原位周期性地将涂层施加到ESC 120的卡持表面204上的步骤。
在初始步骤302中,至少部分地在ESC卡盘202的卡持表面204上(包括在MCA 210上)形成氧化硅或氮化硅(或两者的组合)的涂层。通过将硅前体和反应物引入处理室102中形成涂层。然后,当硅前体和反应物处于处理室中时,生成化学气相沉积(CVD)等离子体。结果,涂层沉积或形成在卡持表面204上。
在一个实施方案中,在包括MCA 210在内的整个卡持表面204上形成涂层。在其他实施方案中,卡持表面204的部分可以施加掩模,然后在形成涂层之后去除掩模。结果,仅在卡持表面204的未施加掩模的部分上提供涂层。
在各种实施方案中,硅前体或硅源选自包括以下的组:(a)硅烷,(b)正硅酸四乙酯(TEOS),或(a)和(b)的组合。反应物选自包括以下的组:(a)氧气(O2),(b)一氧化二氮(N2O),(c)氨气(NH3),(d)氮气(N2),或(a)至(c)的任何组合。在CVD工艺期间,一旦前体和反应物存在于处理室102中就可以沉积涂层了。
涂层的材料组成取决于所用反应物的类型。用硅烷或TEOS作为前体时,硅涂层生长或沉积在卡持表面204上。如果反应物是氧化剂,例如氧气或一氧化二氮,则硅涂层被氧化,从而产生氧化硅。另一方面,如果反应物是氨气或氮气,则产生氮化硅。
如果将氨气和氧气和/或一氧化二氮都用作反应物,则所得涂层是氧化硅和氮化硅两者的混合物。
替代地,通过首先使用一种反应物,然后随后使用另一种反应物,可以形成多层涂层。例如,通过首先使用氧气和/或一氧化二氮,然后使用氨气,形成底部为氧化硅而顶部为氮化硅的多层涂层。通过更换反应物的顺序,可以产生互补的多层结构。
在步骤304中,一旦在先前步骤中形成了所需材料和厚度的涂层,就将衬底122装载到衬底处理工具100中。
在步骤306中,在处理室102中处理衬底122。该处理通常包括将衬底122卡持到ESC120的卡持表面204上,并将处理室内的温度保持在预定范围内。如上所述,在一个实施方案中,该范围可以是400至650℃。在其他实施方案中,可以使用具有更高或更低温度的其他范围。一旦被卡持,就在处理室102内处理衬底122。如上所述,该处理可以包括薄膜层沉积、蚀刻、光刻胶剥离、衬底清洁以及光刻、离子注入等。
在步骤308中,做出是否需要清洁处理室102的决定。在做出此决定时可以使用许多因素。该决定可以基于一段时间内在处理室102内进行的处理的累积量。例如,如果工具102是CVD工具,则可以基于自先前的清洁以来已经在衬底122上沉积的指定量的沉积材料来确定清洁时间间隔。其他决定因素可以包括时间(例如,以周期性的固定时间间隔清洁工具)或在已经处理了预定数量的衬底122之后,或者这些因素或其他因素的任意组合。如果决定不清洁处理室102,则重复步骤304和/或306。
如果确定到了清洁处理室102的时间,则如步骤310所示,执行包括卡持表面204的处理室102内的清洁操作。清洁过程通常包括使用基于卤素的清洁剂以去除在处理室102内原位形成在ESC 120的卡持表面204上的涂层。将基于卤素的清洁剂(例如氟、三氟化氮或其他含氟气体)引入处理室102中。然后生成等离子体,如本领域所公知的,该等离子体去除或蚀刻掉卡持表面204和MCA 210上的涂层以及其他暴露的表面。
一旦去除了涂层,如以上在步骤302中所提供的,在卡持表面204和MCA 210上形成新的涂层。此后,可以重复进行步骤304至310。在每个循环中,在步骤310中去除旧的磨损的涂层,并在步骤302中施加新的新鲜涂层。
在又一个实施方案中,可以在对处理室102进行例行维护期间在衬底处理工具上原位执行上述清洁和涂覆。例如,可以不时地使用基于卤素的清洁剂来去除不希望有的沉积物和颗粒,这些沉积物和颗粒已经作为衬底处理的副产物被收集在处理室102内部的各表面上。清洁之后,通常在随后的等离子体沉积步骤中将氧化硅和/或氮化硅的涂层沉积到处理室102内的清洁表面上。由于对基座120的卡持表面204和处理室102的清洁和重新涂覆顺序基本相同,因此可以使用相同的清洁和沉积顺序,在处理室120原位清洁和重新涂覆卡持表面204和处理室102两者。
参照图4A,示出了ESC卡盘202的卡持表面204上的衬底122的放大剖视图。在该实施方案中,在MCA 210上方和之间提供覆盖整个卡持表面204的涂层402。如前所述,涂层402可以是氧化硅,氮化硅或两者的组合。
参照图4B,示出了ESC卡盘202的卡持表面204上的衬底122的另一放大剖视图。在该实施方案中,多层涂层404设置在MCA之上和之间,包括顶层406和底层408。如前所述,顶层406可以是氧化硅,以及底层408可以是氮化硅,反之亦然。
尽管图4A和图4B的两个实施方案示出了在包括MCA 210的整个卡持表面204上的涂层402/404,如所描绘的这些图不应是限制性的。相反,涂层402/404只能部分地形成在卡持表面204上,例如仅在MCA 210的顶部上。
在其他实施方案中,涂层,不管是单层的(例如,图4A)还是多层的(例如,图4B),均约为2.5微米厚。在替代实施方案中,涂层的厚度范围可以从1.0到5.0微米或从50纳米到30微米。对于较厚(例如1.0或更厚)的涂层,利用常规CVD工具,通常施加在1.0至5.0微米范围内的较厚涂层。利用其他工具,例如原子层沉积(ALDCVD)工具,可以施加大约50纳米的极薄涂层。
对于包括远程等离子体源126(如图1所示)或与该远程等离子体源126协同操作的某些衬底处理工具100,用于形成或去除涂层402/404的各种等离子体,如上关于步骤302和310所述的,可以在远程等离子体源126中远程生成,然后被提供给包括ESC 120的处理室102。否则,上述去除旧涂层并替换为新涂层的步骤与上文所述的差不多相同。
氧化硅和氮化硅(或两者的组合)可以在升高的温度下在卡持表面204上使用,而不会不利地影响夹持衬底122所需的静电力。尽管上文已经指出了特定范围,但是应当理解,这些温度不应解释为限制性的。相反,可以施加任何温度,只要将氧化硅或氮化硅的电导率增加到一定水平,使得涂覆有氧化硅或氮化硅的卡持表面204的至少一部分生成足够的静电力以夹持衬底即可。
涂层402/404还通过解决使常规静电衬底卡盘生斑块的许多问题来帮助改善衬底夹持。例如,已知在静电卡盘的卡持表面上存在碳可能会引起电短路,这往往减小卡持表面与衬底之间的静电夹持力。然而,上述涂覆过程往往从卡持表面去除碳的存在。结果,显著减少了电短路的发生率。另外,处理室内氟的存在已知会穿透某些类型的卡持表面,例如由陶瓷制成的卡持表面,从而形成电荷陷阱。然而,申请人发现,在如本文所述的450至600℃的处理温度下,电荷陷阱的发生率降低。结果,不会不利地影响静电夹持力。
参照图5,示出了根据非排他性实施方案的可以被配置为用于控制衬底处理工具100的控制器116的计算系统的框图。
控制器116可以具有许多物理形式,范围从计算机、服务器、小型手持设备到大型超级计算机。控制器116包括一个或多个处理器502,并且进一步可以包括电子显示设备504(用于显示图形、文本和其他数据)、主存储器506[例如,随机存取存储器(RAM)]、存储设备508(例如硬盘驱动器)、可移动存储设备510(例如光盘驱动器)、用户界面设备512(例如键盘、触摸屏、小键盘、鼠标或其他定点设备等)和通信接口514(例如,无线网络接口)。通信接口514允许软件和数据经由链接在控制器116和外部设备之间传输。控制器116还可以包括上述设备/模块所连接的通信基础设施516(例如,通信总线、交叉条或网络)。
经由通信接口514传输的信息可以是信号的形式,例如电子,电磁,光或其他能够由通信接口514经由通信链路接收的信号,该通信链路承载信号并且可以使用电线或电缆、光纤,电话线,蜂窝电话链路,射频链路和/或其他通信通道实现。关于这样的通信接口,可以设想到一个或多个处理器502可以在执行上述方法步骤的过程中从网络接收信息,或者可以向网络输出信息。此外,方法实施方案可以仅在处理器上执行,或者可以与共享处理的一部分的远程处理器一起在诸如因特网之类的网络上执行。
术语“非瞬态计算机可读介质”通常用于指代介质,例如主存储器、辅助存储器、可移动存储器以及存储设备,如硬盘、闪存、磁盘驱动器存储器、CD-ROM以及其他形式的持久存储器,并且不应解释为涵盖瞬态主题,例如载波或信号。计算机代码的示例包括机器代码,例如由编译器生成的机器代码,以及包含由计算机使用解释器执行的更高级别代码的文件。计算机可读介质还可以是通过计算机数据信号发送的计算机代码,该计算机数据信号体现在载波中并且代表可由处理器执行的指令序列。
应该理解,尽管在各种沉积工具的上下文中描述了本申请,但是绝不将其解释为限制性的。相反,本文所述的ESC基座120可用于多种衬底处理工具中,包括但不限于光刻工具、等离子体蚀刻或化学蚀刻工具、离子注入工具、衬底清洁工具等。
尽管仅详细描述了几个实施方案,但是应当理解,在不脱离本文所提供的公开内容的精神或范围的情况下,可以以许多其他形式来实现本申请。例如,衬底可以是半导体晶片、分立的半导体器件、平板显示器或任何其他类型的工件。
因此,本发明的实施方案应被认为是说明性的而非限制性的,并且不限于本文给出的细节,而是可以在所附权利要求的范围和等同范围内进行修改。
Claims (26)
1.一种静电卡盘(ESC),其包括具有被布置为卡持衬底的卡持表面的基座,其中所述卡持表面的至少一部分具有氧化硅或氮化硅的涂层。
2.根据权利要求1所述的ESC,其中所述涂层是氧化硅和氮化硅的组合。
3.根据权利要求1所述的ESC,其中所述涂层是多层的。
4.根据权利要求1所述的ESC,其中所述涂层具有的厚度在以下范围之一内:
(a)1.0微米至5.0微米;或者
(b)50纳米至30微米。
5.根据权利要求1所述的ESC,其进一步被布置为在将衬底卡持到衬底处理室内的所述卡持表面时在450至600℃的温度范围内操作。
6.根据权利要求1所述的ESC,其进一步被布置为在保持在升高的温度下的衬底处理室内操作,使得所述氧化硅或氮化硅的电导率增加到一定水平,使得涂覆有所述氧化硅或氮化硅的所述卡持表面的至少一部分生成足够的静电力以夹持所述衬底。
7.根据权利要求1所述的ESC,其中具有所述氧化硅或所述氮化硅的所述涂层的所述卡持表面的所述至少一部分包括形成在所述卡持表面上的一个或多个最小接触区域。
8.根据权利要求1所述的ESC,其中所述ESC是库仑型ESC或约翰森-拉赫贝克(J-R)型ESC。
9.一种方法,其包括:
(a)使用基于卤素的清洁剂去除形成在静电卡盘(ESC)基座的卡持表面上的第一涂层;以及
(b)沉积第二涂层,所述第二涂层形成在所述ESC基座的所述卡持表面上。
10.根据权利要求9所述的方法,其进一步包括重复(a)和(b),使得所述ESC基座的卡持表面被刷新,每次重复利用新的第二涂层。
11.根据权利要求10所述的方法,其中(a)和(b)在处理室中原位进行,并且每次重复是通过已经将特定量的沉积材料沉积在一个或多个衬底上时确定的,所述一个或多个衬底被卡持到所述处理室中的所述ESC基座的所述卡持表面上。
12.根据权利要求11所述的方法,其中所述处理室是化学气相处理室或原子层沉积(ALD)室。
13.根据权利要求9所述的方法,其中所述基于卤素的清洁剂是氟。
14.根据权利要求9所述的方法,其中所述第一涂层和所述第二涂层各自选自包括以下的组:氧化硅、氮化硅或氧化硅和氮化硅两者的组合。
15.根据权利要求10所述的方法,其中所述第二涂层具有的厚度在(a)1.0微米至5.0微米或(b)50纳米至30微米的范围内。
16.根据权利要求9所述的方法,其中ESC基座的所述卡持表面是库仑型或约翰森-拉赫贝克型卡持表面。
17.根据权利要求9所述的方法,其中所述第二涂层是多层的。
18.一种衬底处理工具,其包括:
处理室;
布置在所述处理室内的静电卡盘(ESC)基座,其具有用于卡持衬底的卡持表面;
其中所述衬底处理工具被进一步布置为实施维护路由,其包括:
(a)使用所述处理室中提供的清洁剂,清洁在所述处理室内的表面和所述卡持表面上形成的沉积物;以及
(b)在所述清洁之后,用氧化硅或氮化硅涂覆所述处理室内的表面和所述卡持表面,
其中所述卡持表面的所述清洁和所述涂覆在所述处理室原位进行,同时分别清洁和涂覆所述处理室内的所述表面。
19.根据权利要求18所述的衬底处理工具,其中所述ESC是库仑型或约翰森-拉贝克(J-R)型ESC。
20.根据权利要求18所述的衬底处理工具,其中所述卡持表面上的所述涂层具有的厚度在以下范围内:
(a)1.0微米至5.0微米;或者
(b)50纳米至30微米。
21.根据权利要求18所述的衬底处理工具,其中所述卡持表面上的所述涂层是多层的。
22.根据权利要求18所述的衬底处理工具,其中所述衬底处理室是以下之一:
(a)化学蒸气处理室;
(b)原子层沉积(ALD)室。
23.根据权利要求18所述的衬底处理工具,其中所述清洁剂是包括氟的基于卤素的清洁剂。
24.根据权利要求18所述的衬底处理工具,其中涂覆所述处理室内的所述表面和所述卡持表面进一步包括:
在所述处理室中提供等离子体,所述等离子体包括硅前体和反应物;以及
将所述处理室内的所述表面和所述卡持表面暴露于所述等离子体,所述暴露导致在所述处理室内的所述表面和所述卡持表面上形成所述涂层。
25.根据权利要求18所述的衬底处理工具,其中所述等离子体通过以下方式之一提供:
远程生成所述等离子体以及将所述等离子体供应到所述处理室;或者
在所述处理室内生成所述等离子体。
26.根据权利要求18所述的衬底处理工具,其进一步被布置为周期性地重复(a)和(b),使得分别在每次重复之后在所述处理室原位在所述卡持表面上形成氧化硅或氮化硅的新涂层。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/926,349 | 2018-03-20 | ||
US15/926,349 US11086233B2 (en) | 2018-03-20 | 2018-03-20 | Protective coating for electrostatic chucks |
PCT/US2019/022046 WO2019182833A1 (en) | 2018-03-20 | 2019-03-13 | Protective coating for electrostatic chucks |
Publications (1)
Publication Number | Publication Date |
---|---|
CN111886688A true CN111886688A (zh) | 2020-11-03 |
Family
ID=67984152
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201980020652.2A Pending CN111886688A (zh) | 2018-03-20 | 2019-03-13 | 静电卡盘的保护涂层 |
Country Status (4)
Country | Link |
---|---|
US (3) | US11086233B2 (zh) |
KR (1) | KR20200124316A (zh) |
CN (1) | CN111886688A (zh) |
WO (1) | WO2019182833A1 (zh) |
Families Citing this family (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11289355B2 (en) | 2017-06-02 | 2022-03-29 | Lam Research Corporation | Electrostatic chuck for use in semiconductor processing |
KR102655866B1 (ko) | 2018-01-31 | 2024-04-05 | 램 리써치 코포레이션 | 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리 |
US11086233B2 (en) * | 2018-03-20 | 2021-08-10 | Lam Research Corporation | Protective coating for electrostatic chucks |
US20210159048A1 (en) * | 2019-11-25 | 2021-05-27 | Applied Materials, Inc. | Dual rf for controllable film deposition |
US11699611B2 (en) * | 2021-02-23 | 2023-07-11 | Applied Materials, Inc. | Forming mesas on an electrostatic chuck |
US11855153B2 (en) | 2021-03-10 | 2023-12-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US20230009692A1 (en) * | 2021-07-07 | 2023-01-12 | Applied Materials, Inc | Coated substrate support assembly for substrate processing |
WO2023146648A1 (en) * | 2022-01-28 | 2023-08-03 | Lam Research Corporation | Undercoating coverage and resistance control for escs of substrate processing systems |
US20240141488A1 (en) * | 2022-10-27 | 2024-05-02 | Applied Materials, Inc. | Coated substrate support assembly for substrate processing in processing chambers |
Family Cites Families (181)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4692836A (en) | 1983-10-31 | 1987-09-08 | Toshiba Kikai Kabushiki Kaisha | Electrostatic chucks |
JPH06326175A (ja) | 1993-04-22 | 1994-11-25 | Applied Materials Inc | 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法 |
JPH07201496A (ja) | 1993-12-29 | 1995-08-04 | Matsushita Electric Ind Co Ltd | プラズマ発生方法及びプラズマ発生装置 |
US5463526A (en) * | 1994-01-21 | 1995-10-31 | Lam Research Corporation | Hybrid electrostatic chuck |
US5507874A (en) * | 1994-06-03 | 1996-04-16 | Applied Materials, Inc. | Method of cleaning of an electrostatic chuck in plasma reactors |
JP3249696B2 (ja) | 1994-11-28 | 2002-01-21 | 日新電機株式会社 | 静電チャックおよびその使用方法 |
US6042686A (en) | 1995-06-30 | 2000-03-28 | Lam Research Corporation | Power segmented electrode |
US5633073A (en) * | 1995-07-14 | 1997-05-27 | Applied Materials, Inc. | Ceramic susceptor with embedded metal electrode and eutectic connection |
JP3949186B2 (ja) | 1995-12-25 | 2007-07-25 | 富士通株式会社 | 基板載置台、プラズマ処理装置及び半導体装置の製造方法 |
JP3847363B2 (ja) | 1996-02-02 | 2006-11-22 | 富士通株式会社 | 半導体ウェハ処理装置及び半導体ウェハ処理方法 |
US5812362A (en) * | 1996-06-14 | 1998-09-22 | Applied Materials, Inc. | Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks |
TW334609B (en) | 1996-09-19 | 1998-06-21 | Hitachi Ltd | Electrostatic chuck, method and device for processing sanyle use the same |
US5829791A (en) | 1996-09-20 | 1998-11-03 | Bruker Instruments, Inc. | Insulated double bayonet coupler for fluid recirculation apparatus |
US6066836A (en) | 1996-09-23 | 2000-05-23 | Applied Materials, Inc. | High temperature resistive heater for a process chamber |
US6616767B2 (en) | 1997-02-12 | 2003-09-09 | Applied Materials, Inc. | High temperature ceramic heater assembly with RF capability |
US5737178A (en) * | 1997-03-06 | 1998-04-07 | Applied Materials, Inc. | Monocrystalline ceramic coating having integral bonding interconnects for electrostatic chucks |
TW422892B (en) * | 1997-03-27 | 2001-02-21 | Applied Materials Inc | Technique for improving chucking reproducibility |
JP3624628B2 (ja) * | 1997-05-20 | 2005-03-02 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US5841624A (en) * | 1997-06-09 | 1998-11-24 | Applied Materials, Inc. | Cover layer for a substrate support chuck and method of fabricating same |
US6936153B1 (en) | 1997-09-30 | 2005-08-30 | Semitool, Inc. | Semiconductor plating system workpiece support having workpiece-engaging electrode with pre-conditioned contact face |
US6104596A (en) | 1998-04-21 | 2000-08-15 | Applied Materials, Inc. | Apparatus for retaining a subtrate in a semiconductor wafer processing system and a method of fabricating same |
US6081414A (en) * | 1998-05-01 | 2000-06-27 | Applied Materials, Inc. | Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system |
US6072685A (en) | 1998-05-22 | 2000-06-06 | Applied Materials, Inc. | Electrostatic chuck having an electrical connector with housing |
US6221221B1 (en) | 1998-11-16 | 2001-04-24 | Applied Materials, Inc. | Apparatus for providing RF return current path control in a semiconductor wafer processing system |
US6151203A (en) | 1998-12-14 | 2000-11-21 | Applied Materials, Inc. | Connectors for an electrostatic chuck and combination thereof |
US6213478B1 (en) | 1999-03-11 | 2001-04-10 | Moore Epitaxial, Inc. | Holding mechanism for a susceptor in a substrate processing reactor |
US6466881B1 (en) | 1999-04-22 | 2002-10-15 | Applied Materials Inc. | Method for monitoring the quality of a protective coating in a reactor chamber |
US6261977B1 (en) * | 1999-09-08 | 2001-07-17 | United Microelectronics Corp. | Method for preventing an electrostatic chuck from being corroded during a cleaning process |
JP4028149B2 (ja) | 2000-02-03 | 2007-12-26 | 日本碍子株式会社 | 加熱装置 |
JP4637316B2 (ja) | 2000-02-24 | 2011-02-23 | 京セラ株式会社 | 筒状体を有するセラミックヒーター及びこれを用いた加熱装置 |
US6592709B1 (en) | 2000-04-05 | 2003-07-15 | Applied Materials Inc. | Method and apparatus for plasma processing |
US7465478B2 (en) * | 2000-08-11 | 2008-12-16 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7288491B2 (en) * | 2000-08-11 | 2007-10-30 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US6403491B1 (en) | 2000-11-01 | 2002-06-11 | Applied Materials, Inc. | Etch method using a dielectric etch chamber with expanded process window |
JP3870824B2 (ja) | 2001-09-11 | 2007-01-24 | 住友電気工業株式会社 | 被処理物保持体、半導体製造装置用サセプタおよび処理装置 |
JP2003124296A (ja) | 2001-10-17 | 2003-04-25 | Sumitomo Osaka Cement Co Ltd | サセプタ及びその製造方法 |
US6879051B1 (en) * | 2002-01-16 | 2005-04-12 | Advanced Micro Devices, Inc. | Systems and methods to determine seed layer thickness of trench sidewalls |
JP4034145B2 (ja) | 2002-08-09 | 2008-01-16 | 住友大阪セメント株式会社 | サセプタ装置 |
JP3962661B2 (ja) | 2002-08-30 | 2007-08-22 | 三菱重工業株式会社 | 静電チャック支持機構及び支持台装置及びプラズマ処理装置 |
EP1408140A1 (en) * | 2002-10-11 | 2004-04-14 | STMicroelectronics S.r.l. | A high-density plasma process for depositing a layer of Silicon Nitride |
US7270761B2 (en) * | 2002-10-18 | 2007-09-18 | Appleid Materials, Inc | Fluorine free integrated process for etching aluminum including chamber dry clean |
WO2004082007A1 (ja) | 2003-03-12 | 2004-09-23 | Tokyo Electron Limited | 半導体処理用の基板保持構造及びプラズマ処理装置 |
KR100890493B1 (ko) | 2003-04-18 | 2009-03-26 | 가부시키가이샤 히다치 고쿠사이 덴키 | 반도체 제조 장치 |
US20050042881A1 (en) * | 2003-05-12 | 2005-02-24 | Tokyo Electron Limited | Processing apparatus |
EP1635388A4 (en) * | 2003-06-17 | 2009-10-21 | Creative Tech Corp | DIPOLAR ELECTROSTATIC CLAMPING DEVICE |
JP2005018992A (ja) | 2003-06-23 | 2005-01-20 | Ibiden Co Ltd | プラズマ発生装置用電極埋設部材 |
JP2005072286A (ja) | 2003-08-25 | 2005-03-17 | Kyocera Corp | 静電チャック |
TWI236055B (en) | 2003-09-05 | 2005-07-11 | United Microelectronics Corp | Plasma apparatus and method capable of adaptive impedance matching |
US7824498B2 (en) * | 2004-02-24 | 2010-11-02 | Applied Materials, Inc. | Coating for reducing contamination of substrates during processing |
US20070223173A1 (en) | 2004-03-19 | 2007-09-27 | Hiroshi Fujisawa | Bipolar Electrostatic Chuck |
JP2005285825A (ja) | 2004-03-26 | 2005-10-13 | Advantest Corp | 静電チャック及び静電チャックによる基板固定方法 |
US20050274324A1 (en) | 2004-06-04 | 2005-12-15 | Tokyo Electron Limited | Plasma processing apparatus and mounting unit thereof |
JP2005347620A (ja) | 2004-06-04 | 2005-12-15 | Tokyo Electron Ltd | プラズマ処理装置及びプラズマ処理装置の載置台ユニット |
TW200612512A (en) | 2004-06-28 | 2006-04-16 | Ngk Insulators Ltd | Substrate heating sapparatus |
US7375946B2 (en) | 2004-08-16 | 2008-05-20 | Applied Materials, Inc. | Method and apparatus for dechucking a substrate |
JP4386360B2 (ja) * | 2004-12-06 | 2009-12-16 | 信越化学工業株式会社 | 静電チャック |
US7430986B2 (en) | 2005-03-18 | 2008-10-07 | Lam Research Corporation | Plasma confinement ring assemblies having reduced polymer deposition characteristics |
JP2006302887A (ja) | 2005-04-20 | 2006-11-02 | Ngk Insulators Ltd | 給電部材及び加熱装置 |
JP4672597B2 (ja) | 2005-06-02 | 2011-04-20 | 日本碍子株式会社 | 基板処理装置 |
US9520276B2 (en) | 2005-06-22 | 2016-12-13 | Tokyo Electron Limited | Electrode assembly and plasma processing apparatus |
US7683289B2 (en) | 2005-12-16 | 2010-03-23 | Lam Research Corporation | Apparatus and method for controlling plasma density profile |
JP4381393B2 (ja) * | 2006-04-28 | 2009-12-09 | 信越化学工業株式会社 | 静電チャック |
US8440049B2 (en) * | 2006-05-03 | 2013-05-14 | Applied Materials, Inc. | Apparatus for etching high aspect ratio features |
US20080009417A1 (en) * | 2006-07-05 | 2008-01-10 | General Electric Company | Coating composition, article, and associated method |
US20080029032A1 (en) * | 2006-08-01 | 2008-02-07 | Sun Jennifer Y | Substrate support with protective layer for plasma resistance |
US7619870B2 (en) * | 2006-08-10 | 2009-11-17 | Tokyo Electron Limited | Electrostatic chuck |
US20080062609A1 (en) | 2006-08-10 | 2008-03-13 | Shinji Himori | Electrostatic chuck device |
JP4768699B2 (ja) | 2006-11-30 | 2011-09-07 | キヤノンアネルバ株式会社 | 電力導入装置及び成膜方法 |
US20080167720A1 (en) | 2007-01-08 | 2008-07-10 | Warsaw Orthopedic, Inc. | Expandable vertebral body replacement device |
JP4903610B2 (ja) | 2007-03-27 | 2012-03-28 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8294069B2 (en) | 2007-03-28 | 2012-10-23 | Ngk Insulators, Ltd. | Heating device for heating a wafer |
JP5660753B2 (ja) | 2007-07-13 | 2015-01-28 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | プラズマエッチング用高温カソード |
US7625227B1 (en) | 2007-07-31 | 2009-12-01 | Agilent Technologies, Inc. | High performance blind-mate connector |
JP2009123929A (ja) | 2007-11-15 | 2009-06-04 | Tokyo Electron Ltd | プラズマ処理装置 |
KR101415551B1 (ko) | 2008-01-25 | 2014-07-04 | (주)소슬 | 정전척, 이의 제조 방법 및 이를 포함하는 기판 처리 장치 |
JP4450106B1 (ja) | 2008-03-11 | 2010-04-14 | 東京エレクトロン株式会社 | 載置台構造及び処理装置 |
JP5284153B2 (ja) | 2008-03-21 | 2013-09-11 | 日本碍子株式会社 | セラミックスヒータ |
TWI475594B (zh) * | 2008-05-19 | 2015-03-01 | Entegris Inc | 靜電夾頭 |
JP2011525719A (ja) | 2008-06-24 | 2011-09-22 | アプライド マテリアルズ インコーポレイテッド | 低温pecvd用途用のペデスタルヒータ |
WO2010019430A2 (en) * | 2008-08-12 | 2010-02-18 | Applied Materials, Inc. | Electrostatic chuck assembly |
US20100104852A1 (en) * | 2008-10-23 | 2010-04-29 | Molecular Imprints, Inc. | Fabrication of High-Throughput Nano-Imprint Lithography Templates |
CN102301460A (zh) | 2009-01-29 | 2011-12-28 | 东京毅力科创株式会社 | 成膜装置和气体排出部件 |
JP5657262B2 (ja) | 2009-03-27 | 2015-01-21 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR101680787B1 (ko) * | 2009-05-15 | 2016-11-29 | 엔테그리스, 아이엔씨. | 중합체 돌기들을 가지는 정전 척 |
TW201100578A (en) * | 2009-06-19 | 2011-01-01 | Saint Gobain Ceramics & Plastics Inc | Sealed plasma coatings |
WO2011009038A1 (en) | 2009-07-16 | 2011-01-20 | Waters Technologies Corporation | Self-unlocking fluid-tight connector |
JP5496568B2 (ja) | 2009-08-04 | 2014-05-21 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JP5460184B2 (ja) | 2009-08-28 | 2014-04-02 | 株式会社日本セラテック | 支持装置 |
JP2011061040A (ja) | 2009-09-10 | 2011-03-24 | Tokyo Electron Ltd | 載置台構造及び処理装置 |
JP5960384B2 (ja) | 2009-10-26 | 2016-08-02 | 新光電気工業株式会社 | 静電チャック用基板及び静電チャック |
JP2011165891A (ja) | 2010-02-09 | 2011-08-25 | Tokyo Electron Ltd | 載置台構造及び処理装置 |
KR101731136B1 (ko) * | 2010-05-28 | 2017-04-27 | 엔테그리스, 아이엔씨. | 표면저항이 높은 정전 척 |
KR101896127B1 (ko) * | 2010-09-08 | 2018-09-07 | 엔테그리스, 아이엔씨. | 고 전도성 정전 척 |
US20120164834A1 (en) | 2010-12-22 | 2012-06-28 | Kevin Jennings | Variable-Density Plasma Processing of Semiconductor Substrates |
US9399812B2 (en) * | 2011-10-11 | 2016-07-26 | Applied Materials, Inc. | Methods of preventing plasma induced damage during substrate processing |
US8884524B2 (en) | 2011-11-22 | 2014-11-11 | Applied Materials, Inc. | Apparatus and methods for improving reliability of RF grounding |
US9948214B2 (en) | 2012-04-26 | 2018-04-17 | Applied Materials, Inc. | High temperature electrostatic chuck with real-time heat zone regulating capability |
JP6359236B2 (ja) | 2012-05-07 | 2018-07-18 | トーカロ株式会社 | 静電チャック |
JP5351316B1 (ja) | 2012-08-15 | 2013-11-27 | 株式会社アドバンテスト | 試料保持具及びこれを用いた電子ビーム露光方法 |
US9088085B2 (en) | 2012-09-21 | 2015-07-21 | Novellus Systems, Inc. | High temperature electrode connections |
JP6207880B2 (ja) | 2012-09-26 | 2017-10-04 | 東芝メモリ株式会社 | プラズマ処理装置およびプラズマ処理方法 |
WO2014057771A1 (ja) | 2012-10-12 | 2014-04-17 | 株式会社Neomaxマテリアル | 金属基板 |
CN103794445B (zh) * | 2012-10-29 | 2016-03-16 | 中微半导体设备(上海)有限公司 | 用于等离子体处理腔室的静电夹盘组件及制造方法 |
EP2927947B1 (en) * | 2012-11-28 | 2020-05-06 | Kyocera Corporation | Placement member and method for manufacturing same |
WO2014083597A1 (ja) | 2012-11-30 | 2014-06-05 | 黒田精工株式会社 | 軸端末用アダプタ及びボールねじ組立体 |
US9916998B2 (en) * | 2012-12-04 | 2018-03-13 | Applied Materials, Inc. | Substrate support assembly having a plasma resistant protective layer |
US9685356B2 (en) * | 2012-12-11 | 2017-06-20 | Applied Materials, Inc. | Substrate support assembly having metal bonded protective layer |
KR101333631B1 (ko) | 2012-12-21 | 2013-11-27 | (주)보부하이테크 | 퀄츠 히터 |
US8941969B2 (en) * | 2012-12-21 | 2015-01-27 | Applied Materials, Inc. | Single-body electrostatic chuck |
US9358702B2 (en) * | 2013-01-18 | 2016-06-07 | Applied Materials, Inc. | Temperature management of aluminium nitride electrostatic chuck |
US9666466B2 (en) * | 2013-05-07 | 2017-05-30 | Applied Materials, Inc. | Electrostatic chuck having thermally isolated zones with minimal crosstalk |
US8934826B2 (en) * | 2013-05-30 | 2015-01-13 | Xerox Corporation | Surface tension interference coating process for precise feature control |
JP6119430B2 (ja) * | 2013-05-31 | 2017-04-26 | 住友大阪セメント株式会社 | 静電チャック装置 |
CN105453234B (zh) * | 2013-08-10 | 2018-11-02 | 应用材料公司 | 抛光新的或翻新的静电夹盘的方法 |
US10804081B2 (en) | 2013-12-20 | 2020-10-13 | Lam Research Corporation | Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber |
EP3084804B1 (en) | 2013-12-20 | 2018-03-14 | Nicholas R. White | A ribbon beam ion source of arbitrary length |
CN104752143B (zh) | 2013-12-31 | 2017-05-03 | 中微半导体设备(上海)有限公司 | 一种等离子体处理装置 |
US20150194326A1 (en) | 2014-01-07 | 2015-07-09 | Applied Materials, Inc. | Pecvd ceramic heater with wide range of operating temperatures |
SG10201806706VA (en) * | 2014-02-07 | 2018-09-27 | Entegris Inc | Electrostatic chuck and method of making same |
KR20160113724A (ko) * | 2014-02-07 | 2016-09-30 | 어플라이드 머티어리얼스, 인코포레이티드 | Dsa에서의 보우형 웨이퍼들에 대한 척킹 능력 |
US20150243483A1 (en) | 2014-02-21 | 2015-08-27 | Lam Research Corporation | Tunable rf feed structure for plasma processing |
US9618848B2 (en) * | 2014-02-24 | 2017-04-11 | Tokyo Electron Limited | Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes |
US9472410B2 (en) | 2014-03-05 | 2016-10-18 | Applied Materials, Inc. | Pixelated capacitance controlled ESC |
JPWO2015155940A1 (ja) | 2014-04-08 | 2017-04-13 | パナソニックIpマネジメント株式会社 | 熱伝導シートおよびその製造方法 |
US20150311043A1 (en) * | 2014-04-25 | 2015-10-29 | Applied Materials, Inc. | Chamber component with fluorinated thin film coating |
JP6424024B2 (ja) | 2014-06-24 | 2018-11-14 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置及びプラズマ処理方法 |
TWI654332B (zh) | 2014-07-02 | 2019-03-21 | 美商應用材料股份有限公司 | 用於電漿處理的多區域基座 |
KR101465640B1 (ko) | 2014-08-08 | 2014-11-28 | 주식회사 펨빅스 | 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품 |
US10403535B2 (en) | 2014-08-15 | 2019-09-03 | Applied Materials, Inc. | Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system |
US10325800B2 (en) * | 2014-08-26 | 2019-06-18 | Applied Materials, Inc. | High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials |
US9624578B2 (en) * | 2014-09-30 | 2017-04-18 | Lam Research Corporation | Method for RF compensation in plasma assisted atomic layer deposition |
US10008404B2 (en) * | 2014-10-17 | 2018-06-26 | Applied Materials, Inc. | Electrostatic chuck assembly for high temperature processes |
US9431268B2 (en) * | 2015-01-05 | 2016-08-30 | Lam Research Corporation | Isotropic atomic layer etch for silicon and germanium oxides |
US9828672B2 (en) * | 2015-03-26 | 2017-11-28 | Lam Research Corporation | Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma |
US9738975B2 (en) | 2015-05-12 | 2017-08-22 | Lam Research Corporation | Substrate pedestal module including backside gas delivery tube and method of making |
US10177024B2 (en) | 2015-05-12 | 2019-01-08 | Lam Research Corporation | High temperature substrate pedestal module and components thereof |
US10008399B2 (en) * | 2015-05-19 | 2018-06-26 | Applied Materials, Inc. | Electrostatic puck assembly with metal bonded backing plate for high temperature processes |
US10253412B2 (en) | 2015-05-22 | 2019-04-09 | Lam Research Corporation | Deposition apparatus including edge plenum showerhead assembly |
US10153139B2 (en) | 2015-06-17 | 2018-12-11 | Applied Materials, Inc. | Multiple electrode substrate support assembly and phase control system |
US10163610B2 (en) | 2015-07-13 | 2018-12-25 | Lam Research Corporation | Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation |
US10153136B2 (en) | 2015-08-04 | 2018-12-11 | Lam Research Corporation | Hollow RF feed with coaxial DC power feed |
KR102650990B1 (ko) * | 2015-10-12 | 2024-03-22 | 어플라이드 머티어리얼스, 인코포레이티드 | 기판의 능동적/수동적 본딩 및 디-본딩을 위한 기판 캐리어 |
US9954508B2 (en) | 2015-10-26 | 2018-04-24 | Lam Research Corporation | Multiple-output radiofrequency matching module and associated methods |
US10020218B2 (en) * | 2015-11-17 | 2018-07-10 | Applied Materials, Inc. | Substrate support assembly with deposited surface features |
CN116364634A (zh) * | 2016-01-12 | 2023-06-30 | 住友大阪水泥股份有限公司 | 静电卡盘装置及静电卡盘装置的制造方法 |
US10249526B2 (en) * | 2016-03-04 | 2019-04-02 | Applied Materials, Inc. | Substrate support assembly for high temperature processes |
US9852889B1 (en) | 2016-06-22 | 2017-12-26 | Lam Research Corporation | Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring |
US9850573B1 (en) * | 2016-06-23 | 2017-12-26 | Applied Materials, Inc. | Non-line of sight deposition of erbium based plasma resistant ceramic coating |
US20180016678A1 (en) * | 2016-07-15 | 2018-01-18 | Applied Materials, Inc. | Multi-layer coating with diffusion barrier layer and erosion resistant layer |
US10283330B2 (en) | 2016-07-25 | 2019-05-07 | Lam Research Corporation | Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators |
US20180061684A1 (en) * | 2016-08-26 | 2018-03-01 | Applied Materials, Inc. | Optical heating of light absorbing objects in substrate support |
JP6626419B2 (ja) * | 2016-09-30 | 2019-12-25 | 新光電気工業株式会社 | 静電チャック、基板固定装置 |
JP6869034B2 (ja) | 2017-01-17 | 2021-05-12 | 東京エレクトロン株式会社 | プラズマ処理装置 |
CN110235237B (zh) | 2017-03-06 | 2023-12-26 | 日本碍子株式会社 | 晶圆支撑台 |
US10079154B1 (en) * | 2017-03-20 | 2018-09-18 | Lam Research Corporation | Atomic layer etching of silicon nitride |
US11732359B2 (en) | 2017-03-28 | 2023-08-22 | Sumitomo Electric Industries, Ltd. | Wafer holder |
JP6948822B2 (ja) * | 2017-04-25 | 2021-10-13 | 東京エレクトロン株式会社 | 基板処理装置及び基板取り外し方法 |
KR102658739B1 (ko) * | 2017-05-03 | 2024-04-17 | 램 리써치 코포레이션 | 컨디셔닝 챔버 컴포넌트 |
US10147610B1 (en) | 2017-05-30 | 2018-12-04 | Lam Research Corporation | Substrate pedestal module including metallized ceramic tubes for RF and gas delivery |
JP6811144B2 (ja) | 2017-05-30 | 2021-01-13 | 東京エレクトロン株式会社 | プラズマ処理装置の静電チャックを運用する方法 |
US11289355B2 (en) | 2017-06-02 | 2022-03-29 | Lam Research Corporation | Electrostatic chuck for use in semiconductor processing |
EP3450809A1 (de) | 2017-08-31 | 2019-03-06 | VAT Holding AG | Verstellvorrichtung mit spannzangenkupplung für den vakuumbereich |
US11469084B2 (en) | 2017-09-05 | 2022-10-11 | Lam Research Corporation | High temperature RF connection with integral thermal choke |
US20190115241A1 (en) * | 2017-10-12 | 2019-04-18 | Applied Materials, Inc. | Hydrophobic electrostatic chuck |
US11532459B2 (en) * | 2017-11-09 | 2022-12-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Chemical vapor deposition apparatus with cleaning gas flow guiding member |
US10410845B2 (en) * | 2017-11-22 | 2019-09-10 | Applied Materials, Inc. | Using bias RF pulsing to effectively clean electrostatic chuck (ESC) |
JP6799549B2 (ja) * | 2018-01-16 | 2020-12-16 | 東京エレクトロン株式会社 | プラズマ処理装置の部品をクリーニングする方法 |
JP6799550B2 (ja) * | 2018-01-16 | 2020-12-16 | 東京エレクトロン株式会社 | プラズマ処理装置の部品をクリーニングする方法 |
JP7334166B2 (ja) * | 2018-01-30 | 2023-08-28 | ラム リサーチ コーポレーション | パターニングにおける酸化スズマンドレル |
KR102655866B1 (ko) | 2018-01-31 | 2024-04-05 | 램 리써치 코포레이션 | 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리 |
US11232966B2 (en) * | 2018-02-01 | 2022-01-25 | Lam Research Corporation | Electrostatic chucking pedestal with substrate backside purging and thermal sinking |
US10636686B2 (en) * | 2018-02-27 | 2020-04-28 | Lam Research Corporation | Method monitoring chamber drift |
US11014853B2 (en) * | 2018-03-07 | 2021-05-25 | Applied Materials, Inc. | Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments |
US11086233B2 (en) * | 2018-03-20 | 2021-08-10 | Lam Research Corporation | Protective coating for electrostatic chucks |
US10957572B2 (en) * | 2018-05-02 | 2021-03-23 | Applied Materials, Inc. | Multi-zone gasket for substrate support assembly |
US20200013590A1 (en) * | 2018-07-06 | 2020-01-09 | Tokyo Electron Limited | Protective layer for chucks during plasma processing to reduce particle formation |
US11183368B2 (en) | 2018-08-02 | 2021-11-23 | Lam Research Corporation | RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks |
US20210340668A1 (en) * | 2018-09-21 | 2021-11-04 | Lam Research Corporation | Method for conditioning a plasma processing chamber |
JP2022530906A (ja) * | 2019-05-07 | 2022-07-04 | ラム リサーチ コーポレーション | 静電チャックシステム |
US11976357B2 (en) * | 2019-09-09 | 2024-05-07 | Applied Materials, Inc. | Methods for forming a protective coating on processing chamber surfaces or components |
JP2021132148A (ja) | 2020-02-20 | 2021-09-09 | 東京エレクトロン株式会社 | ステージ、プラズマ処理装置及びプラズマ処理方法 |
-
2018
- 2018-03-20 US US15/926,349 patent/US11086233B2/en active Active
-
2019
- 2019-03-13 CN CN201980020652.2A patent/CN111886688A/zh active Pending
- 2019-03-13 KR KR1020207030025A patent/KR20200124316A/ko not_active Application Discontinuation
- 2019-03-13 WO PCT/US2019/022046 patent/WO2019182833A1/en active Application Filing
-
2021
- 2021-07-07 US US17/369,694 patent/US11835868B2/en active Active
-
2023
- 2023-10-19 US US18/490,265 patent/US20240045344A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
KR20200124316A (ko) | 2020-11-02 |
US11835868B2 (en) | 2023-12-05 |
US20210333715A1 (en) | 2021-10-28 |
US20240045344A1 (en) | 2024-02-08 |
US20190294050A1 (en) | 2019-09-26 |
WO2019182833A1 (en) | 2019-09-26 |
US11086233B2 (en) | 2021-08-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11835868B2 (en) | Protective coating for electrostatic chucks | |
US11658036B2 (en) | Apparatus for processing substrate | |
WO2019125640A1 (en) | Method for forming square spacers | |
KR102550244B1 (ko) | 유전체 재료의 식각을 위한 사전 세척 | |
US20110207332A1 (en) | Thin film coated process kits for semiconductor manufacturing tools | |
US20080289766A1 (en) | Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup | |
WO2018175036A1 (en) | Atomic layer etching of silicon nitride | |
WO2001063657A1 (en) | Two etchant etch method | |
CN112334598A (zh) | 用于在有机材料上沉积金属氧化物膜的沉积工具和方法 | |
KR20160119849A (ko) | 저 rf 바이어스 주파수 애플리케이션들을 사용하여 비정질 탄소 증착 잔여물들을 세정하기 위한 세정 프로세스 | |
WO2014034396A1 (ja) | プラズマ処理方法、及びプラズマ処理装置 | |
US11574806B2 (en) | Film forming method | |
KR101958037B1 (ko) | 높은 압력 베벨 에칭 프로세스 | |
US10658192B2 (en) | Selective oxide etching method for self-aligned multiple patterning | |
JP2018006706A (ja) | 被処理体を処理する方法 | |
KR20220156048A (ko) | 플라즈마 프로세싱 챔버를 컨디셔닝하기 위한 방법 | |
KR102361523B1 (ko) | 포커스 링을 구비한 기판 처리 장치 및 방법 | |
TWI837885B (zh) | 高深寬比特徵中的金屬沉積及蝕刻 | |
US20240087910A1 (en) | Methods of highly selective silicon oxide removal | |
TWI810825B (zh) | 一種清潔晶圓、等離子體處理裝置和處理方法 | |
US10607852B2 (en) | Selective nitride etching method for self-aligned multiple patterning | |
TWI835810B (zh) | 用於在有機材料上沉積金屬氧化物膜的沉積工具及方法 | |
US11772137B2 (en) | Reactive cleaning of substrate support | |
JP2023538528A (ja) | 低応力炭素含有層の堆積 | |
TW202412087A (zh) | 高選擇性氧化矽移除之方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination |