KR20200124316A - 정전 척들을 위한 보호 코팅 - Google Patents

정전 척들을 위한 보호 코팅 Download PDF

Info

Publication number
KR20200124316A
KR20200124316A KR1020207030025A KR20207030025A KR20200124316A KR 20200124316 A KR20200124316 A KR 20200124316A KR 1020207030025 A KR1020207030025 A KR 1020207030025A KR 20207030025 A KR20207030025 A KR 20207030025A KR 20200124316 A KR20200124316 A KR 20200124316A
Authority
KR
South Korea
Prior art keywords
coating
processing chamber
chucking surface
esc
substrate
Prior art date
Application number
KR1020207030025A
Other languages
English (en)
Inventor
스티븐 토핑
빈센트 부르카르트
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20200124316A publication Critical patent/KR20200124316A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • G03F7/70708Chucks, e.g. chucking or un-chucking operations or structural details being electrostatic; Electrostatically deformable vacuum chucks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

정전 척 (ESC) 이 실리콘 옥사이드 (SiO2), 실리콘 나이트라이드 (Si3N4) 또는 둘의 조합의 코팅으로 커버된 적어도 일부를 갖는 척킹 표면을 포함한다. 코팅은 기판 프로세싱 툴의 프로세싱 챔버에 인 시츄로 도포될 수 있고, 프레시 코팅을 생성하기 위해 주기적으로 제거되고 인 시츄로 재도포될 수 있다.

Description

정전 척들을 위한 보호 코팅
관련된 출원에 대한 교차 참조
본 출원은 2018년 3월 20일에 출원된 미국 특허 출원 번호 제 15/926,349 호의 이익을 주장하고, 이는 모든 목적들을 위해 참조로서 본 명세서에 인용된다.
정전 척들 (ElectroStatic Chucks; ESCs) 은 박막 증착, 플라즈마 에칭, 포토레지스트 스트립핑, 기판 세정뿐만 아니라 리소그래피, 이온 주입, 등과 같은 다양한 제조 툴들에 널리 사용된다.
ESC들은 척킹 표면 상에 일 극성의 전하 및 기판 상에 반대 극성의 전하를 인가함으로써 동작한다. 반대 전하들이 끌어당기기 때문에, 기판은 발생하는 정전력에 의해 제자리에 홀딩되거나 클램핑된다 (clamped).
Coulombic 및 Johnsen-Rahbek (“J-R”은 일반적으로 공지되는 ESC들의 2 가지 타입들이다. 둘 모두는 전극 위에 형성된 유전체를 포함하는 척킹 표면을 갖는다. Coulombic ESC들을 사용하면 유전체는 절연체인 반면, J-R 타입 척들을 사용하면 유전체는 유한한 저항률 (예를 들어, 상온에서 5.0e+15부터 5.0e+16 ohm-cm까지 범위의 벌크 저항률 및 550 ℃에서 5.0e+8에서 5.0e+9 ohm-cm의 벌크 저항률) 을 갖는다.
정전 척 (ESC) 이 개시된다. ESC는 기판을 척킹하도록 구성된 척킹 표면을 갖는 페데스탈을 포함한다. 척킹 표면은 실리콘 옥사이드 (SiO2), 실리콘 나이트라이드 (Si3N4) 또는 둘의 조합의 코팅으로 커버된 적어도 일부를 포함한다.
또한 개시된 것은 기판 프로세싱 툴의 프로세싱 챔버 내의 ESC의 척킹 표면 상에 코팅을 증착하는 방법이다. 이 방법의 비배타적인 변동들에서, 증착된 코팅읕 실리콘 옥사이드 또는 실리콘 나이트라이드, 또는 둘의 조합이다.
더 개시된 것은 (a) ESC 페데스탈의 척킹 표면 상에 형성된 제 1 코팅을 제거하기 위해 할로겐 기반 세정제를 사용하는 단계; 및 (b) ESC 페데스탈의 척킹 표면 상에 형성된 제 2 코팅을 증착하는 단계에 대한 방법이다. 제 1 코팅을 제거하고 제 2 코팅으로 대체함으로써, 마모된 제 1 코팅은 프레시 (fresh) 제 2 코팅으로 리프레싱된다. 이 방법의 비배타적인 변동들에서, 증착된 코팅은 실리콘 옥사이드 또는 실리콘 나이트라이드, 또는 둘의 조합이다.
또 다른 실시예에서, 전술한 세정 및 코팅은 프로세싱 챔버에서 인 시츄로 (in situ) 수행될 수도 있다. 예를 들어, 루틴 유지보수 동안, 할로겐 기반 세정제가 기판 프로세싱의 부산물로서 프로세싱 챔버 내부의 표면들 상에 수집된 원치 않은 증착물들 및 입자들을 제거하기 위해 사용될 수도 있다. 세정에 이어서, 실리콘 옥사이드 및/또는 실리콘 나이트라이드의 코팅이 이후 후속하는 플라즈마 증착 단계에서 깨끗한 표면들에 통상적으로 도포된다. ESC의 척킹 표면 및 프로세싱 챔버에 대한 세정 및 재코팅 시퀀스는 본질적으로 동일하기 때문에, 척킹 표면은 프로세싱 챔버와 동시에 프로세싱 챔버에서 인 시츄로 세정되고 재코팅될 수 있다.
ESC의 척킹 표면 상에 형성된 실리콘 옥사이드 및 실리콘 나이트라이드의 사용은 다수의 이점들을 갖는다. 코팅은 기판들과 척킹 표면 사이의 열 팽창의 상이한 레이트들에 의해 유발된 측면 전단력들로 인해 열화 및 마모로부터 척킹 표면들을 보호하도록 사용될 수 있다. 실리콘 옥사이드 및 실리콘 나이트라이드의 코팅은 프로세싱 챔버에서 인 시츄로 척킹 표면 상에서 쉽게 증착되고 제거될 수 있다. 결과로서, 낡고 마모된 코팅이 모든 프로세싱 챔버 내부에서 필요에 따라 또는 고정된 인터벌들로, 제거되고 새로운 코팅으로 대체될 수 있다.
또 다른 비배타적인 실시예들에서, ESC 척에 도포된 코팅은 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 옥사이드와 실리콘 나이트라이드 모두의 조합, 또는 실리콘 옥사이드 및 실리콘 나이트라이드 각각의 하나 이상의 층들을 포함하는 다층 구조체일 수 있다.
본 출원 및 이의 이점들은, 첨부한 도면들과 함께 취해진 이하의 기술 (description) 을 참조함으로써 가장 잘 이해될 수도 있다.
도 1은 일 비배타적인 실시예에 따른 기판을 프로세싱하기 위한 기판 제조 툴의 블록도이다.
도 2는 일 비배타적인 실시예에 따른 정전 페데스탈의 단면의 사시도이다.
도 3은 일 비배타적인 실시예에 따른 프로세싱 챔버 내의 ESC의 척킹 표면에 인 시츄 코팅을 주기적으로 도포하기 위한 단계들을 예시하는 흐름도이다.
도 4a 및 도 4b는 비배타적인 실시예들에 따른 상이한 보호 코팅들을 갖는 기판의 확대된 단면도들이다.
도 5는 일 비배타적인 실시예에 따른 기판 제조 툴을 제어하기 위한 제어기로 구성될 수도 있는 컴퓨팅 시스템의 블록도이다.
도면들에서, 유사한 참조 번호들은 때때로 유사한 구조 엘리먼트들을 지정하기 위해 사용된다. 도면들의 도시들이 도식적이고, 반드시 스케일대로 도시되지 않는다는 것이 또한 인식되어야 한다.
본 출원은 첨부한 도면들에 예시된 바와 같이 출원의 일부 비배타적인 실시예들을 참조하여 이제 상세히 기술될 것이다. 이하의 기술에서, 본 개시의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 그러나, 본 개시가 이들 구체적인 상세들의 일부 또는 전부 없이 실시될 수도 있다는 것이 당업자들에게 명백할 것이다. 다른 예들에서, 공지된 프로세스 단계들 및/또는 구조체들은 본 개시를 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
타입과 상관 없이, ESC들은 몇 가지 한계들을 갖는다. 불소는 반도체 웨이퍼들과 같은 기판들의 증착 동안 종종 사용된다. ESC의 클램핑 표면의 불소로의 노출은 유전체에 전하 트랩들을 도입할 수 있어, 전기적 특성들에 부정적인 영향을 미쳐 충분한 힘으로 클램핑하는 능력을 감소시킨다. 또한, 많은 CVD 프로세스들의 부산물인 잔류 탄소가 또한 클램핑 표면 상에 형성될 수 있다. 탄소가 절연체이기 때문에, 이의 클램핑 표면 상의 존재는 J-R 타입 ESC들을 방해할 수도 있어, 또한 클램핑 강도를 감소시킨다.
불소 및/또는 잔류 탄소에 대한 노출을 방지하기 위해 ESC의 클램핑 표면 위에 PEC (Protective Electrostatic Cover) 를 사용하는 것이 공지된다. 그러나, PEC의 사용은 다수의 문제들을 생성한다. PEC를 사용하지 않을 때 프로세싱 챔버 내 또는 인접한 저장 위치가 필요하다. 또한, 저장 위치와 ESC 기판 사이에서 PEC를 이동시키고 배치하는 메커니즘이 필요하다. 이러한 메커니즘들은 원하지 않는 입자들을 생성하고, 주기적인 유지보수가 필요하고, 종종 파손되는 경향이 있다. 또한, 메커니즘의 동작을 제어하기 위해 제어 소프트웨어가 필요하다. 이러한 제어 소프트웨어는 툴에 복잡성을 부가하고 개발 비용을 증가시키는 경향이 있다.
도 1은 비배타적인 실시예에 사용될 수도 있는 기판 프로세싱 툴 (100) 의 블록도이다. 이 예에서, 기판 프로세싱 툴 (100) 은 프로세싱 챔버 (102), 가스 소스 (104), 무선 주파수 (Radio Frequency; RF) 소스 (106), 바이어스 소스 (108), 배기 펌프 (110), 온도 제어기 (112), 진공 소스 (114) 및 제어기 (116) 를 포함한다. 프로세싱 챔버 (102) 는 RF 소스 (106) 에 커플링된 전극 (118), 및 기판 (122) 을 척킹하기 위한 ESC 페데스탈 (120) 을 포함한다.
툴 (100) 의 동작 동안, 기판 (122) 은 가스 소스 (104) 에 의해 공급된 가스를 포함하는 프로세싱 챔버 (102) 내의 ESC 페데스탈 (120) 상에 척킹된다. RF 소스 (106) 로부터의 RF 전력이 전극 (118) 에 인가되면, 프로세싱 챔버 (102) 내에서 기판 (122) 을 프로세싱하기 위한 플라즈마가 생성된다. 툴의 타입에 따라, 플라즈마는 박막 증착, 에칭, 등을 포함하는 다양한 방식들로 기판 (122) 을 프로세싱하기 위해 사용될 수도 있다.
예시되지 않았지만, 대안적인 실시예들에서, RF 소스 (106) 는 대안적으로 페데스탈 (120) 에 커플링될 수 있다는 것에 유의해야 한다. 이 대안적인 실시예를 사용하면, 페데스탈 (120) 은 또한 프로세싱 챔버 (102) 내에 플라즈마를 생성하기 위해 전극으로서 역할한다.
또한 동작 동안, 제어기 (116) 는 프로세싱 챔버 (102) 내의 다수의 동작들, 예컨대 바이어스 소스 (108) 를 통해 기판 (122) 에 인가된 바이어스, 배기 펌프 (110) 를 통해 프로세싱 챔버 (102) 로부터 플라즈마 또는 다른 가스들의 배기, 온도 제어기 (112) 및 진공 소스 (114) 를 통해 페데스탈 (120) 및/또는 기판 (122) 의 온도를 선택적으로 제어할 수도 있다. 이들 엘리먼트들 각각 및 그들의 동작이 공지되었기 때문에, 간결성을 위해 본 명세서에 상세한 설명이 제공되지 않는다.
도 1에서, 다양한 컴포넌트들 (108 내지 116) 과 프로세싱 챔버 (102) 및/또는 페데스탈 (120) 사이에 다양한 전기 및/또는 배관이 제공된다. 도면들의 세부사항들을 과도하게 복잡하게 하지 않기 위해, 예시된 바와 같이 다양한 전기 연결부들 및/또는 배관 연결부들이 단일 연결부 (124) 로 간략화된다는 것에 유의해야 한다. 프로세싱 챔버 (102) 안팎으로 그리고/또는 페데스탈 (120) 로의 다양한 전기 및 배관의 라우팅은 매우 복잡하지만, 본 명세서에서 간결성을 위해 다루지 않는다는 것이 잘 이해된다.
일 비배타적인 실시예에서, 툴 (100) 은 리모트 플라즈마 소스 (126) 를 포함하거나 이와 협력하여 동작할 수도 있다. 리모트 플라즈마 소스 (126) 에서 생성된 플라즈마가 프로세싱 챔버 (102) 에 공급된다. 리모트 플라즈마 생성기를 사용하는 일 가능한 이유는 통상적으로 목표되지 않는, 프로세싱 챔버 (102) 내의 표면들의 이온 충격을 감소시키거나 제거하기 위한 것이다. 예를 들어, 일 비배타적인 실시예에서, 리모트 플라즈마 소스 (126) 는 프로세싱 챔버 (102) 를 세정하기 위해 사용될 수도 있고, 이는 통상적으로 열화를 가속화하는 이온 충격으로 노출을 감소시킴으로써 프로세싱 챔버 (102) 내의 다양한 표면들 및/또는 컴포넌트들의 수명을 증가시키는 경향이 있다.
대안적인 실시예들에서, 페데스탈 (120) 은 Coulombic 및 Johnsen-Rahbek (“J-R”) 타입 ESC이다.
또 다른 실시예들에서, 페데스탈 (120) 은 다수의 열 전도성 재료들로 이루어질 수도 있다. 이러한 재료들은 알루미늄 나이트라이드, 알루미늄 옥사이드, 세라믹, 다른 열 전도성 재료들, 또는 이들의 임의의 조합을 포함할 수도 있지만, 이에 제한되지 않는다.
툴 (100) 은 LPCVD (Low Pressure CVD), UHVCVD (Ultra High Vacuum CVD), PECVD (Plasma Enhanced CVD), RPECVD (Remote Plasma Enhanced CVD) 또는 ALDCVD (Atomic Layer Deposition CVD) 과 같은, CVD (Chemical Vapor Deposition) 툴들의 몇 가지 상이한 타입들 중 하나일 수 있다. 이들 툴들 각각이 공지되었기 때문에, 상세한 설명은 간결성을 위해 본 명세서에 제공되지 않는다. 그러나, CVD 툴의 타입에 관계없이, 기판 (122) 은 통상적으로 기판 (122) 의 표면 상에서 반응하거나 분해되어 목표된 증착 층을 형성하는, 하나 이상의 전구체들에 노출된다. 이 프로세스를 복수의 횟수들로 반복함으로써, 복수의 층들이 기판 (122) 의 표면 상에 형성될 수 있다. 본 명세서에 제공된 CVD 툴들의 이 목록은 완전한 것이 아니고, 제한하는 것으로 해석되지 않아야 한다는 것을 이해해야 한다. 반대로, 본 명세서에 기술된 바와 같은 페데스탈 (120) 은 임의의 타입의 CVD 툴 또는 기판을 프로세싱하기 위해 사용되는 툴과 함께 사용될 수도 있다.
도 2를 참조하면, ESC 페데스탈 (120) 의 사시 단면도가 도시된다. ESC 페데스탈 (120) 은 기판 (미도시) 을 척킹하기 위한 척킹 표면 (204) 을 갖는 ESC 척 (202), 페데스탈 마운트 (208) 에 제공된 리세스 (recess) 상에 장착될 때 ESC 척 (202) 을 지지하도록 구성된 페데스탈 스템 (stem) (206) 을 포함한다.
척킹 표면 (204) 은 복수의 상승된 최소 콘택트 영역들 (Minimum Contact Areas; MCA들) (210) 을 포함한다. 비배타적인 실시예에서, ESC 척 (202), 척킹 표면 (204) 및 MCA들은 알루미늄 나이트라이드로 이루어진다. 프로세싱 챔버 (102) 와 같은 CVD 프로세싱 챔버에서 일반적으로 사용된 동작 온도들 (예를 들어, 400 내지 650 ℃) 에서, 알루미늄 나이트라이드는 유한한 저항을 갖는다. 이와 같이, 이 특정한 실시예에서 ESC 페데스탈 (120) 은 JR 타입 ESC이다. 다른 실시예들에서, 다른 재료들이 사용될 수도 있다. 전기적 절연체들인 재료들을 갖는 ESC 페데스탈은 Coulombic 타입 ESC일 것이다.
MCA들 (210) 은 다수의 기능들을 수행한다. 첫 번째로, MCA들 (210) 은 표면 (204) 상에 척킹될 때 기판 (122) 의 후면과 물리적으로 콘택트하는 표면적을 규정한다. 결과로서, 클램핑 정전력을 생성하기 위해 필요한 전하 전달은 이들 위치들에 집중된다. 두 번째로, MCA들 (210) 은 척킹 표면 (204) 과 콘택트하는 기판 (122) 의 후면 표면적의 양을 감소시킨다. 결과로서, 금속 오염 및 전류 누설 모두가 감소된다.
일 특정한 실시예에서, MCA들 (210) 은 둥근 형상이고, 대략 1 밀 (0.001 인치) 의 높이, 대략 0.028 인치 (0.7112 mm) 의 직경 및 0.015 인치 (3.81 mm) 의 피치 (pitch) 를 갖는다. 도 2가 스케일 (scale) 로 도시되지 않았다는 것이 주목되어야 한다. 간결성을 위해, 도시된 MCA들 (210) 은 척킹 표면 (204) 에 대해 상당히 보다 크다. 실제 실시예들에서, MCA들 (210) 은 통상적으로 예시된 것보다 작을 것이다.
도 2의 실시예는 단지 예시이고, 제한하는 것으로 해석되지 않아야 한다. 다양한 다른 실시예들에서, MCA들 (210) 은 다양한 형상들 (예를 들어, 정사각형, 직사각형, 타원형, 다각형, 등) 을 가정할 수도 있고, 높이가 보다 크거나 보다 짧을 수도 있고, 치수들 및 피치가 가변할 수도 있으며, 척킹 표면 (204) 의 보다 크거나 보다 작은 비율을 커버할 수도 있다. 또한, MCA들 (210) 은 척킹 표면 (204) 상에 매우 다양한 배치들 (예를 들어, 행, 열, 특정한 패턴, 등) 로 배치될 수도 있다. 실제 실시예들에서, 척킹 표면 (204) 상의 형상, 높이, 피치, 표면적 및 패턴은 광범위하게 가변할 수도 있고, 기판 (122) 의 사이즈, 필요한 클램핑력의 양, 툴 (100) 의 타입, 및 다수의 다른 엔지니어링 고려사항들과 같은 다수의 설계 제약들에 의해 적어도 부분적으로 좌우된다.
MCA들 (210) 및 실리콘 웨이퍼와 같은 기판 (122) 은 통상적으로 상이한 재료들로 이루어진다. 결과로서, MCA들 (210) 및 기판 (122) 의 후면은 통상적으로 프로세싱 챔버 (102) 내에서 클램핑 동안 발생된 온도 변화들과 상이한 레이트로 팽창/수축될 것이다. 상이한 팽창/수축 레이트들은 MCA들 (210) 의 상부 표면들에 걸쳐 측면 전단력을 생성한다. 시간이 지나면서, 이들 힘들은 MCA들 (210) 을 열화시키고, 표면 거칠기를 변경하고, 높이를 감소시키고, 전기적 특성들을 변경하는 것으로 공지되었고, 이들 모두는 척킹 표면 (204) 에서 생성된 정전력의 정도를 열화시키는 경향이 있다.
종래의 관행은 ESC (120) 의 척킹 표면 (204) 및/또는 MCA들 (210) 상에 재료가 증착되지 않을 것을 지시한다. 이러한 재료가 존재하면, 일반적으로 척킹 표면 또는 근방에 전하 트랩들이 발생할 것이고, 전기적 특성들에 부정적 영향을 미치며 정전하 클램핑 효과를 방해한다. 따라서, 일반적으로, 재료 또는 코팅이 통상적으로 종래의 ESC들과의 클램핑 표면에 도포되지 않거나 달리 제공되지 않는다.
종래의 관행과 반대로, 출원인은 ESC (120) 의 척킹 표면 (204) 상의 코팅으로 실리콘 옥사이드 (SiO2) 또는 실리콘 나이트라이드 (Si3N4) 를 제안한다. 실리콘 옥사이드 및 실리콘 나이트라이드 모두의 전자들은 상승된 온도들에서 보다 여기된다 (excited). 결과로서, 이들 재료들 중 하나 (또는 둘 모두의 조합) 는 보다 전도성이 되고, 450 내지 600 ℃ 범위의 상승된 온도들에서 유한한 저항을 갖는, 보다 유전체처럼 작용하는 경향이 있다. 이 온도 범위가 CVD 툴들과 같은 기판 프로세싱 툴들의 특정한 프로세싱 챔버에서 종종 사용되기 때문에, 출원인은 실리콘 옥사이드 및/또는 실리콘 나이트라이드가 실제로 ESC의 척킹 표면에 유리하게 사용될 수 있다는 것을 발견했다.
MCA들 (210) 을 포함하는 척킹 표면 (204) 상에 형성된 실리콘 옥사이드 및 실리콘 나이트라이드의 사용은 다수의 이점들을 갖는다:
(1) 코팅은 상기 언급된 바와 같이 기판들 (122) 의 상이한 레이트들의 열 팽창에 의해 유발된 측면 전단력으로 인한 열화 및 마모로부터 MCA들 (210) 을 보호하도록 사용될 수 있다.
(2) 실리콘 옥사이드 및 실리콘 나이트라이드의 코팅은 프로세싱 챔버 (102) 에서 인 시츄로 척킹 표면 (204) 및/또는 MCA들 (210) 상에서 쉽게 증착되고 제거될 수 있다. 결과로서, 낡은 마모된 코팅은 프로세싱 챔버 (102) 의 모든 내부에서 필요에 따라 또는 고정된 인터벌들로 제거되고 새로운 코팅으로 대체될 수 있다.
(3) 또한, 프로세싱 챔버 (102) 의 입자 성능은 페데스탈 (120) 및 프로세싱 챔버 (102) 의 표면들 상에 코팅을 도포함으로써 개선될 수 있다. 이 코팅은 입자들을 이들 표면들에 부착하여, 기판 (122) 상의 입자 오염의 가능성을 감소시킨다. 코팅은 부가적으로 페데스탈 (120) 의 척킹 표면 (204) 상의 임의의 금속 오염에 대한 보호 층을 제공하고, 이에 따라 기판들 상에 전달되는 금속 오염을 최소화한다.
도 3을 참조하면, 프로세싱 챔버 (102) 에서 인 시츄로 ESC (120) 의 척킹 표면 (204) 에 코팅을 주기적으로 도포하기 위한 단계들을 예시하는 흐름도 (300) 가 도시된다.
개시 단계 (302) 에서, 실리콘 옥사이드 또는 실리콘 나이트라이드 (또는 둘의 조합) 의 코팅은 ESC 척 (202) 의 척킹 표면 (204) 의 MCA들 (210) 을 포함하여 적어도 부분적으로 형성된다. 코팅은 프로세싱 챔버 (102) 내로 실리콘 전구체 및 반응물질을 도입함으로써 형성된다. 이어서 실리콘 전구체 및 반응물질이 프로세싱 챔버 내에 있는 동안 CVD 플라즈마가 생성된다. 결과로서, 코팅은 척킹 표면 (204) 상에 증착되거나 형성된다.
일 실시예에서, 코팅은 MCA들 (210) 을 포함하는 전체 척킹 표면 (204) 에 걸쳐 형성된다. 다른 실시예들에서, 척킹 표면 (204) 의 부분들은 마스킹될 수 있고, 마스크는 나중에 코팅이 형성된 후 제거된다. 결과로서, 코팅은 척킹 표면 (204) 의 마스킹되지 않은 (non-masked) 부분들 상에만 제공된다.
다양한 실시예들에서, 실리콘 전구체 또는 실리콘 소스는 (a) 실란, (B) TEOS (Tetraethyl Orthosilicate), 또는 (a) 와 (b) 모두의 조합을 포함하는 그룹으로부터 선택된다. 반응물질은 (a) 산소 (O2), (b) 아산화질소 (N2O), (c) 암모니아 (NH3), (d) 질소 (N2) 또는 (a) 내지 (c) 의 임의의 조합을 포함하는 그룹으로부터 선택된다. 전구체 및 반응물질이 프로세싱 챔버 (102) 내에 존재하면, 코팅은 CVD 프로세스 동안 증착될 수 있다.
코팅의 재료 구성은 사용된 반응물질의 타입에 종속된다. 전구체로 실란 또는 TEOS를 사용하면, 실리콘 코팅이 척킹 표면 (204) 상에 증착되거나 척킹 표면 (204) 상에서 성장한다. 반응물질이 산소 또는 아산화질소와 같은 산화제이면, 실리콘 코팅이 산화되고, 실리콘 옥사이드를 발생시킨다. 반면 반응물질이 암모니아 또는 질소이면, 실리콘 나이트라이드가 발생한다.
암모니아 그리고 산소 및/또는 아산화질소 모두가 반응물질로 사용되면, 발생하는 코팅은 실리콘 옥사이드 및 실리콘 나이트라이드의 혼합물이다.
대안적으로, 일 반응물질을 초기에 사용하고 나중에 다른 반응물질을 사용함으로써, 다층 코팅이 형성될 수 있다. 예를 들어, 초기에 산소 및/또는 아산화질소를 사용하고 나중에 암모니아를 사용함으로써, 하단부에 실리콘 옥사이드 및 상단부에 실리콘 나이트라이드를 갖는 다층 코팅이 형성된다. 반응물질들의 시퀀스를 스워핑함으로써 (swapping), 상보적인 다층 구조체가 생성될 수 있다.
단계 (304) 에서, 목표된 재료 및 두께의 코팅이 이전 단계들에서 형성되면, 기판들 (122) 이 기판 프로세싱 툴 (100) 내로 로딩된다.
단계 (306) 에서, 기판들 (122) 은 프로세싱 챔버 (102) 내에서 프로세싱된다. 프로세싱은 일반적으로 ESC (120) 의 척킹 표면 (204) 상에 기판 (122) 을 척킹하고 프로세싱 챔버 내의 온도를 미리 결정된 범위 내로 유지하는 것을 수반한다. 상기 언급된 바와 같이, 이 범위는 일 실시예에서 400 내지 650 ℃일 수도 있다. 다른 실시예들에서, 보다 고온 또는 보다 저온의 다른 범위들이 사용될 수도 있다. 척킹되면, 기판 (122) 은 프로세싱 챔버 (102) 내에서 프로세싱된다. 상기 언급된 바와 같이, 프로세싱은 박막 층 증착, 에칭, 포토레지스트 스트립핑 (photo-resist striping), 기판 세정뿐만 아니라 리소그래피 (lithography), 이온 주입 등을 수반할 수도 있다.
단계 (308) 에서, 프로세싱 챔버 (102) 가 세정되어야 하는지 여부의 결정이 이루어진다. 이 결정을 하는데 다수의 요인들이 사용될 수도 있다. 결정은 시간의 기간 동안 프로세싱 챔버 (102) 내에서 수행된 프로세스들의 축적된 양에 기반할 수 있다. 예를 들어 툴 (102) 이 CVD 툴이면, 세정 인터벌들은 이전의 세정 이후 기판들 (122) 상에 증착된 증착 재료의 특정한 양에 기반하여 결정될 수도 있다. 다른 결정 요인들은 시간 (예를 들어, 툴은 주기적으로 고정된 인터벌로 세정됨), 또는 미리 결정된 수의 기판들 (122) 이 프로세싱된 이후, 또는 이들 또는 다른 요인들의 임의의 조합을 포함할 수도 있다. 결정이 프로세싱 챔버 (102) 를 세정하지 않는 것으로 이루어지면, 단계들 (304 및/또는 306) 이 반복된다.
프로세싱 챔버 (102) 를 세정할 때라는 결정이 이루어지면, 척킹 표면 (204) 을 포함하는 프로세싱 챔버 (102) 내의 세정 동작이 단계 (310) 에 제공된 바와 같이 수행된다. 세정 프로세스는 일반적으로 프로세싱 챔버 (102) 내에서 인 시츄로 ESC (120) 의 척킹 표면 (204) 상에 형성된 코팅을 제거하기 위해 할로겐 기반 세정제를 사용하는 것을 수반한다. 불소, 질소 트리플루오라이드 또는 다른 불소 함유 가스들과 같은 할로겐 기반 세정제가 프로세싱 챔버 (102) 내로 도입된다. 당업계에 공지된 바와 같이, 다른 노출된 표면들과 함께 척킹 표면 (204) 및 MCA들 (210) 상의 코팅을 제거하거나 에칭하는, 플라즈마가 이후 생성된다.
코팅이 제거되면, 상기 단계들 (302) 에 제공된 바와 같이 척킹 표면 (204) 및 MCA들 (210) 상에 새로운 코팅이 형성된다. 이후, 단계들 (304 내지 310) 은 계속해서 반복될 수 있다. 사이클 각각으로, 낡고 마모된 코팅이 단계 (310) 에서 제거되고, 단계 (302) 에서 새로운, 프레시 코팅이 도포된다.
또 다른 실시예에서, 전술한 세정 및 코팅은 프로세싱 챔버 (102) 의 루틴 유지보수 동안 기판 프로세싱 툴에서 인 시츄로 수행될 수도 있다. 예를 들어, 할로겐 기반 세정제는 기판 프로세싱의 부산물로서 프로세싱 챔버 (102) 내부 표면들 상에 수집된 원치 않은 증착물들 및 입자들을 제거하기 위해 가끔 사용될 수도 있다. 세정에 이어서, 실리콘 옥사이드 및/또는 실리콘 나이트라이드의 코팅이 통상적으로 후속하는 플라즈마 증착 단계에서 프로세싱 챔버 (102) 내의 깨끗한 표면들에 증착된다. 페데스탈 (120) 의 척킹 표면 (204) 및 프로세싱 챔버 (102) 에 대한 세정 및 재코팅 시퀀스가 본질적으로 동일하기 때문에, 둘 모두는 동일한 세정 및 증착 시퀀스를 사용하여 프로세싱 챔버 (120) 에서 인 시츄로 세정되고 재코팅될 수 있다.
도 4a를 참조하면, ESC 척 (202) 의 척킹 표면 (204) 상의 기판 (122) 의 확대된 단면도가 도시된다. 이 실시예를 사용하면, 코팅 (402) 이 MCA들 (210) 위 그리고 사이에 제공되고, 전체 척킹 표면 (204) 을 커버한다. 이전에 언급된 바와 같이, 코팅 (402) 은 실리콘 옥사이드, 실리콘 나이트라이드 또는 둘의 조합일 수 있다.
도 4b를 참조하면, ESC 척 (202) 의 척킹 표면 (204) 상의 기판 (122) 의 또 다른 확대된 단면도가 도시된다. 이 실시예를 사용하면, 다층 코팅 (404) 이 MCA들 위 그리고 사이에 제공되고, 상단 층 (406) 및 하단 층 (408) 을 포함한다. 이전에 언급된 바와 같이, 상단 층 (406) 은 실리콘 옥사이드이고 하단 층 (408) 은 실리콘 나이트라이드일 수 있고 또는 그 반대일 수 있다.
도 4a 및 도 4b의 두 실시예들이 MCA들 (210) 을 포함하는 전체 척킹 표면 (204) 위의 코팅 (402/404) 을 도시하지만, 도시된 이들 도면들은 이렇게 제한되지 않아야 한다. 반대로, 코팅들 (402/404) 은 예를 들어, 단지 MCA들 (210) 의 상단부의 척킹 표면 (204) 에만 부분적으로 형성될 수 있다.
또 다른 실시예들에서, 단일 층 (예를 들어, 도 4a) 또는 다층 (예를 들어, 도 4b) 인지 여부에 상관 없이, 코팅은 대략 2.5 마이크로미터 두께이다. 대안적인 실시예들에서, 코팅은 1.0 내지 5.0 마이크로미터 또는 50 나노미터 내지 30 마이크로미터 두께의 범위일 수도 있다. 1.0 이상과 같은, 보다 두꺼운 코팅들을 갖는 종래의 CVD 툴들로, 1.0 내지 5.0 범위의 보다 두꺼운 코팅이 통상적으로 도포된다. ALDCVD (Atomic Layer Deposition CVD) 툴들과 같은 다른 툴들로, 대략 50 나노미터의 매우 박형의 코팅이 도포될 수 있다.
(도 1에 도시된 바와 같이) 리모트 플라즈마 소스 (126) 를 포함하거나 함께 동작하는 특정한 기판 프로세싱 툴들 (100) 을 사용하여, 단계들 (302 및 310) 에 관해 상기 기술된 바와 같이, 코팅(들) (402/404) 을 형성하거나 제거하기 위해 사용되는 다양한 플라즈마가, 리모트 플라즈마 소스 (126) 에 리모트로 생성되고, 이후 ESC (120) 를 포함하는 프로세싱 챔버 (102) 내에 공급될 수 있다. 낡은 코팅을 제거하고 프레시 코팅으로 대체되는 상기 기술된 단계들은 상기 기술된 것과 달리, 보다 많거나, 보다 적거나 동일하다.
실리콘 옥사이드 및 실리콘 나이트라이드 (또는 둘 모두의 조합) 는 기판 (122) 을 클램핑하기 위해 필요한 정전력들에 부정적으로 영향을 미치지 않고, 상승된 온도들에서 척킹 표면 (204) 상에 사용될 수 있다. 특정한 범위가 상기 언급되었지만, 이들 온도들은 제한하는 것으로 해석되지 않아야 한다는 것이 이해되어야 한다. 반대로, 실리콘 옥사이드 또는 실리콘 나이트라이드로 코팅된 척킹 표면 (204) 의 적어도 일부가 기판을 클램핑하기에 충분한 정전력을 생성하는 레벨로 상승되는 실리콘 옥사이드 또는 실리콘 나이트라이드의 전기적 전도성이 제공된, 임의의 온도가 적용될 수도 있다.
코팅 (402/404) 은 또한 종래의 정전 기판 척들을 플라크하는 (plaque) 다수의 문제들을 해결함으로써 기판 클램핑을 개선하는 것을 돕는다. 예를 들어, 정전 척의 척킹 표면 상의 탄소의 존재는 아마도 척킹 표면과 기판 사이의 정전 클램핑력을 감소시키는 경향이 있는 전기적 단락 (electrical shorting) 을 유발하는 경향이 있는 것으로 공지되었다. 그러나, 상기 기술된 코팅 프로세스는 척킹 표면으로부터의 탄소의 존재를 제거하는 경향이 있다. 결과로서, 전기적 단락의 발생은 상당히 감소된다. 또한, 프로세싱 챔버 내의 불소의 존재는 특정한 타입들의 척킹 표면들, 예컨대 전하 트랩들을 생성하는 세라믹으로 이루어진 척킹 표면들을 투과하는 것으로 공지되었다. 그러나, 출원인은 본 명세서에 기술된 바와 같이 450 내지 600 ℃의 프로세싱 온도에서, 전하 트랩들의 발생이 감소된다는 것을 발견했다. 결과로서, 정전 클램핑력이 부정적 영향을 받지 않는다.
도 5를 참조하면, 일 비배타적인 실시예에 따른 기판 프로세싱 툴 (100) 을 제어하기 위한 제어기 (166) 로 구성될 수도 있는, 컴퓨팅 시스템의 블록도가 도시된다.
제어기 (116) 는 컴퓨터, 서버, 소형 휴대용 디바이스로부터 대형 슈퍼 컴퓨터까지 범위의 많은 물리적 형태들을 가질 수도 있다. 제어기 (116) 는 하나 이상의 프로세서들 (502) 을 포함하고, (그래픽, 텍스트, 및 다른 데이터를 디스플레이하기 위한) 전자 디스플레이 디바이스 (504), 메인 메모리 (506) (예를 들어, RAM (Random Access Memory)), 저장 디바이스 (508) (예를 들어, 하드 디스크 드라이브), 이동식 저장 디바이스 (510) (예를 들어, 광학 디스크 드라이브), 사용자 인터페이스 디바이스들 (512) (예를 들어, 키보드들, 터치 스크린들, 키패드들, 마우스들 또는 다른 포인팅 디바이스들, 등), 및 통신 인터페이스 (514) (예를 들어, 무선 네트워크 인터페이스) 를 더 포함할 수 있다. 통신 인터페이스 (514) 는 소프트웨어 및 데이터로 하여금 링크를 통해 제어기 (116) 와 외부 디바이스들 사이에서 이송되게 한다. 제어기 (116) 는 또한 전술한 디바이스들/모듈들이 연결되는 통신 인프라스트럭처 (516) (예를 들어, 통신 버스, 크로스-오버 바, 또는 네트워크) 를 포함할 수도 있다.
통신 인터페이스 (514) 를 통해 전달된 정보는 신호들을 반송하고, 전선 또는 케이블, 광섬유, 전화선, 휴대전화 링크, 무선 주파수 링크, 및/또는 다른 통신 채널들을 사용하여 구현될 수도 있는 통신 링크를 통해, 통신 인터페이스 (514) 에 의해 수신될 수 있는 전자, 전자기, 광학, 또는 다른 신호들과 같은 신호들의 형태일 수도 있다. 이러한 통신 인터페이스를 사용하여, 하나 이상의 프로세서들 (502) 이 상기 기술된 방법 단계들을 수행하는 동안 네트워크로부터 정보를 수신할 수도 있고, 또는 네트워크에 정보를 출력할 수도 있다는 것이 고려된다. 또한, 방법 실시예들은 프로세서들 상에서만 실행될 수도 있거나, 프로세싱의 일부를 공유하는 원격 프로세서들과 함께 인터넷과 같은 네트워크를 통해 실행될 수도 있다.
용어 “비일시적 컴퓨터 판독가능 매체”는 일반적으로 메인 메모리, 보조 메모리, 이동식 저장장치, 및 하드 디스크들, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM 및 다른 형태들의 영구 메모리와 같은 저장 디바이스들과 같은 매체를 지칭하도록 사용되고, 반송파들 또는 신호들과 같은 일시적 주제를 커버하는 것으로 해석되지 않는다. 컴퓨터 코드의 예들은 컴파일러에 의해 생성된 것과 같은 머신 코드, 및 인터프리터 (interpreter) 를 사용하여 컴퓨터에 의해 실행되는 보다 고 레벨 코드를 포함하는 파일들을 포함한다. 컴퓨터 판독가능 매체는 또한 반송파에 구현된 컴퓨터 데이터 신호에 의해 송신되고, 프로세서에 의해 실행 가능한 인스트럭션들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
본 출원이 다양한 증착 툴들의 맥락으로 기술되었지만, 이것이 제한하는 것으로 해석되지 않아야 한다는 것이 이해되어야 한다. 반대로 본 명세서에 기술된 바와 같은 ESC 페데스탈 (120) 은 리소그래피 툴들, 플라즈마 에칭 또는 화학적 에칭 툴들, 이온 주입 툴들, 기판 세정 툴들, 등을 포함하지만 이에 제한되지 않는 매우 다양한 기판 프로세싱 툴들에서 사용될 수도 있다.
몇몇 실시예들만이 상세히 기술되었지만, 본 출원은 본 명세서에 개시된 정신 또는 범위로부터 벗어나지 않고 많은 다른 형태들로 구현될 수도 있다는 것이 인식되어야 한다. 예를 들어, 기판은 반도체 웨이퍼, 별개의 반도체 디바이스, 평평한 패널 디스플레이, 또는 임의의 다른 타입의 워크피스일 수 있다.
따라서, 본 실시예들은 예시적이고, 제한적이지 않은 것으로 간주되어야 하며, 실시예들은 본 명세서에 주어진 세부사항들로 한정되지 않고, 첨부된 청구항들의 범위 및 등가물들 내에서 변경될 수도 있다.

Claims (26)

  1. 기판을 척킹하도록 구성된 척킹 표면을 갖는 페데스탈을 포함하는 정전 척 (ElectroStatic Chuck; ESC) 에 있어서,
    상기 척킹 표면의 적어도 일부는 실리콘 옥사이드 또는 실리콘 나이트라이드의 코팅을 갖는, 정전 척.
  2. 제 1 항에 있어서,
    상기 코팅은 실리콘 옥사이드 및 실리콘 나이트라이드의 조합인, 정전 척.
  3. 제 1 항에 있어서,
    상기 코팅은 다층인 (multi-layered), 정전 척.
  4. 제 1 항에 있어서,
    상기 코팅은 이하:
    (a) 1.0 마이크로미터 내지 5.0 마이크로미터; 또는
    (b) 50 나노미터 내지 30 마이크로미터 중 하나의 범위의 두께를 갖는, 정전 척.
  5. 제 1 항에 있어서,
    기판이 기판 프로세싱 챔버 내의 상기 척킹 표면에 척킹될 때 450 내지 600 ℃ 범위의 온도에서 동작하도록 더 구성되는, 정전 척.
  6. 제 1 항에 있어서,
    상기 실리콘 나이트라이드 또는 상기 실리콘 옥사이드로 코팅된 상기 척킹 표면의 상기 적어도 일부가 상기 기판을 클램핑하기에 충분한 정전력을 생성하는 레벨로 상기 실리콘 옥사이드 또는 상기 실리콘 나이트라이드의 전기 전도도가 상승하도록, 상승된 온도로 유지된 기판 프로세싱 챔버 내에서 동작하도록 더 구성되는, 정전 척.
  7. 제 1 항에 있어서,
    상기 실리콘 옥사이드 또는 상기 실리콘 나이트라이드의 상기 코팅을 갖는 상기 척킹 표면의 상기 적어도 일부는 상기 척킹 표면 상에 형성된 하나 이상의 최소 콘택트 영역들을 포함하는, 정전 척.
  8. 제 1 항에 있어서,
    상기 ESC는 Coulombic 타입 ESC 또는 Johnsen-Rahbek (J-R) 타입 ESC인, 정전 척.
  9. (a) 정전 척 (ESC) 페데스탈의 척킹 표면 상에 형성된 제 1 코팅을 제거하기 위해 할로겐 기반 세정제를 사용하는 단계; 및
    (b) 상기 ESC 페데스탈의 상기 척킹 표면 상에 형성된 제 2 코팅을 증착하는 단계를 포함하는, 방법.
  10. 제 9 항에 있어서,
    상기 ESC 페데스탈의 상기 척킹 표면이 새로운 제 2 코팅으로 반복 각각마다 리프레싱되도록, 상기 단계 (a) 및 상기 단계 (b) 를 반복하는 단계를 더 포함하는, 방법.
  11. 제 10 항에 있어서,
    상기 단계 (a) 및 상기 단계 (b) 는 프로세싱 챔버에서 인 시츄로 (in situ) 수행되고, 반복 각각은 상기 프로세싱 챔버에서 상기 ESC 페데스탈의 상기 척킹 표면에 척킹되는 동안 특정한 양의 증착 재료가 하나 이상의 기판들 상에 증착된 시기에 의해 결정되는, 방법.
  12. 제 11 항에 있어서,
    상기 프로세싱 챔버는 화학적 기상 프로세싱 챔버 또는 원자 층 증착 (Atomic Layer Deposition; ALD) 챔버인, 방법.
  13. 제 9 항에 있어서,
    상기 할로겐 기반 세정제는 불소인, 방법.
  14. 제 9 항에 있어서,
    상기 제 1 코팅 및 상기 제 2 코팅은: 실리콘 옥사이드, 실리콘 나이트라이드 또는 실리콘 옥사이드와 실리콘 나이트라이드 모두의 조합을 포함하는 그룹으로부터 각각 선택되는, 방법.
  15. 제 10 항에 있어서,
    상기 제 2 코팅은 (a) 1.0 마이크로미터 내지 5.0 마이크로미터 또는 (b) 50 나노미터 내지 30 마이크로미터 범위의 두께를 갖는, 방법.
  16. 제 9 항에 있어서,
    ESC 페데스탈의 상기 척킹 표면은 Coulombic 타입 또는 Johnsen-Rahbek 타입 척킹 표면인, 방법.
  17. 제 9 항에 있어서,
    상기 제 2 코팅은 다층인, 방법.
  18. 기판 프로세싱 툴에 있어서,
    프로세싱 챔버; 및
    상기 프로세싱 챔버 내에 구성된 기판을 척킹하기 위한 척킹 표면을 갖는 정전 척 (ESC) 페데스탈을 포함하고,
    상기 기판 프로세싱 툴은,
    (a) 상기 프로세싱 챔버에 제공된 세정제를 사용하여 상기 프로세싱 챔버 내의 표면들 및 상기 척킹 표면 상에 형성된 증착물들을 세정하는 단계; 및
    (b) 상기 세정에 이어서 실리콘 옥사이드 또는 실리콘 나이트라이드로 상기 프로세싱 챔버 내의 상기 표면들 및 상기 척킹 표면을 코팅하는 단계를 포함하는, 유지보수 루팅 (routing) 을 구현하도록 더 구성되고,
    상기 척킹 표면의 상기 세정 및 상기 코팅은 상기 프로세싱 챔버 내의 상기 표면들이 각각 세정되고 코팅되는 동안 상기 프로세싱 챔버에서 인 시츄로 수행되는, 기판 프로세싱 툴.
  19. 제 18 항에 있어서,
    상기 ESC는 Coulombic 타입 또는 Johnsen-Rahbek (J-R) 타입 ESC인, 기판 프로세싱 툴.
  20. 제 18 항에 있어서,
    상기 척킹 표면 상의 상기 코팅은 이하:
    (a) 1.0 마이크로미터 내지 5.0 마이크로미터; 또는
    (b) 50 나노미터 내지 30 마이크로미터의 범위의 두께를 갖는, 기판 프로세싱 툴.
  21. 제 18 항에 있어서,
    상기 척킹 표면 상의 상기 코팅은 다층인, 기판 프로세싱 툴.
  22. 제 18 항에 있어서,
    상기 기판 프로세싱 챔버는 이하:
    (a) 화학적 기상 프로세싱 챔버;
    (b) 원자 층 증착 (ALD) 챔버 중 하나인, 기판 프로세싱 툴.
  23. 제 18 항에 있어서,
    상기 세정제는 불소를 포함하는 할로겐 기반 세정제인, 기판 프로세싱 툴.
  24. 제 18 항에 있어서,
    상기 프로세싱 챔버 내의 상기 표면들 및 상기 척킹 표면을 코팅하는 단계는,
    상기 프로세싱 챔버 내에 플라즈마를 제공하는 단계로서, 상기 플라즈마는 실리콘 전구체 및 반응물질을 포함하는, 상기 플라즈마를 제공하는 단계; 및
    상기 프로세싱 챔버 내의 상기 표면들 및 상기 척킹 표면을 상기 플라즈마에 노출하는 단계로서, 상기 노출은 상기 프로세싱 챔버의 상기 표면들 및 상기 척킹 표면 상에 상기 코팅의 형성을 발생시키는, 상기 노출하는 단계를 더 포함하는, 기판 프로세싱 툴.
  25. 제 18 항에 있어서,
    상기 플라즈마는,
    상기 플라즈마를 리모트로 생성하고 상기 플라즈마를 상기 프로세싱 챔버에 공급하는 단계; 또는
    상기 프로세싱 챔버 내에서 상기 플라즈마를 생성하는 단계에 의해 제공되는, 기판 프로세싱 툴.
  26. 제 18 항에 있어서,
    실리콘 옥사이드 또는 실리콘 나이트라이드의 새로운 코팅이 반복 각각에 이어 상기 프로세싱 챔버에서 인 시츄로 상기 척킹 표면 상에 각각 형성되도록 상기 단계 (a) 및 상기 단계 (b) 를 주기적으로 반복하도록 더 구성되는, 기판 프로세싱 툴.
KR1020207030025A 2018-03-20 2019-03-13 정전 척들을 위한 보호 코팅 KR20200124316A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/926,349 US11086233B2 (en) 2018-03-20 2018-03-20 Protective coating for electrostatic chucks
US15/926,349 2018-03-20
PCT/US2019/022046 WO2019182833A1 (en) 2018-03-20 2019-03-13 Protective coating for electrostatic chucks

Publications (1)

Publication Number Publication Date
KR20200124316A true KR20200124316A (ko) 2020-11-02

Family

ID=67984152

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207030025A KR20200124316A (ko) 2018-03-20 2019-03-13 정전 척들을 위한 보호 코팅

Country Status (4)

Country Link
US (3) US11086233B2 (ko)
KR (1) KR20200124316A (ko)
CN (1) CN111886688A (ko)
WO (1) WO2019182833A1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US20210159048A1 (en) * 2019-11-25 2021-05-27 Applied Materials, Inc. Dual rf for controllable film deposition
US11699611B2 (en) * 2021-02-23 2023-07-11 Applied Materials, Inc. Forming mesas on an electrostatic chuck
US11855153B2 (en) 2021-03-10 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US20230009692A1 (en) * 2021-07-07 2023-01-12 Applied Materials, Inc Coated substrate support assembly for substrate processing
US20230215816A1 (en) * 2021-12-30 2023-07-06 Advanced Semiconductor Engineering, Inc. Package structure
WO2023146648A1 (en) * 2022-01-28 2023-08-03 Lam Research Corporation Undercoating coverage and resistance control for escs of substrate processing systems
US20240141488A1 (en) * 2022-10-27 2024-05-02 Applied Materials, Inc. Coated substrate support assembly for substrate processing in processing chambers

Family Cites Families (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4692836A (en) 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
JPH06326175A (ja) 1993-04-22 1994-11-25 Applied Materials Inc 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法
JPH07201496A (ja) 1993-12-29 1995-08-04 Matsushita Electric Ind Co Ltd プラズマ発生方法及びプラズマ発生装置
US5463526A (en) * 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
US5507874A (en) * 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
JP3249696B2 (ja) 1994-11-28 2002-01-21 日新電機株式会社 静電チャックおよびその使用方法
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5633073A (en) * 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
JP3949186B2 (ja) 1995-12-25 2007-07-25 富士通株式会社 基板載置台、プラズマ処理装置及び半導体装置の製造方法
JP3847363B2 (ja) 1996-02-02 2006-11-22 富士通株式会社 半導体ウェハ処理装置及び半導体ウェハ処理方法
US5812362A (en) * 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
TW334609B (en) 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US5829791A (en) 1996-09-20 1998-11-03 Bruker Instruments, Inc. Insulated double bayonet coupler for fluid recirculation apparatus
US6066836A (en) 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US5737178A (en) * 1997-03-06 1998-04-07 Applied Materials, Inc. Monocrystalline ceramic coating having integral bonding interconnects for electrostatic chucks
TW422892B (en) * 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
JP3624628B2 (ja) * 1997-05-20 2005-03-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US5841624A (en) * 1997-06-09 1998-11-24 Applied Materials, Inc. Cover layer for a substrate support chuck and method of fabricating same
US6936153B1 (en) 1997-09-30 2005-08-30 Semitool, Inc. Semiconductor plating system workpiece support having workpiece-engaging electrode with pre-conditioned contact face
US6104596A (en) 1998-04-21 2000-08-15 Applied Materials, Inc. Apparatus for retaining a subtrate in a semiconductor wafer processing system and a method of fabricating same
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6072685A (en) 1998-05-22 2000-06-06 Applied Materials, Inc. Electrostatic chuck having an electrical connector with housing
US6221221B1 (en) 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6151203A (en) 1998-12-14 2000-11-21 Applied Materials, Inc. Connectors for an electrostatic chuck and combination thereof
US6213478B1 (en) 1999-03-11 2001-04-10 Moore Epitaxial, Inc. Holding mechanism for a susceptor in a substrate processing reactor
US6466881B1 (en) 1999-04-22 2002-10-15 Applied Materials Inc. Method for monitoring the quality of a protective coating in a reactor chamber
US6261977B1 (en) * 1999-09-08 2001-07-17 United Microelectronics Corp. Method for preventing an electrostatic chuck from being corroded during a cleaning process
JP4028149B2 (ja) 2000-02-03 2007-12-26 日本碍子株式会社 加熱装置
JP4637316B2 (ja) 2000-02-24 2011-02-23 京セラ株式会社 筒状体を有するセラミックヒーター及びこれを用いた加熱装置
US6592709B1 (en) 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
JP3870824B2 (ja) 2001-09-11 2007-01-24 住友電気工業株式会社 被処理物保持体、半導体製造装置用サセプタおよび処理装置
JP2003124296A (ja) 2001-10-17 2003-04-25 Sumitomo Osaka Cement Co Ltd サセプタ及びその製造方法
US6879051B1 (en) * 2002-01-16 2005-04-12 Advanced Micro Devices, Inc. Systems and methods to determine seed layer thickness of trench sidewalls
JP4034145B2 (ja) 2002-08-09 2008-01-16 住友大阪セメント株式会社 サセプタ装置
JP3962661B2 (ja) 2002-08-30 2007-08-22 三菱重工業株式会社 静電チャック支持機構及び支持台装置及びプラズマ処理装置
EP1408140A1 (en) * 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
US7270761B2 (en) * 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
WO2004082007A1 (ja) 2003-03-12 2004-09-23 Tokyo Electron Limited 半導体処理用の基板保持構造及びプラズマ処理装置
US20060151117A1 (en) 2003-04-18 2006-07-13 Hitachi Kokusai Electronic Inc. Semiconductor producing device and semiconductor producing method
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
US7567421B2 (en) * 2003-06-17 2009-07-28 Creative Technology Corporation Bipolar electrostatic chuck
JP2005018992A (ja) 2003-06-23 2005-01-20 Ibiden Co Ltd プラズマ発生装置用電極埋設部材
JP2005072286A (ja) 2003-08-25 2005-03-17 Kyocera Corp 静電チャック
TWI236055B (en) 2003-09-05 2005-07-11 United Microelectronics Corp Plasma apparatus and method capable of adaptive impedance matching
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US20070223173A1 (en) 2004-03-19 2007-09-27 Hiroshi Fujisawa Bipolar Electrostatic Chuck
JP2005285825A (ja) 2004-03-26 2005-10-13 Advantest Corp 静電チャック及び静電チャックによる基板固定方法
US20050274324A1 (en) 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
JP2005347620A (ja) 2004-06-04 2005-12-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置の載置台ユニット
TW200612512A (en) 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus
US7375946B2 (en) 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
JP4386360B2 (ja) * 2004-12-06 2009-12-16 信越化学工業株式会社 静電チャック
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
JP2006302887A (ja) 2005-04-20 2006-11-02 Ngk Insulators Ltd 給電部材及び加熱装置
JP4672597B2 (ja) 2005-06-02 2011-04-20 日本碍子株式会社 基板処理装置
US9520276B2 (en) 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
JP4381393B2 (ja) * 2006-04-28 2009-12-09 信越化学工業株式会社 静電チャック
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20080009417A1 (en) * 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7619870B2 (en) * 2006-08-10 2009-11-17 Tokyo Electron Limited Electrostatic chuck
US20080062609A1 (en) 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
JP4768699B2 (ja) 2006-11-30 2011-09-07 キヤノンアネルバ株式会社 電力導入装置及び成膜方法
US20080167720A1 (en) 2007-01-08 2008-07-10 Warsaw Orthopedic, Inc. Expandable vertebral body replacement device
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
US8294069B2 (en) 2007-03-28 2012-10-23 Ngk Insulators, Ltd. Heating device for heating a wafer
JP5660753B2 (ja) 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
US7625227B1 (en) 2007-07-31 2009-12-01 Agilent Technologies, Inc. High performance blind-mate connector
JP2009123929A (ja) 2007-11-15 2009-06-04 Tokyo Electron Ltd プラズマ処理装置
KR101415551B1 (ko) 2008-01-25 2014-07-04 (주)소슬 정전척, 이의 제조 방법 및 이를 포함하는 기판 처리 장치
JP4450106B1 (ja) 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
US8540819B2 (en) 2008-03-21 2013-09-24 Ngk Insulators, Ltd. Ceramic heater
TWI475594B (zh) * 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20090314208A1 (en) 2008-06-24 2009-12-24 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
CN102160167B (zh) * 2008-08-12 2013-12-04 应用材料公司 静电吸盘组件
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
KR101336363B1 (ko) 2009-01-29 2013-12-04 도쿄엘렉트론가부시키가이샤 성막 장치 및 가스 토출 부재
JP5657262B2 (ja) 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
JP5731485B2 (ja) * 2009-05-15 2015-06-10 インテグリス・インコーポレーテッド ポリマー突起を有する静電チャック
TW201100578A (en) * 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
US9213021B2 (en) 2009-07-16 2015-12-15 Waters Technologies Corporation Self-unlocking fluid-tight connector
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5460184B2 (ja) 2009-08-28 2014-04-02 株式会社日本セラテック 支持装置
JP2011061040A (ja) 2009-09-10 2011-03-24 Tokyo Electron Ltd 載置台構造及び処理装置
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
JP2011165891A (ja) 2010-02-09 2011-08-25 Tokyo Electron Ltd 載置台構造及び処理装置
KR101731136B1 (ko) * 2010-05-28 2017-04-27 엔테그리스, 아이엔씨. 표면저항이 높은 정전 척
JP5796076B2 (ja) * 2010-09-08 2015-10-21 インテグリス・インコーポレーテッド 高導電性静電チャック
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US9399812B2 (en) * 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US8884524B2 (en) 2011-11-22 2014-11-11 Applied Materials, Inc. Apparatus and methods for improving reliability of RF grounding
US9948214B2 (en) 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
JP5351316B1 (ja) 2012-08-15 2013-11-27 株式会社アドバンテスト 試料保持具及びこれを用いた電子ビーム露光方法
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
JPWO2014057771A1 (ja) 2012-10-12 2016-09-05 株式会社日立金属ネオマテリアル 金属基板
CN103794445B (zh) * 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
US10937684B2 (en) * 2012-11-28 2021-03-02 Kyocera Corporation Placement member and method of manufacturing the same
CN104822971B (zh) 2012-11-30 2017-06-23 黑田精工株式会社 轴终端用转接器和滚珠丝杠组装体
US9916998B2 (en) * 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) * 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) * 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
KR101333631B1 (ko) 2012-12-21 2013-11-27 (주)보부하이테크 퀄츠 히터
US9358702B2 (en) * 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9666466B2 (en) * 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US8934826B2 (en) * 2013-05-30 2015-01-13 Xerox Corporation Surface tension interference coating process for precise feature control
JP6119430B2 (ja) * 2013-05-31 2017-04-26 住友大阪セメント株式会社 静電チャック装置
KR102238750B1 (ko) * 2013-08-10 2021-04-08 어플라이드 머티어리얼스, 인코포레이티드 새로운 또는 개장된 정전 척을 폴리싱하는 방법
US9711318B2 (en) 2013-12-20 2017-07-18 Nicholas R. White Ribbon beam ion source of arbitrary length
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
CN104752143B (zh) 2013-12-31 2017-05-03 中微半导体设备(上海)有限公司 一种等离子体处理装置
US20150194326A1 (en) 2014-01-07 2015-07-09 Applied Materials, Inc. Pecvd ceramic heater with wide range of operating temperatures
KR20160113724A (ko) * 2014-02-07 2016-09-30 어플라이드 머티어리얼스, 인코포레이티드 Dsa에서의 보우형 웨이퍼들에 대한 척킹 능력
JP6527524B2 (ja) * 2014-02-07 2019-06-05 インテグリス・インコーポレーテッド 静電チャックおよびその作製方法
US20150243483A1 (en) 2014-02-21 2015-08-27 Lam Research Corporation Tunable rf feed structure for plasma processing
TWI575566B (zh) * 2014-02-24 2017-03-21 東京威力科創股份有限公司 與光敏化化學放大光阻化學品及程序一起使用的方法及技術
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US20170110385A1 (en) 2014-04-08 2017-04-20 Panasonic Intellectual Property Management Co., Ltd. Heat-conductive sheet and production method therefor
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
JP6424024B2 (ja) 2014-06-24 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
TWI665328B (zh) 2014-07-02 2019-07-11 美商應用材料股份有限公司 用於電漿處理的多區域基座
KR101465640B1 (ko) 2014-08-08 2014-11-28 주식회사 펨빅스 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품
CN106575634A (zh) 2014-08-15 2017-04-19 应用材料公司 在等离子体增强化学气相沉积系统中于高温下使用压缩应力或拉伸应力处理晶片的方法和装置
US10325800B2 (en) * 2014-08-26 2019-06-18 Applied Materials, Inc. High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US10008404B2 (en) * 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US9431268B2 (en) * 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9828672B2 (en) * 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10177024B2 (en) 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US10008399B2 (en) * 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10153139B2 (en) 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10153136B2 (en) 2015-08-04 2018-12-11 Lam Research Corporation Hollow RF feed with coaxial DC power feed
WO2017066311A1 (en) * 2015-10-12 2017-04-20 Applied Materials, Inc. Substrate carrier for active/passive bonding and de-bonding of a substrate
US9954508B2 (en) 2015-10-26 2018-04-24 Lam Research Corporation Multiple-output radiofrequency matching module and associated methods
US10020218B2 (en) * 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
CN116364634A (zh) * 2016-01-12 2023-06-30 住友大阪水泥股份有限公司 静电卡盘装置及静电卡盘装置的制造方法
US10249526B2 (en) * 2016-03-04 2019-04-02 Applied Materials, Inc. Substrate support assembly for high temperature processes
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) * 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10283330B2 (en) 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US20180061684A1 (en) * 2016-08-26 2018-03-01 Applied Materials, Inc. Optical heating of light absorbing objects in substrate support
JP6626419B2 (ja) * 2016-09-30 2019-12-25 新光電気工業株式会社 静電チャック、基板固定装置
JP6869034B2 (ja) 2017-01-17 2021-05-12 東京エレクトロン株式会社 プラズマ処理装置
CN110235237B (zh) 2017-03-06 2023-12-26 日本碍子株式会社 晶圆支撑台
US10079154B1 (en) * 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
KR20230096124A (ko) 2017-03-28 2023-06-29 스미토모덴키고교가부시키가이샤 웨이퍼 유지체
JP6948822B2 (ja) * 2017-04-25 2021-10-13 東京エレクトロン株式会社 基板処理装置及び基板取り外し方法
KR102658739B1 (ko) * 2017-05-03 2024-04-17 램 리써치 코포레이션 컨디셔닝 챔버 컴포넌트
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
JP6811144B2 (ja) 2017-05-30 2021-01-13 東京エレクトロン株式会社 プラズマ処理装置の静電チャックを運用する方法
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
EP3450809A1 (de) 2017-08-31 2019-03-06 VAT Holding AG Verstellvorrichtung mit spannzangenkupplung für den vakuumbereich
US11469084B2 (en) 2017-09-05 2022-10-11 Lam Research Corporation High temperature RF connection with integral thermal choke
US20190115241A1 (en) * 2017-10-12 2019-04-18 Applied Materials, Inc. Hydrophobic electrostatic chuck
US11532459B2 (en) * 2017-11-09 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus with cleaning gas flow guiding member
US10410845B2 (en) * 2017-11-22 2019-09-10 Applied Materials, Inc. Using bias RF pulsing to effectively clean electrostatic chuck (ESC)
JP6799549B2 (ja) * 2018-01-16 2020-12-16 東京エレクトロン株式会社 プラズマ処理装置の部品をクリーニングする方法
JP6799550B2 (ja) * 2018-01-16 2020-12-16 東京エレクトロン株式会社 プラズマ処理装置の部品をクリーニングする方法
CN111771264A (zh) * 2018-01-30 2020-10-13 朗姆研究公司 在图案化中的氧化锡心轴
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11232966B2 (en) * 2018-02-01 2022-01-25 Lam Research Corporation Electrostatic chucking pedestal with substrate backside purging and thermal sinking
US10636686B2 (en) * 2018-02-27 2020-04-28 Lam Research Corporation Method monitoring chamber drift
US11014853B2 (en) * 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10957572B2 (en) * 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
US20200013590A1 (en) * 2018-07-06 2020-01-09 Tokyo Electron Limited Protective layer for chucks during plasma processing to reduce particle formation
US11183368B2 (en) 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
WO2020060929A1 (en) * 2018-09-21 2020-03-26 Lam Research Corporation Method for conditioning a plasma processing chamber
WO2020227408A1 (en) * 2019-05-07 2020-11-12 Lam Research Corporation Electrostatic chuck system
US11976357B2 (en) * 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
JP2021132148A (ja) 2020-02-20 2021-09-09 東京エレクトロン株式会社 ステージ、プラズマ処理装置及びプラズマ処理方法

Also Published As

Publication number Publication date
US11086233B2 (en) 2021-08-10
US11835868B2 (en) 2023-12-05
WO2019182833A1 (en) 2019-09-26
CN111886688A (zh) 2020-11-03
US20210333715A1 (en) 2021-10-28
US20190294050A1 (en) 2019-09-26
US20240045344A1 (en) 2024-02-08

Similar Documents

Publication Publication Date Title
US20240045344A1 (en) Protective coating for electrostatic chucks
CN108878246B (zh) 用于腔室部件的多层等离子体侵蚀保护
CN109075030B (zh) 用于在等离子体处理腔室中的原位腔室清洁效率提高的等离子体处理工艺
KR102594473B1 (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
US20170229314A1 (en) Atomic layer etching 3d structures: si and sige and ge smoothness on horizontal and vertical surfaces
KR102627019B1 (ko) 작은 갭을 갖는 핀 리프터 어셈블리
JP2018082149A (ja) 酸素適合性プラズマ源
US10626497B2 (en) Method for cleaning components of plasma processing apparatus
CN111033699B (zh) 改良的金属接触定位结构
KR100724571B1 (ko) 인시투 클리닝 기능을 갖는 플라즈마 처리장치 및 그사용방법
TWI705498B (zh) 蝕刻介電層中之特徵部的方法
KR20090129417A (ko) 유전체 커버를 갖는 에지 전극
KR20080102926A (ko) 반도체 장치 및 이를 이용한 방법
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
US10734204B2 (en) Method for cleaning components of plasma processing apparatus
US8845816B2 (en) Method extending the service interval of a gas distribution plate
KR20210048568A (ko) 플라즈마 프로세싱 챔버를 컨디셔닝하기 위한 방법
KR20220156048A (ko) 플라즈마 프로세싱 챔버를 컨디셔닝하기 위한 방법
EP2525416A2 (en) Method for rear point contact fabrication for solar cells
JP2005012217A (ja) 半導体製造装置
KR102361523B1 (ko) 포커스 링을 구비한 기판 처리 장치 및 방법
TWI810825B (zh) 一種清潔晶圓、等離子體處理裝置和處理方法
KR102387279B1 (ko) 지지 유닛 및 이를 이용한 기판 처리 장치
KR20040090151A (ko) 박막 증착 장치
KR100584781B1 (ko) 반도체 장치의 제조 방법 및 이를 이용한 박막 제조 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal