CN108987304A - 基板处理设备、基板处理方法以及基板支撑装置 - Google Patents

基板处理设备、基板处理方法以及基板支撑装置 Download PDF

Info

Publication number
CN108987304A
CN108987304A CN201810530514.6A CN201810530514A CN108987304A CN 108987304 A CN108987304 A CN 108987304A CN 201810530514 A CN201810530514 A CN 201810530514A CN 108987304 A CN108987304 A CN 108987304A
Authority
CN
China
Prior art keywords
substrate
edge
support device
baseplate support
baseplate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810530514.6A
Other languages
English (en)
Other versions
CN108987304B (zh
Inventor
郑相桭
韩政勳
崔永锡
朴柱赫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN108987304A publication Critical patent/CN108987304A/zh
Application granted granted Critical
Publication of CN108987304B publication Critical patent/CN108987304B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

提供了一种基板处理设备、基板处理方法以及基板支撑装置,其防止处理气体在高温处理中侵入基板的后表面。基板支撑装置包括支撑部分,该支撑部分被构造为与在特定温度下变形的基板的边沿去除区域具有线接触。

Description

基板处理设备、基板处理方法以及基板支撑装置
技术领域
一个或多个实施例涉及基板支撑装置(例如基座)以及包括该基板支撑装置的基板处理设备及基板处理方法,并且更具体地,涉及可以防止待处理的基板的后表面沉积的基板支撑装置以及包括该基板支撑装置的基板处理设备。
背景技术
在半导体沉积设备中,加热器通常可以设置在反应器中以向安装的基板供应热量。加热器被称为加热器块,并且可以包括热线和热电偶(thermoelectric couple,TC)。在加热器块的上端进一步设置有基座,基板在反应空间内基本安装在基座上。但是,当在高温下执行处理时,基座或基板可能由于高温而变形。当处理气体侵入变形的基座与基板之间或变形的基板与基座之间时,可沉积基板的后表面。沉积在基板后表面上的膜可能不仅成为反应器中的污染源,而且成为后续工艺中污染设备的污染源。此外,该膜可能会使半导体装置产量和装置性能劣化。
发明内容
一个或多个实施例包括能够防止在膜沉积过程中使用的气体侵入基板的后表面并在其上形成薄膜的基板支撑装置以及包括该基板支撑装置的基板处理设备。
其它方面将在下面的描述中部分地阐述,并且部分地将从描述中显而易见,或者可以通过实践所呈现的实施例而了解。
根据一个或多个实施例,基板支撑装置包括内部部分、周边部分以及形成在内部部分和周边部分之间的凹入部分,其中第一台阶部分形成在内部部分和凹入部分之间,第二台阶部分形成在周边部分和凹入部分之间。
基板支撑装置还可以包括布置在凹入部分中的边缘,其中边缘布置在第一台阶部分和第二台阶部分之间。
边缘可以包括形成在边缘的朝向内部部分的上表面上的第三台阶部分。
第三台阶部分可以包括垫,并且基板可以容纳在该垫上。
第一台阶部分的高度可以低于垫的高度,使得基板的下表面与内部部分间隔开。
第三台阶部分的高度可以低于基板的上表面。
第一台阶部分和边缘可以彼此间隔开。
第二台阶部分的高度可以低于边缘的高度。
边缘可以包括绝缘体。
基板可以容纳在边缘上,基板可以在特定温度下变形以具有朝向内部部分的一定曲率,并且变形的基板可以与边缘具有线接触。
边缘的形成线接触的部分可以具有非直角形状。
根据一个或多个实施例,用于容纳包括边沿去除区域的基板的基板支撑装置,基板支撑装置包括被构造为与在特定温度下变形的基板的边沿去除区域具有线接触的支撑部分。
当基板在第一温度下容纳在支撑部分上时,边沿去除区域可与支撑部分具有第一接触。
基板可以在高于第一温度的第二温度下变形,使得边沿去除区域和基板的侧表面之间的区域与支撑部分具有第二接触,并且基板和支撑部分通过第二接触彼此接触的区域小于基板和支撑部分通过第一接触彼此接触的区域。
基板支撑装置的形成线接触的部分的表面粗糙度可以小于基板支撑装置的其它部分的表面粗糙度。
基板支撑装置可以进一步包括加热部分,该加热部分与基板间隔开布置,其中根据基板和加热部分之间的距离来控制形成在基板上的薄膜的特性。
根据一个或多个实施例,基板处理设备包括反应器壁、基板支撑装置、加热器块、进气单元、气体供应单元和排气单元,其中反应器壁和基板支撑装置具有形成反应空间的面接触,并且基板支撑装置包括基座主体和边缘。
基座主体可以包括内部部分、周边部分以及在内部部分和周边部分之间形成的凹入部分,并且边缘可以布置在凹入部分中。
第一空间可以形成在基板和内部部分之间,并且第二空间可以形成在内部部分和边缘之间。
根据一个或多个实施例,用于沉积薄膜的基板处理方法包括供应源气体、供应反应气体和激活反应气体,这些步骤被重复以沉积薄膜,其中基板和基座彼此间隔开,并且根据基座的主体与基板之间的间隔来控制薄膜的特性。
附图说明
从以下结合附图对实施例的描述中,这些和/或其它方面将变得明显且更容易理解,在附图中:
图1A示意性地示出了根据一个实施例的基板支撑装置,例如基座主体;
图1B是沿着图1A的线A-A'截取的基板支撑装置的横截面图;
图1C示意性地示出了具有圆形凹入表面的基座主体的凹入部分;
图2A示意性地示出了根据一个实施例的基座主体和边缘彼此分离;
图2B示出了图2A的基座主体和边缘彼此联接;
图2C是沿图2B的线B-B'截取的基板支撑装置的横截面图;
图2D示出了边缘联接到图1C的凹入部分;
图3是示出根据一个实施例的边缘被容纳在基座主体的凹入部分中的放大视图;
图4是图3的区域S1的放大截面图;
图5是图3的区域S2的放大截面图;
图6示意性地示出了包括边沿去除区域的基板;
图7示出了根据一个实施例的图6的基板被容纳在垫上;
图8示意性地示出了通过使用图7的组件来执行高温处理;
图9是根据实施例的包括基板支撑装置的基板处理设备的示意性横截面图;
图10A和图10B是示意性示出根据其它实施例的使用基板处理设备的基板处理方法的流程图。
图11A至图11C示出了当通过使用图9的基板处理设备执行处理时沉积在基板的后表面上的SiO2膜的厚度;以及
图12是示出根据一个实施例的当通过等离子增强式原子层沉积(plasma-enhanced atomic layer deposition,PEALD)方法利用基座在基板上沉积SiO2膜时根据基座的内部部分和基板之间的距离的湿蚀刻率(WER)的变化的曲线图。
具体实施方式
提供实施例以向本发明构思所属领域的普通技术人员进一步完整地解释本发明构思。然而,本发明构思不限于此,并且应当理解的是,在不脱离所附权利要求的精神和范围的情况下,可以在其中进行形式和细节上的各种改变。也就是,可以仅为了解释本发明构思的实施例而呈现关于特定结构或功能的描述。
在本说明书中使用的术语用于解释具体实施例,而不是用于限制本发明构思。因此,除非在上下文中另外明确规定,否则本说明书中的单数的表达包括复数的表达。而且,诸如“包括”和/或“包含”的术语可以被解释为表示特定的特征、数量、步骤、操作、组成元素或其组合,但是不能被解释为排除附加一个或多个其它特征、数字、步骤、操作、组成元素或其组合的存在或可能性。如在本说明书中所使用的,术语“和/或”包括所列项目中的任何一个以及所有的项目的至少一个组合。
在本说明书中,诸如“第一”和“第二”之类的术语在本文中仅用于描述各种构件、部件、区域、层和/或部分,但是组成元件不受术语限制。很明显,这些构件、部件、区域、层和/或部分不受这些术语的限制。这些术语仅用于区分一个构成要素与另一个构成要素的目的。因此,在不脱离本发明构思的正确范围的情况下,第一构件、部件、区域、层或部分可以指代第二构件、部件、区域、层或部分。
在下文中,参考附图详细描述本发明构思的实施例。在附图中,所示形状可以根据例如制造技术和/或公差来修改。因此,本发明构思的实施例可以不被解释为限于本说明书中描述的部分的特定形状,并且可以包括例如在制造期间产生的形状的变化。
图1A示意性地示出了根据一个实施例的基板支撑装置。图1B是沿着图1A的线A-A'截取的基板支撑装置的横截面图。
参考图1A和1B,根据本实施例的基板支撑装置可以包括基座主体B。基座主体B可以在其一个表面上包括内部部分1、周边部分3和形成在内部部分1和周边部分3之间的凹入部分2。如下所述,边缘(参见图2A至图2C)可以布置在凹入部分2中。
内部部分1和凹入部分2形成第一台阶部分10。第一台阶部分10可以形成在内部部分1与凹入部分2之间。周边部分3和凹入部分2形成第二台阶部分20。第二台阶部分20可以形成在周边部分3与凹入部分2之间。边缘可以布置在第一台阶部分10和第二台阶部分20之间。
在一个实施例中,基座主体B被制造成连续的一个部件,通常呈圆形和盘状。然而,基座主体B的形状不限于此,并且基座主体B可以具有与待处理的基板的形状对应的形状。例如,在待处理的基板为矩形显示器基板的情况下,基座主体B可以具有用以容纳矩形基板的矩形形状。
基座主体B可以被调节和构造成具有能够容纳具有特定直径的半导体基板的尺寸,包括例如150mm、200mm和300mm的基板。此外,基座主体B可以由诸如铝或合金的金属材料或具有高导热率的材料形成,以平稳地将热从支撑基座主体B的加热器块(未示出)传递到基板。
内部部分1可以包括至少一个基板支撑销孔22以装载和支撑基板。此外,内部部分1可以包括至少一个基座主体固定支撑销孔23,以将基座主体B固定到加热器块。
周边部分3可以具有平坦表面以通过面接触和面密封反应器的反应器壁来形成反应空间。内部部分1可以具有平坦表面以均匀地将热从加热器块传递到基板。
基座主体B的结构不限于图1A和图1B所示的结构。例如,尽管凹入部分2被示出为平坦的,但是替代地,如图1C所示,凹入部分2可以具有圆形表面。此外,内部部分1也可以具有凹入表面。当待处理的基板在高温处理中变形时,待处理的基板可具有一定曲率。内部部分1的凹入表面的曲率可以对应于在高温处理中变形的基板的曲率,并且因此可以实现到基板的均匀热传递。
图2A示意性地示出根据一个实施例的基座主体B和边缘4彼此分离。图2B示出了图2A的基座主体B和边缘4相互联接。图2C是沿图2B的线B-B'截取的基板支撑装置的横截面图。
参考图2A至图2C,根据本实施例的基板支撑装置可以包括基座主体B和用于支撑基板的边缘4。如图2B和图2C所示,边缘4可以容纳在凹入部分2上。待处理的基板可以容纳在边缘4上。
边缘4可以布置在基座主体B的内部部分1和周边部分3之间。边缘4可以布置成与内部部分1间隔开,并且因此即使当内部部分1或边缘4在高温下沿水平方向热膨胀时,基座主体B也可保持形状。例如,如图2C所示,第一台阶部分10和边缘4可以布置成彼此间隔开距离W。
基座主体B和边缘4可以由不同的材料形成。例如,基座主体B可以由诸如铝或合金的金属材料或者具有高导热率的材料形成,以平稳地将热传递到基板。边缘4可以包括绝缘体。详细地,边缘4可以由例如具有低热膨胀率的陶瓷等材料形成,以在高温下稳定地支撑基板。
尽管边缘4可以是具有矩形截面的环形形状,但是本公开不限于此。例如,当凹入部分2如图1C所示具有圆形凹入表面时,边缘4可以具有如图2D所示的凸出下表面的形状。
基座主体B和/或边缘4可以被调节和构造成具有能够容纳具有特定直径的半导体基板的尺寸,包括例如150mm、200mm和300mm的基板。
边缘4可以从基座主体B上拆卸下来。具体地,边缘4的外周表面与基座主体B的凹入部分2的内周表面彼此机械联接,因此边缘4可以通过例如外周表面和内周表面之间的摩擦力而安装在基座主体B中。在一些实施例中,边缘4可以被具有不同宽度和/或高度的边缘替换。
图3是示出根据一个实施例的边缘4容纳在基座主体B的凹入部分2中的放大视图。图3示出了基板5布置在基板支撑装置中的状态。
参考图3,如上所述,凹入部分2和内部部分1形成第一台阶部分10。周边部分3和凹入部分2形成第二台阶部分20。此外,边缘4布置在第一台阶部分10与第二台阶部分20之间的凹入部分2上。如图3所示,边缘4和内部部分1彼此隔开一定的距离W,因此基座主体B即使在高温下也可以保持其形状。为了执行沉积工艺,周边部分3可以通过面接触和面密封反应器的反应器壁来形成反应空间,这将在下面参考图9进行描述。
根据一个实施例,如图3所示,边缘4可以包括在边缘4的上表面的内侧上朝向内部部分1形成的第三台阶部分30。在这种情况下,基板5可以容纳在第三台阶部分30的内侧上。在一些实施例中,边缘4的第三台阶部分30可以进一步包括垫31,基板5可以容纳在垫上。根据一个实施例,如稍后参考图6和图7所述,基板5的边沿部分,例如边沿去除区域,被容纳在垫31上。
图4是图3的区域S1的放大截面图,示出了基座主体B、边缘4和基板5的相互布置关系。
根据一个实施例,内部部分1的高度“a”,即第一台阶部分10的高度“a”,可以设定为低于从边缘4的下表面到第三台阶部分30的高度,即垫31的高度“b”。在上述结构中,当基板5容纳在垫31上时,基板5的下表面和内部部分1彼此间隔开。由于基板5的下表面和内部部分1彼此间隔开,因此在高温处理期间可以防止处理气体侵入基座和基板之间,原因如下。
在高温处理中,硅基板通常可能朝向加热源例如加热器块向下翘曲,即沿着基座主体B的方向翘曲。当基板的下表面和基板支撑装置彼此不隔开时,如果基板由于高温处理而变形,则在基板与基板支撑装置之间产生间隙。处理气体可能侵入到间隙中,并且侵入处理气体可能沉积在基板5的后表面上。
然而,当基板5的下表面和内部部分1彼此分开时,如图8所示,随着基板5由于高温处理而向下翘曲,在垫31和基板5之间产生接触点。在本实施例中,接触点可以沿着边缘4的上表面形成圆形接触线。接触线可以用作防止反应器中的处理气体侵入基板5下方或基板5与内部部分1之间的空间中的屏障。
在以下描述中,当两个表面彼此接触而形成接触线时,可以说两个表面形成线接触。由线接触引起的接触线可以具有例如与待处理的基板相对应的薄厚度的环形形状的形状。作为另外一种选择,可以在基板支撑装置的角部(例如边缘4)处产生线接触。
为了促进从加热块(图9的72)向基板5的热辐射,基板5和内部部分1之间的距离(b-a)可以是例如0.1mm至0.5mm。在一个示例中,距离(b-a)可以是大约0.3mm。
如上所述,基座主体B可以由诸如铝或合金的金属材料或者具有高导热率的材料形成,以平稳地将热传递到基板。此外,边缘4可以由具有较低热变形的材料(例如陶瓷)形成,以在高温下稳定地支撑基板。这样,当基座主体B在高温下具有比基板5更大的变形程度时,由于基板5和内部部分1以及内部部分1和边缘4彼此间隔开,并且其上容纳基板的边缘4由具有相对低的热变形的材料形成,所以可以在不影响基板的情况下稳定地执行高温处理。
边缘4可以由具有较低热变形的材料形成以在高温下保持与基板5线接触。例如,边缘4可以具有适合于在超过300℃的高温下保持与基板5的线接触的热膨胀率。
当第三台阶部分30的端部G成角度时,随着基板5向下翘曲,基板5可以仅与成角度的端部G具有线接触。具有窄宽度的线接触可能不适合于防止处理气体的侵入。此外,由于成角度的端部G施加到基板5的压力很强,所以基板5可能被损坏。
为了防止这些问题,在另一个实施例中,第三台阶部分30的端部G可以具有圆形形状。圆形形状可以被构造成与通过高温处理变形的基板5形成较大宽度的线接触。如果第三台阶部分30的端部G为圆形,则翘曲的基板5与端部G的接触部分之间的接触部分变宽,因此施加于基板5的压力可以进一步稳定分布。在一个实施例中,圆形的曲率可以是R=1.0。
在一些实施例中,具有线接触的部分可被抛光以具有低表面粗糙度。因此,在基板支撑装置中具有线接触的部分的表面粗糙度可以小于基板支撑装置的其它部分的表面粗糙度。由此,可以提高基板5与基板支撑装置的接触表面的紧密接触。因此,可以屏蔽处理气体侵入基板5和基板支撑装置之间。
在另一个实施例中,第三台阶部分30可具有朝向边缘4的上表面倾斜的结构H。结构H可以提供自对准功能,以使基板5精确地容纳在边缘4上。
此外,如图4所示,第三台阶部分30的高度“c”可以不高于基板5的上表面。换句话说,第三台阶部分30的高度“c”可以被构造成与基板5的厚度“d”相同或者小于该厚度“d”。因此,供应到基板5的处理气体被引导通过边缘4的上表面上方的排气通道(图9的71)平稳地排出,并且因此可防止反应空间在处理期间被污染。
图5是图3的区域S2的放大截面图,示出了边缘4与周边部分3之间的相互布置关系。
如图5所示,基座主体B的周边部分3的高度,即第二台阶部分20的高度“e”,可被构造成低于边缘4的高度“f”。因此,可防止污染源向后流向反应空间(图9的70),该污染源为例如在处理气体侵入反应器壁(图9中的79)和周边部分3的接触表面中时产生的污染颗粒或者留在接触表面上的颗粒。
图6示意性地示出了包括边沿去除区域的基板。
基板可以在其边沿处包括边沿去除区域Z。由于边沿去除区域Z未被用作为装置形成部分的模具,所以边沿去除区域Z与基板的其它区域的区别在于不需要沉积的均匀性。典型地,边沿去除区域Z形成在距基板边沿约2mm至3mm的区域中。在本说明书中,假设基板5的边沿去除区域Z具有间隔M。
图7示出了根据一个实施例的图6的基板被容纳在垫31上。
在本实施例中,基座主体B和边缘4由具有不同导热率的材料形成,并且基板S和内部部分1彼此间隔开。因此,在基板S中,接触边缘4的部分和不接触边缘4的部分可以具有不同的温度。由于沉积过程通常对基板S的温度敏感,所以温度的不规则可能影响沉积过程。相应地,如图7所示,当基板5被容纳在边缘4上时,边缘4可以仅在边沿去除区域Z中接触基板5。因此,可以在除了边沿去除区域Z之外的基板5的区域中保证温度均匀性。
此外,当基板5在高温下向下翘曲时,边缘4和基板5可以在边沿去除区域Z内,即距基板5的边沿的间隔M处,形成线接触。相应地,如图8所示,当基板5在高温例如300℃或更高温度下变形时,可能不在基板的除了边沿去除区域Z之外的后表面上执行不必要的沉积。
总结图7和图8的结构,可以如下描述根据实施例的基板支撑装置。
-基板支撑装置可以容纳包括边沿去除区域Z的基板。
-基板支撑装置可以包括支撑部分(未示出),并且支撑部分可以被构造为与在特定温度(例如300℃)下变形的基板具有线接触。
-(图7)当基板在第一温度(低温)下被容纳在支撑部分上时,基板5的边沿去除区域Z可与支撑部分具有第一接触,即面接触。由于第一接触,边沿去除区域Z的一部分与支撑部分之间的第一距离以及边沿去除区域Z的另一部分与支撑部分之间的第二距离可以基本相同。
-(图8)在高于第一温度的第二温度(高温)下,基板5变形为使得边沿去除区域Z与基板5的一侧(边沿)之间的区域与支撑部分(例如支撑部分的成角度部分或倒圆部分)具有第二接触,即线接触。基板5和支撑部分由于第二接触而彼此接触的区域可以小于基板5和支撑部分由于第一接触而彼此接触的区域。
由于第二接触,边沿去除区域Z的一部分与支撑部分之间的第一距离可以与边沿去除区域Z的另一部分与支撑部分之间的第二距离显著不同。例如,边沿去除区域Z的形成第二接触的一部分与支撑部分之间的第一距离可以小于边沿去除区域Z的未形成第二接触的部分与支撑一部分之间的第二距离。在一些实施例中,为了通过减小第一距离来改善基板5和支撑部分之间的紧密接触,可以抛光形成与边沿去除区域Z的第二接触的支撑部分的一部分。
在一个实施例中,垫31的端部可以被处理成具有非直角形状。例如,端部可以倒角。在另一个示例中,端部可以被处理为圆形。因此,垫31的端部可以与边沿去除区域Z具有线接触。因此,在基板5的后表面中除了边沿去除区域Z之外的区域中可能不执行不必要的沉积,因为垫31的非直角部分与基板5之间的线接触用作防止处理气体在高温处理中侵入的屏障。
在一些实施例中,垫31的长度和倒圆部分的曲率可以被调节以防止处理气体侵入待处理的基板的后表面。例如,垫31的长度可以等于或小于边沿去除区域Z的长度M。在另一个实施例中,倒圆部分可以被构造成具有防止待处理的基板移动或倾斜的曲率。
具体而言,当倒圆部分的曲率值太小,即曲率半径过大时,倒圆部分与待处理的基板之间形成线接触的区域太小,因此该区域可能不适当成为屏障。相反,当倒圆部分具有过大的曲率值,即小的曲率半径时,待处理的基板变形并且因此待处理的基板的位置可能改变。因此,倒圆部分可以具有实现与待处理的基板的足够的接触区域并且减少待处理的基板的移动或倾斜的曲率值。
上面的公开提供了基板支撑装置(例如,基座)的多个实施例以及多个代表性优点。为了简单起见,仅描述相关特征的有限数量的组合。但是,可以理解的是,特性的某个例子可以与特性的另一个例子组合。此外,可以理解的是,优点是非限制性的,并且特定优点不是或不要求是特定实施例的特征。
图9是根据实施例的包括基板支撑装置的基板处理设备的示意性横截面图。虽然本说明书中描述的基板处理设备的示例可以包括用于半导体或显示器基板的沉积设备,但是本公开不限于此。基板处理设备可以是执行用于形成膜的材料的沉积所需的任何设备,或者可以指用于均匀地供应用于材料的蚀刻或抛光的源材料的设备。在以下的说明中,为了便于说明,假定基板处理设备是半导体沉积装置。
根据本实施例的基板处理设备可以包括反应器78、反应器壁79、基座主体B(图9的13)以及基板支撑装置(基座部分),该基板支撑装置包括边缘4、加热器块72、进气单元73、气体供应单元75和排气单元71。
参考图9,基座部分设置在反应器78中。在本实施例中,基座部分可以是例如图3至图6中所示的基板支撑装置。基座部分的基座主体B可以包括内部部分1、周边部分3和形成在其间的凹入部分2。边缘4布置在凹入部分2上。
反应器78是其中执行原子层沉积(atomic layer deposition,ALD)或化学气相沉积(chemical vapor deposition,CVD)过程的反应器。反应器壁79和基座主体B或13的周边部分3具有面接触和面密封,从而形成反应空间70。为了防止随着处理气体侵入反应器壁79和周边部分3的接触表面而产生的污染源朝向反应空间70回流,边缘4的高度可以高于周边部分3。
用于装载/卸载基板5的基座主体B可以被构造为通过连接到设置在基座主体B的一侧上的装置(未示出)而移动。例如,基座主体B与能够使基座主体B升降的装置连接,在反应器壁79与基座主体B或13之间可以形成输入基板5的入口。在图9中,基板5被装载在边缘4上。根据一个实施例,反应器78可以具有向上的排气结构,但是本公开不限于此。
加热器块72可以包括加热线,并且可以向基座主体B和基板5供热。气体供应单元可以包括气体通道74、气体供应板75和气体流动通道76。气体流动通道76可以形成在气体通道74与气体供应板75之间。通过进气单元73输入的处理气体可以通过气体流动通道76和气体供应板75供应到反应空间70和基板5。气体供应板75可以是喷头,并且喷头的基部可以包括形成为喷射处理气体的多个气体供应孔。供应到基板5的处理气体与基板5发生化学反应或气体之间发生化学反应,然后可以沉积在基板5上。
排气单元可以包括排气通道71和排气口77。在反应空间70中,与基板5发生化学反应后残留的残留气体或非反应性气体可以通过形成于反应器壁79的排气通道71、排气口77和排气泵(未示出)排出到外部。排气通道71可以在反应器壁79中沿反应器壁79连续形成。排气通道71的上部的一部分可以连接到排气口77。
气体通道74和气体供应板75由金属材料形成,并且通过诸如螺钉的联接单元彼此机械联接,并且可以在等离子体处理期间用作电极。在等离子体处理期间,射频(radiofrequency,RF)电源可以电连接到用作电极的喷头。详细地,连接到RF电源的RF杆80可以通过穿透反应器壁79而连接到气体通道74。在这种情况下,基座13可以用作另一电极。在一些实施例中,例如,为了防止在等离子体处理期间施加的等离子体功率被排放到周围环境,绝缘体(未示出)被插入RF杆80和反应器壁79之间和/或气体通道74和反应器壁79之间,由此形成堆叠结构。等离子体处理的效率可以通过防止等离子体功率泄漏而增加。
韩国专利申请No.10-2016-0152239详细描述了反应器78的进气单元73和排气单元的实施例。
图10A和图10B是示意性示出根据其它实施例的使用基板处理设备的基板处理方法的流程图。根据本实施例的基板处理方法可以通过使用根据上述实施例的基板支撑装置和基板处理设备来执行。具体地,基板处理方法在其中基板5和基座13的内部部分1彼此间隔开的状态下执行。在下面的描述中省略了实施例之间的冗余描述。
参考图10A,基板处理方法可以包括源气体供应操作S01、反应气体供应操作S03和反应气体激活操作S04。随着操作顺序地并且重复地执行,可以沉积薄膜。
基板处理方法可以进一步包括在源气体供应操作S01和反应气体供应操作S03之间净化源气体的源气体净化操作S02。此外,基板处理方法还可以包括在反应气体激活操作S04之后净化残留气体的残留气体净化操作S05。这是在将一种材料供应到反应器78之后从反应器78完全去除过量材料之后向反应器78供应另一种材料。因此,可以防止诸如源气体或反应气体的材料在气态下相遇。
在操作S02和/或操作S05期间,净化气体可以暂时供应到反应空间。在另一个实施例中,净化气体可以在源气体供应操作S01、反应气体供应操作S03和反应气体激活操作S04期间连续供应到反应空间。
可以在反应气体激活操作S04中供应等离子体。当供应等离子体时,可以获得高密度薄膜,并且可以改善源(即源和反应气体)之间的反应性,由此导致更多源的选择。此外,薄膜的特性可以得到改善,并且因此薄膜可以在相对低的温度下沉积。
当使用仅在供应等离子体时激活并与基板5上的源分子反应的反应物(例如氧气)时,反应物可在整个基本循环周期内不断供应到反应器78中。这是因为当不供应等离子体时,反应气体用作净化气体。相应地,如图10B所示,可以在整个源气体供应操作S01、源气体净化操作S02、反应气体激活操作S04和残留气体净化操作S05中供应反应气体。
根据本实施例的基板支撑装置可以防止在高温处理中随着处理气体侵入基板5的后表面而产生的基板5的后表面上沉积膜。因此,例如,即使在300℃或以上的高温下也可以执行基板处理方法。
在另外的实施例中,在基板处理方法中,可以通过调节基板5和基座的内部部分1之间的间隔来控制薄膜的耐湿蚀刻性,这将在稍后参考图12描述。
图11A至图11C示出了当通过使用图9的基板处理设备以PEALD方法执行处理时沉积在基板5的后表面上的SiO2膜的厚度。在本实施例中,基板5的下部部分与基座的内部部分1之间的间隔约为0.3mm。
在图11A中,边沿去除区域Z指示基板5和边缘4彼此接触的区域。边沿去除区域Z的宽度M约为2mm。在本实施例中,基板5与边缘4之间的线接触形成在沿着边沿去除区域Z的由虚线表示的部分100处。
[表1]PEALD的SiO2膜的沉积条件
如上表1所示,根据一个实施例,在处理期间,反应空间的压力保持在3托,接触加热器块72的基座主体B保持约300℃的温度,并且反应器壁79保持约150℃至180℃的温度。为了沉积薄膜,依次重复Si源气体供应操作a、Si源净化操作b、反应气体激活操作c和净化操作d的基本循环。具体地,在反应气体激活操作c中供应等离子体。
在本实施例中,Si源可以包括硅烷基。例如,Si源可以为以下中的至少一种:TSA,(SiH3)3N;DSO,(SiH3)2;DSMA,(SiH3)2NMe;DSEA,(SiH3)2NEt;DSIPA,(SiH3)2N(iPr);DSTBA,
(SiH3)2N(tBu);DEAS,SiH3NEt2;DIPAS,SiH3N(iPr)2;DTBAS,SiH3N(tBu)2;BDEAS,SiH2(NEt2)2;BDMAS,SiH2(NMe2)2;BTBAS,SiH2(NHtBu)2;BITS,SiH2(NHSiMe3)2;BEMAS,and
SiH2[N(Et)(Me)]2。包含氧的气体可以用作反应物,并且可以是O2、N2O和NO2或其化合物中的至少一种。
在Si源气体供应操作a中,通过供应到容纳源气体的源容器的载气Ar将Si源气体供应到反应器中。
在其中使用包含氧气的反应气体的本实施例中,在整个基本循环周期内供应反应气体。仅当供应等离子体时激活的氧气才会与基板上的Si源分子反应。当不供应等离子体时,氧气可以用作净化气体。因此,在供应等离子体的反应气体激活操作c中激发包含氧的反应气体,以与基板上的硅源反应,并且在不供应等离子体的操作a、b和d中用净化气体Ar连续净化反应器。
气体的流量可以根据基板5周围的期望的薄膜均匀性适当地调节。
图11B示出当部分Y从图11A中的基板的后表面的下端向内扫描约10mm时,沉积在部分Y上的SiO2膜的沉积厚度的变化。图11C示出了当部分X从图11A中的基板的后表面的上端向内扫描约10mm时,沉积在部分X上的SiO2膜的沉积厚度的变化。
在图11B和图11C的曲线图中,横轴表示当基板的直径为约300mm时距离基板的后表面的中心的距离。换句话说,在图11B的横轴中,从-150mm到-148mm的部分表示从基板的中心向下148mm到150mm的部分,即凹口区域(部分Y的边沿去除区域Z)。类似地,在图11C的横轴中,从148mm到150mm的部分表示从基板的中心向上148mm到150mm的部分,即部分X的边沿去除区域Z。曲线图的纵轴表示沉积的薄膜的厚度。
比较图11A和图11C,可以看出,虽然薄膜沉积在从基板5的边沿到形成基板5和边缘4的线接触的部分100的区域(长度为2mm)中,也就是,在边沿去除区域Z中,在从部分100到基板5的内部的区域中沉积厚度大大减小。这是因为基板5被布置成使得待沉积在基板5的后表面上的处理气体仅沉积在基板5的边沿去除区域Z中。
在图11B中,由于横轴上的-150mm至-148mm的部分对应于作为基板5的非沉积区域的凹口部分,所以在横轴的-150mm至-148mm的部分中未测量沉积厚度。尽管基板5包括凹口,但由于凹口的内端部与边缘4形成线接触,因此处理气体可能不会通过凹口侵入基板5的后部。可以看出,在图11B的横轴的-148mm至-140mm的部分几乎不形成沉积物。
图12是示出根据一个实施例的当通过PEALD方法使用图9的基板处理设备在大约300℃的反应器温度下利用基座在基板5上沉积SiO2膜时根据基座的内部部分1和基板5之间的距离的湿蚀刻率(WER)的变化的曲线图。沉积处理的其它条件与图11A至图11C的实施例的处理条件相同。湿蚀刻通过使用稀释的氢氟酸(dHF)溶液执行。
在图12中的曲线图中,横轴表示基座的内部部分1与基板5之间的距离(图4中的b-a)。纵轴表示沉积在基板5的中心部分和边沿部分处的SiO2膜的WER(nm/min)的平均值。
参考图12的曲线图,可以看出,随着基座的内部部分1与基板5之间的距离增加,WER增加。
当蚀刻速度太快时,蚀刻后要去除的材料可能不能适当地移动,并且因此蚀刻表面可能是粗糙的。因此,可以以适当的速度控制蚀刻。根据实施例,可以通过适当地调节基座的内部部分1和基板5之间的间隔来实现期望的WER。
另外,通过调节基座的内部部分1与基板5之间的间隔,可以控制除WER以外的薄膜的特性。例如,基座的内部部分1与基板5之间的间隔可影响在沉积过程中施加的等离子体的密度。
尽管在本说明书中作为示例描述了标准硅晶片,但是根据本实施例的基板支撑装置可以用于支撑其它类型的基板,例如可以经历诸如CVD、物理气相沉积(physical vapordeposition,PVD)、蚀刻、退火、杂质扩散、光刻等处理的玻璃。
如上所述,根据上述实施例,基板支撑装置和包括该基板支撑装置的基板处理设备即使在高温处理中也可以防止随着处理气体侵入基板的后表面而产生在基板的后表面上的膜沉积。此外,根据实施例,由于基座主体的内部部分和基板彼此间隔开一定距离,因此不管可能在高温处理中产生的基板和基座主体的变形如何,都可以在基板上稳定地执行处理。此外,根据上述实施例,当基座主体的内部部分与基板之间的距离被适当地调节时,可以选择性地实施薄膜的特性,例如在随后的蚀刻中的WER。
应该理解的是,在此描述的实施例应该仅被认为是描述性的而不是为了限制的目的。在每个实施例中的特征或方面的描述通常应该被认为可用于其它实施例中的其它类似特征或方面。
虽然参考附图描述了一个或多个实施例,但本领域普通技术人员将会理解,在不脱离由所附权利要求限定的精神和范围的情况下,可以在其中进行形式和细节上的各种改变。

Claims (20)

1.一种基板支撑装置,其特征在于,包括:
内部部分;
周边部分;以及
凹入部分,所述凹入部分形成在所述内部部分和所述周边部分之间,
其中在所述内部部分和所述凹入部分之间形成第一台阶部分,并且
在所述周边部分和所述凹入部分之间形成第二台阶部分。
2.根据权利要求1所述的基板支撑装置,其特征在于,还包括布置在所述凹入部分中的边缘,
其中所述边缘布置在所述第一台阶部分与所述第二台阶部分之间。
3.根据权利要求2所述的基板支撑装置,其特征在于,所述边缘包括第三台阶部分,所述第三台阶部分形成在所述边缘的朝向所述内部部分的上表面上。
4.根据权利要求3所述的基板支撑装置,其特征在于,所述第三台阶部分包括垫,并且所述垫上容纳有基板。
5.根据权利要求4所述的基板支撑装置,其特征在于,所述第一台阶部分的高度低于所述垫的高度,使得所述基板的下表面与所述内部部分间隔开。
6.根据权利要求5所述的基板支撑装置,其特征在于,所述第三台阶部分的高度低于所述基板的上表面。
7.根据权利要求2所述的基板支撑装置,其特征在于,所述第一台阶部和所述边缘彼此间隔开。
8.根据权利要求2所述的基板支撑装置,其特征在于,所述第二台阶部分的高度低于所述边缘的高度。
9.根据权利要求2所述的基板支撑装置,其特征在于,所述边缘包括绝缘体。
10.根据权利要求2所述的基板支撑装置,其特征在于,基板容纳在所述边缘上,
所述基板在特定温度下变形以具有朝向所述内部部分的一定曲率,并且
变形的基板与所述边缘具有线接触。
11.根据权利要求10所述的基板支撑装置,其特征在于,所述边缘的形成线接触的部分具有非直角形状。
12.一种用于容纳包括边沿去除区域的基板的基板支撑装置,其特征在于,所述基板支撑装置包括支撑部分,所述支撑部分被构造成与在特定温度下变形的所述基板的边沿去除区域具有线接触。
13.根据权利要求12所述的基板支撑装置,其特征在于,当所述基板在第一温度下容纳在所述支撑部分上时,所述边沿去除区域与所述支撑部分具有第一接触。
14.根据权利要求13所述的基板支撑装置,其特征在于,所述基板在高于所述第一温度的第二温度下变形,使得所述边沿去除区域和所述基板的一侧之间的区域与所述支撑部分具有第二接触,并且
所述基板和所述支撑部分通过所述第二接触彼此接触的区域小于所述基板和所述支撑部分通过所述第一接触彼此接触的区域。
15.根据权利要求12所述的基板支撑装置,其特征在于,所述基板支撑装置的形成所述线接触的部分的表面粗糙度小于所述基板支撑装置的其它部分的表面粗糙度。
16.根据权利要求12所述的基板支撑装置,其特征在于,还包括被布置成与所述基板间隔开的加热部分,
其中根据所述基板和所述加热部分之间的距离来控制在所述基板上形成的薄膜的特性。
17.一种基板处理设备,其特征在于,包括:
反应器壁;
基板支撑装置;
加热器块;
进气单元;
气体供应单元;以及
排气单元,
其中所述反应器壁和所述基板支撑装置具有形成反应空间的面接触,并且
所述基板支撑装置包括基座主体和边缘。
18.根据权利要求17所述的基板处理设备,其特征在于,所述基座主体包括内部部分、周边部分以及形成在所述内部部分和所述周边部分之间的凹入部分,并且
所述边缘布置在所述凹入部分中。
19.根据权利要求18所述的基板处理设备,其特征在于,在所述基板与所述内部部分之间形成第一空间,并且
在所述内部部分和所述边缘之间形成第二空间。
20.一种用于沉积薄膜的基板处理方法,其特征在于,所述方法包括:
供应源气体;
供应反应气体;以及
激活所述反应气体,这些步骤重复地执行以沉积所述薄膜,并且
根据基座的主体和基板之间的间隔来控制所述薄膜的特性。
CN201810530514.6A 2017-05-30 2018-05-29 基板支撑装置 Active CN108987304B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020170066979A KR102417931B1 (ko) 2017-05-30 2017-05-30 기판 지지 장치 및 이를 포함하는 기판 처리 장치
KR10-2017-0066979 2017-05-30

Publications (2)

Publication Number Publication Date
CN108987304A true CN108987304A (zh) 2018-12-11
CN108987304B CN108987304B (zh) 2022-07-05

Family

ID=64459970

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810530514.6A Active CN108987304B (zh) 2017-05-30 2018-05-29 基板支撑装置

Country Status (4)

Country Link
US (1) US20180350653A1 (zh)
KR (1) KR102417931B1 (zh)
CN (1) CN108987304B (zh)
TW (1) TWI699853B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111816604A (zh) * 2020-08-18 2020-10-23 北京智创芯源科技有限公司 一种晶片刻蚀方法
CN114008738A (zh) * 2019-06-18 2022-02-01 朗姆研究公司 用于衬底处理系统的缩小直径承载环硬件
CN114182237A (zh) * 2020-09-14 2022-03-15 株式会社天谷制作所 成膜用治具和气相沉积装置

Families Citing this family (259)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102470206B1 (ko) * 2017-10-13 2022-11-23 삼성디스플레이 주식회사 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7123010B2 (ja) * 2019-06-25 2022-08-22 株式会社岩崎製作所 板状体支持装置
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11887878B2 (en) 2019-06-28 2024-01-30 Applied Materials, Inc. Detachable biasable electrostatic chuck for high temperature applications
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
USD947914S1 (en) * 2020-11-23 2022-04-05 Applied Materials, Inc. Base plate for a processing chamber substrate support
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050133166A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Tuned potential pedestal for mask etch processing apparatus
US20080069951A1 (en) * 2006-09-15 2008-03-20 Juan Chacin Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
JP2009087989A (ja) * 2007-09-27 2009-04-23 Nuflare Technology Inc エピタキシャル成長膜形成方法
CN102422385A (zh) * 2009-02-22 2012-04-18 迈普尔平版印刷Ip有限公司 箝制基板的方法及箝夹准备单元
CN102751221A (zh) * 2011-04-19 2012-10-24 硅电子股份公司 用于支承半导体晶片的基座以及在半导体晶片的正面上沉积层的方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4512841A (en) * 1984-04-02 1985-04-23 International Business Machines Corporation RF Coupling techniques
DE69126724T2 (de) * 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
US6001183A (en) * 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
KR100660416B1 (ko) * 1997-11-03 2006-12-22 에이에스엠 아메리카, 인코포레이티드 개량된 저질량 웨이퍼 지지 시스템
JP3494435B2 (ja) * 2001-02-27 2004-02-09 東京エレクトロン株式会社 基板処理装置
JP3958539B2 (ja) * 2001-08-02 2007-08-15 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US7077913B2 (en) * 2002-01-17 2006-07-18 Hitachi Kokusai Electric, Inc. Apparatus for fabricating a semiconductor device
DE10261362B8 (de) * 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
KR100527672B1 (ko) * 2003-07-25 2005-11-28 삼성전자주식회사 서셉터 및 이를 포함하는 증착 장치
TW200711029A (en) * 2005-08-05 2007-03-16 Tokyo Electron Ltd Substrate processing apparatus and substrate stage used therein
US7860379B2 (en) * 2007-01-15 2010-12-28 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US20080314319A1 (en) * 2007-06-19 2008-12-25 Memc Electronic Materials, Inc. Susceptor for improving throughput and reducing wafer damage
WO2010026955A1 (ja) * 2008-09-08 2010-03-11 芝浦メカトロニクス株式会社 基板保持部材、基板処理装置、基板処理方法
US8901459B2 (en) * 2011-06-30 2014-12-02 Semes Co. Ltd. Substrate supporting units and substrate treating apparatuses including the same
TWI541928B (zh) * 2011-10-14 2016-07-11 晶元光電股份有限公司 晶圓載具
KR101928356B1 (ko) * 2012-02-16 2018-12-12 엘지이노텍 주식회사 반도체 제조 장치
TWI650832B (zh) * 2013-12-26 2019-02-11 維克儀器公司 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具
JP6303592B2 (ja) * 2014-02-25 2018-04-04 東京エレクトロン株式会社 基板処理装置
US10950477B2 (en) * 2015-08-07 2021-03-16 Applied Materials, Inc. Ceramic heater and esc with enhanced wafer edge performance

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050133166A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Tuned potential pedestal for mask etch processing apparatus
US20080069951A1 (en) * 2006-09-15 2008-03-20 Juan Chacin Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
JP2009087989A (ja) * 2007-09-27 2009-04-23 Nuflare Technology Inc エピタキシャル成長膜形成方法
CN102422385A (zh) * 2009-02-22 2012-04-18 迈普尔平版印刷Ip有限公司 箝制基板的方法及箝夹准备单元
CN102751221A (zh) * 2011-04-19 2012-10-24 硅电子股份公司 用于支承半导体晶片的基座以及在半导体晶片的正面上沉积层的方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114008738A (zh) * 2019-06-18 2022-02-01 朗姆研究公司 用于衬底处理系统的缩小直径承载环硬件
CN111816604A (zh) * 2020-08-18 2020-10-23 北京智创芯源科技有限公司 一种晶片刻蚀方法
CN114182237A (zh) * 2020-09-14 2022-03-15 株式会社天谷制作所 成膜用治具和气相沉积装置

Also Published As

Publication number Publication date
TW201901848A (zh) 2019-01-01
CN108987304B (zh) 2022-07-05
US20180350653A1 (en) 2018-12-06
KR20180130854A (ko) 2018-12-10
KR102417931B1 (ko) 2022-07-06
TWI699853B (zh) 2020-07-21

Similar Documents

Publication Publication Date Title
CN108987304A (zh) 基板处理设备、基板处理方法以及基板支撑装置
US20220044956A1 (en) Substrate supporting apparatus, substrate processing apparatus including the same, and substrate processing method
TWI436408B (zh) 半導體製程
US8999847B2 (en) a-Si seasoning effect to improve SiN run-to-run uniformity
KR100892789B1 (ko) 성막 처리 방법
US20170283945A1 (en) Substrate Processing Apparatus
KR20070073898A (ko) 평행 웨이퍼 처리 반응기를 위한 기판 캐리어
US20060121194A1 (en) Method for cleaning a deposition chamber
US9644895B2 (en) Heater moving type substrate processing apparatus
JP2013542580A (ja) サイクリック薄膜の蒸着方法
EP1535314A2 (en) High rate deposition at low pressures in a small batch reactor
KR20180045040A (ko) 하이드로실릴화 부동태화를 사용한 표면 선택적 원자 층 증착
JP2008311631A (ja) 化学気相堆積プロセスを用いて高k誘電物質を堆積させる方法
WO2015122981A1 (en) Cleaning process for cleaning amorphous carbon deposition residuals using low rf bias frequency applications
CN104878367A (zh) 反应腔室以及化学气相沉积设备
KR102222183B1 (ko) 플라스마 전극 및 플라스마 처리 장치
JP2019503555A (ja) 処理チャンバのための高温ヒータ
JP7175266B2 (ja) スパッタリングシャワーヘッド
US20060144336A1 (en) Heater of chemical vapor deposition apparatus for manfuacturing a thin film
CN111155072A (zh) 腔室用清洗装置及清洗方法、半导体处理设备
CN110678972B (zh) 降低字线电阻的方法
JP2014192484A (ja) 半導体装置の製造方法及び基板処理装置
JPH0610140A (ja) 薄膜堆積装置
KR20220098816A (ko) 배면 보우 보상 증착의 스테이션-대-스테이션 (station-to-station) 제어
TWI817568B (zh) 半導體製造裝置及半導體裝置的製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant