CN108140568A - 局部半导体晶片削薄 - Google Patents

局部半导体晶片削薄 Download PDF

Info

Publication number
CN108140568A
CN108140568A CN201680059649.8A CN201680059649A CN108140568A CN 108140568 A CN108140568 A CN 108140568A CN 201680059649 A CN201680059649 A CN 201680059649A CN 108140568 A CN108140568 A CN 108140568A
Authority
CN
China
Prior art keywords
semiconductor base
back side
processing
electronic device
skiving
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680059649.8A
Other languages
English (en)
Other versions
CN108140568B (zh
Inventor
圣菲利波·卡尔梅洛
路易吉·梅林
伊莎贝拉·帕拉
乔瓦尼·里基耶里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Weishi General Semiconductor LLC
Original Assignee
Weishi General Semiconductor LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Weishi General Semiconductor LLC filed Critical Weishi General Semiconductor LLC
Publication of CN108140568A publication Critical patent/CN108140568A/zh
Application granted granted Critical
Publication of CN108140568B publication Critical patent/CN108140568B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • H01L23/3736Metallic materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05559Shape in side view non conformal layer on a patterned surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/1015Shape
    • H01L2924/10155Shape being other than a cuboid
    • H01L2924/10158Shape being other than a cuboid at the passive surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • H01L2924/13055Insulated gate bipolar transistor [IGBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Bipolar Transistors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

局部削薄处理被用在半导体基底(诸如,晶片)的背面以便提高布置在晶片的前侧上或前侧内的电子装置的热性能。

Description

局部半导体晶片削薄
背景技术
半导体装置的运行对它的结温敏感。当结温超过它的功能限制时,半导体性能、寿命和可靠性可显著降低。
为了提高半导体装置的工作温度,它的部件能够被配置为提高热耗散。以这种方式,该装置能够更好地耗散热量,从而它能够在高温工作,或者从而在保持相同工作温度的同时,能够减少最终装置的面积。由于半导体装置的有源区域通常局限于它的表面和开始半导体基体材料的一部分(例如,通常比开始材料厚度薄的装置基体漂移区),所以存在抑制热耗散的大量未使用的材料(例如,在该装置的背面)。利用需要专用技术的半导体削薄处理,能够去除这种过多的半导体基体材料。
在一个示例性制造过程中,半导体晶片的前侧经过半导体加工处理,以使得电子装置被形成在晶片的前侧。一个或多个金属化层通常被形成在晶片的前侧以用作前侧电极。在电子装置是功率场效应晶体管或绝缘栅双极晶体管(IGBT)的情况下,例如,控制电极位于晶片的前侧。在功率二极管的情况下,阳极位于晶片的前侧。
在已执行装置形成(包括装置的形成中所涉及的所有扩散处理步骤)之后,可执行晶片削薄。通常采用两种不同晶片削薄处理中的任一种晶片削薄处理。
在第一晶片削薄处理中,晶片被翻转,并且晶片的背面的中心部分在通常被称为Taiko研磨处理的处理中被削薄。然而,晶片的背面的外围边缘部分未被削薄。作为结果,留下晶片的较厚周围边缘支撑部分包围晶片的较薄中心部分。较厚周围边缘支撑部分提供机械强化,以使得较薄中心部分能够被处理,而不破坏晶片。较厚周围边缘支撑部分还在稍后的处理步骤中减少晶片翘曲。
在背面研磨之后,背面金属化层被形成在晶片的背面的较薄中心部分。金属化层在功率装置的背面形成电极。晶片的周围边缘支撑部分可随后被切掉,并且晶片的较薄中心部分可被切割以形成个体装置块。
在第二晶片削薄处理(有时被称为临时接合处理)中,半导体晶片(多个电子装置被形成在该晶片的前侧)通过粘合剂层而被接合到第二晶片(载体晶片)。
半导体晶片被从晶片背面削薄,直至达到预期目标厚度。基于半导体装置类型,背面被使用例如注入、热处理、金属化等处理以完成装置结构。在该装置被完成之后,将载体晶片与现在削薄的半导体晶片分离。
通过减小装置尺寸(装置尺寸重新调整)来实现半导体装置性能的持续提高,这需要半导体装置厚度的进一步减小以便优化装置热耗散。
发明内容
根据这里公开的主题的一个方面,局部削薄处理被用在半导体基底(诸如,晶片)的背面以便提高布置在晶片的前侧上或前侧中的电子装置的热性能。
在一个特定实现方式中,通过将掩模施加于半导体晶片的背面来完成局部晶片削薄。利用选择的几何图案对掩模进行图案化,并且半导体晶片的背面被蚀刻以将选择的几何图案从掩模转移到半导体晶片的背面。由合适的金属(例如,铜或任何导电材料)使用合适的相关沉积处理(例如,电镀、CVD、PVD等)填充图案化的背面结构以便保证良好的热导率。几何图案可被选择以优化装置的最终热性能和晶片的机械特性(在坚固性和翘曲方面)之间的折衷。
附图说明
图1A-1E示意性地显示用于局部性地削薄半导体晶片的背面的处理的一个示例。
图2A-2C示意性地显示在已执行半导体晶片局部削薄之后的处理的一个示例。
图3示意性地显示局部性地削薄的半导体装置的一个示例的最终结构,金属化堆栈被形成在晶片的削薄的背面。
图4A-4H显示可在晶片削薄处理期间施加于晶片的背面的示例性几何图案。
图5A和5B分别显示IGBT或在有源区域中以及在终止区域中具有不同半导体厚度的其它装置的俯视图和剖视图。
图6显示从理论计算获得的双极晶体管的作为未耗尽基极宽度和掺杂浓度的函数的硅击穿电压。
具体实施方式
在整个半导体表面上执行前述传统半导体晶片削薄处理。此外,这些处理采用专用装备管理晶片以避免任何机械破坏或变形,机械破坏或变形能够导致产生问题和/或装置的电性能的降低。
这里描述的主题解决这些和其它问题。例如,
在一个方面,公开的技术允许通过优化有源区域厚度(薄区域)和终止区域(厚区域)来提高外装置区域(终止区域)的装置阻断能力和雪崩粗糙度。另外,公开的技术允许通过利用高电导率材料(例如,铜)填充在局部削薄处理期间定义的沟槽结构来进一步改进最终装置的热性质和电性质。
如这里所使用,术语“晶片”和“基底”中的每一个表示独立自承结构,并且不应该被解释为形成在独立自承结构上的薄膜层。
图1A-1E显示表示局部晶片削薄处理的半导体装置的一系列剖视图。所述系列开始于图1A,图1A显示基材料,诸如半导体晶片1(例如,硅晶片)。半导体晶片1具有前侧2(也被称为上侧)和背面3(也被称为下侧)。在半导体晶片1的前侧2中或前侧2上组装一个或多个电子装置4。这种电子装置的说明性示例非限制性地包括IGBT、MOSFET、二极管或任何其它有源装置结构。
如图1B中所示,可选的保护层5(例如,带层)被沉积在半导体晶片1的前侧2以在随后的在背面3执行的处理期间保护电子装置4。同样地,如图1C中所示,另一可选的保护层6(或合适的材料层的堆栈)被沉积在半导体晶片1背面3以在随后的处理步骤期间保护表面。
接下来,在图1D中,第二层7(例如,聚合物层)被沉积在保护层6上。第二层7用作硬掩模,用于连续的处理步骤。使用合适的加工技术(诸如,例如光刻法)利用预期几何图案对第二层7进行图案化。所述几何图案定义局部削薄图案,所述局部削薄图案通过晶片1的选择的部分的去除而被转移到半导体晶片1的背面3。示例性几何图案被示出在图4A-4H中,其中较暗(或较亮)区域代表晶片1的背面3的被局部性地削薄的区域。通常,所述几何图案可包括多个凹入部分,诸如凹槽、沟槽、洞等或其任何组合。
现在参照图1E,执行蚀刻处理以将图案从第二层7转移到半导体晶片1。如图1E中所指示,在这个示例中转移的图案9在晶片1的背面3形成凹入部分13,并且对应于图4E中示出的凹槽的图案。随后的处理步骤包括从现在局部性地削薄的晶片1的背面3去除第一层6和第二层7,后面跟随有定义电子装置4的背面所需的任何其它处理步骤,包括例如沉积处理、光刻处理、热处理、机械处理和掺杂处理。
例如,图2A-2C显示在已执行局部削薄之后的半导体装置的一系列剖视图。在图1和6以及随后的附图中,相同的元件将会由相同的标号表示。如图2A中所指示,能够执行一个或多个掺杂沉积处理(从例如固体或液体源或通过离子注入)以定义绝缘栅双极晶体管(IGBT)的集电极区域(包括缓冲区域的形成),或者定义装置的发射极区域。可随后执行热处理以在装置的背面3激活掺杂成分。然后,如图2B中所示,保护层5被去除,并且如图2C中所示,金属层12(或层的堆栈)被沉积在晶片1的背面3以定义电接触和热接触。应该注意的是,在这个示例中,金属层12填充已在局部削薄处理期间通过蚀刻而形成的晶片背面3的凹入部分13。
上述局部晶片削薄处理允许根据指定几何图案从晶片的背面选择性地去除半导体材料,因此减小最终半导体装置的热阻。此外,以这种方式构造装置背面使得能够更好地修改总体装置性能。另外,局部晶片削薄处理允许使翘曲最小化,提高半导体晶片强度,以及优化背表面的总体机械粗糙度以执行削薄处理之后的处理步骤。
接下来将提供前述处理步骤中的一些处理步骤的说明性详细示例。应该注意的是,仅为了说明而提供这些示例,并且这些示例不应该被解释为限制这里公开的主题。
在一个实施例中,保护层5(参见图1)(例如,合适的带材料)被沉积在晶片1的前侧2以在连续的处理步骤期间保护布置在晶片1中或布置在晶片1上的电子装置4。通过物理气相沉积或任何其它合适的沉积处理,薄的非导电层6(例如,氧化硅或任何合适的绝缘材料)(参见图1)被沉积在晶片1的背面3。非导电层6保护将在例如随后的金属(例如,铜)沉积处理期间不会涉及的半导体区域。
用作硬掩模的第二层7可以是光致抗蚀剂或适合光刻处理的任何聚合物材料,第二层7被沉积在非导电层6上以便在晶片背面转移预期几何图案。执行随后的光刻步骤以将几何图案8A和8B转移到晶片1的背面3。光致抗蚀剂层用作硬掩模,所述硬掩模保护不应被蚀刻的半导体区域。
执行深度反应离子蚀刻(DRIE)处理以将几何图案8A和8B转移到半导体晶片1的背面3。深度反应离子蚀刻是各向异性干法蚀刻处理,所述各向异性干法蚀刻处理使用等离子体以高纵横比对半导体材料(作为示例,硅)进行深度蚀刻。这种蚀刻的结果是晶片1中的沟槽。应该注意的是,仅作为说明而提供DRIE处理,并且更一般地讲,任何其它合适的半导体蚀刻处理可被用于这个目的。
在真空室中产生等离子体,并且在几乎垂直的方向上对离子进行加速。第一蚀刻步骤涉及包括四氟化碳(CF4)和氧混合物组成的等离子体以便去除不受光致抗蚀剂保护的氧化硅层区域(根据选择的几何图案8A和8B)。为了这个目的,可考虑任何其它合适的绝缘层蚀刻处理方法。
用于蚀刻的第二蚀刻步骤是Bosch处理,但为了这个目的,可考虑任何其它合适的半导体蚀刻处理方法。这种方法在两个阶段之间反复交替:标准硅去除阶段,使用六氟化硫(SF6)等离子体,所述六氟化硫(SF6)等离子体侵蚀直接露出的硅区域;和第二阶段,沉积八氟环丁烷(C4F8)的化学惰性钝化层,所述八氟环丁烷(C4F8)在材料侧壁上凝结并且保护它们免受侧向蚀刻。根据硅局部厚度的最后选择的值(例如,为了达到目标局部厚度而去除的材料的量)选择这些蚀刻和沉积序列的数量。C4F8钝化层沉积保护整个晶片免受进一步的化学侵蚀,并且防止进一步的蚀刻。然而,在蚀刻阶段期间,轰击基底的定向离子在沟槽的底部(但不沿着侧面)侵蚀C4F8钝化层。离子与材料基底碰撞,并且将它溅射掉,使基底暴露于化学蚀刻剂。这些蚀刻和沉积序列通常持续几秒并且重复多次,导致大量非常小的各向同性蚀刻步骤仅发生在蚀刻的凹坑的底部。
使用这两个阶段的组合,能够形成具有高度垂直的侧壁的深沟槽(例如,具有高纵横比)。基于蚀刻速度,可确立为了获得预期半导体厚度减小(例如,目标沟槽的最终深度)而需要的步骤的数量。在该处理期间,等离子体也对硬掩模7(参见图1)进行蚀刻,但光致抗蚀剂具有比硅小的蚀刻速度。在DRIE之后剩下的光致抗蚀剂对于下一个处理而言是很重要的,并且是待优化的过程参数。这种随后的沉积处理可包括例如在图2中提及的用于定义装置的集电极区域或发射极区域的所述单个或多个掺杂沉积处理,后面跟随有用于在晶片1的背面3激活掺杂成分的热处理的施加。
在一些实施例中,种子层可被沉积在晶片1的整个蚀刻的背面3。结合随后的合适的金属沉积处理(诸如,铜电镀)使用种子层,所述金属沉积处理定义晶片1的背面3的最终欧姆接触。种子层可包括通过任何合适的沉积处理(例如,电镀、CVD、PVD…)来沉积的由诸如钛、镍、金和铜的材料或任何其它合适材料序列形成的一系列不同薄膜,以便在晶片上具有良好的附着力并且防止扩散到基体材料中。种子层中的材料层的序列能够被选择以确保半导体(例如,硅)与最终金属背面接触的可靠附着和低电阻接触(欧姆接触)。此外,材料层的序列应该被选择以防止例如铜扩散到半导体材料中,所述扩散能够危害电子装置电性能。
通过光致抗蚀剂剥离处理,种子层能够被从侧壁顶部去除。最后,沟槽的底部可完全被种子层覆盖,并且侧壁顶部可被氧化硅层覆盖以避免铜生长。如图2C中所示,通过合适的相关沉积处理(例如,电镀、CVD、PVD…),导电层12(例如,铜或任何合适的金属)被沉积在晶片1的背面3以定义存在于晶片1的前侧2的电子装置的阴极接触。
可使用电流执行电镀处理以减少任何溶解的金属阳离子以便形成一致的金属涂层。首先,半导体晶片被放置在电路的阴极中。阳极由将要被沉积在阴极半导体基底上的铜制成;两个部件都被浸没在包括允许电流动的硫酸铜的电解质溶液中。电力发电机将直流提供给阳极,通过失去两个电子来将铜原子氧化成Cu2+,Cu2+与存在于溶液中的阴离子联结。在阴极,通过获得两个电子,电解质溶液中的溶解的铜离子Cu2+在溶液和阴极之间的界面被还原成金属铜。阳极被溶解的速度等于阴极被镀覆的速度。以这种方式,电解槽中的离子连续地由阳极补充。结果是从阳极源到覆盖阴极的板的铜的有效转移。电镀处理允许凹入部分13(例如,沟槽)被铜填充,这定义晶片1的背面3的阴极12欧姆接触。在一些实施例中,替代于电镀处理,可使用任何其它合适的金属沉积处理方法,诸如例如化学气相沉积处理(CVD)或物理气相沉积(PVD)处理。
可在晶片1的背面3执行软背磨床处理或任何合适的处理以便去除超出洞和顶壁的金属以及绝缘层6。软背磨床处理使晶片表面变得平坦和规则。另外的金属堆栈可被沉积在金属层上以完成阴极结构12。图3中示出的所获得的最终结构表示背面金属化层12、在凹入部分13中位于金属化层12下方的种子层16和前金属化层14。
图5A和5B分别显示另一实施例的俯视图和剖视图,其中局部削薄处理被应用于IGBT装置(例如,具有位于晶片1的前侧2上或前侧2中的IGBT结构的任何装置)。在这种情况下,晶片仅在IGBT(诸如,例如平面或沟槽IGBT)所在的装置的有源区域18中被削薄,留下终止区域20中的晶片厚度等于它的开始值或为小于它的初始值但大于有源区域厚度的不同厚度。通过定义集电极和缓冲区域(通过使用例如合适的掺杂处理定义n和p型区域并且使用热处理激活沉积的掺杂成分)并且沉积背面接触金属12,完成装置结构。因为有源区域18和终止区域20中的不同晶片厚度,最终结构的特征在于终止区域中的长基极晶体管和有源区域中的短基极晶体管。
因此,在有源区域18中,IGBT的厚度和双极效率能够通过像在传统削薄技术中一样修改SOA、开关和导电损耗之间的权衡被优化。此外,由于长基极晶体管,局部削薄处理允许以低双极效率设计装置终止,抑制由于双极效应而导致的阻断能力的减小。因此,与晶片在有源区域和终止区域中具有相同的最终材料厚度的传统削薄处理相比,局部削薄处理允许终止雪崩粗糙度和阻断能力的显著增加。
众所周知,半导体双极结构的阻断能力强烈依赖于硅掺杂浓度、少数载流子寿命和未耗尽基极区域宽度。简单计算的结果被示出在图6中,图6表示半导体(作为示例,硅)阻断能力随着材料厚度(例如,随着未耗尽基极区域宽度)而增加。增加终止区域中的基极区域厚度允许显著提高终止阻断能力和雪崩粗糙度。因为铜提取当短路时在IGBT半导体体积中产生的热量的能力,局部削薄处理与厚铜集电极金属接触的采用的组合使用有益于IGBT的短路能力。
在另一实施例中,局部削薄方案被应用于二极管以及MOSFET(具有任何合适的MOS结构)。局部削薄方案允许相对于有源区域厚度调节终止厚度,导致终止阻断能力和雪崩粗糙度的优化,而对装置的有源区域的性能没有任何影响。
此外,这里描述的技术不限于这里示出的特定电子装置,而是更一般地讲,可被应用于各种不同电子装置以便提高它们的电性能和热性能。
虽然已按照特定于结构特征和/或方法动作的语言描述主题,但应该理解,在所附权利要求中定义的主题不必局限于上述特定特征或动作。相反地,描述的特定特征和动作被公开为实现权利要求的示例性形式。

Claims (23)

1.一种用于削薄半导体基底的方法,包括:
提供半导体基底,半导体基底具有前侧,至少一个电子装置被布置在前侧上或前侧中;
将掩模施加于半导体基底的背面;
利用选择的几何图案对掩模进行图案化;以及
对半导体基底的背面进行蚀刻以将选择的几何图案从掩模转移到半导体基底的背面。
2.如权利要求1所述的方法,其特征在于,所述转移到半导体基底的背面的选择的几何图案包括多个凹入部分。
3.如权利要求2所述的方法,其特征在于,所述多个凹入部分包括一个或多个凹槽、沟槽、洞或其组合。
4.如权利要求1所述的方法,其特征在于,还包括:在施加掩模之前将保护层施加于半导体基底的前侧。
5.如权利要求1所述的方法,其特征在于,还包括:将保护层施加于半导体基底的背面,其中施加掩模包括将掩模施加在保护层上。
6.如权利要求1所述的方法,其特征在于,所述掩模包括适合光刻处理的聚合物材料。
7.如权利要求1所述的方法,其特征在于,蚀刻半导体基底的背面和蚀刻任何最后绝缘保护层包括使用深度反应离子蚀刻处理来蚀刻半导体基底的背面。
8.如权利要求1所述的方法,其特征在于,蚀刻半导体基底的背面包括使用Bosch处理来蚀刻半导体基底的背面。
9.如权利要求1所述的方法,其特征在于,所述电子装置包括二极管。
10.如权利要求1所述的方法,其特征在于,所述电子装置包括双极晶体管。
11.如权利要求1所述的方法,其特征在于,所述电子装置包括功率场效应晶体管。
12.如权利要求1所述的方法,其特征在于,所述电子装置包括MOSFET。
13.如权利要求1所述的方法,其特征在于,还包括:在蚀刻半导体基底的背面之后,在半导体基底的背面执行一个或多个另外的处理。
14.如权利要求13所述的方法,其特征在于,从包括沉积处理、光刻处理、热处理、机械处理和掺杂处理的组中选择所述一个或多个另外的处理。
15.如权利要求13所述的方法,其特征在于,所述一个或多个另外的处理包括金属沉积处理。
16.如权利要求13所述的方法,其特征在于,所述一个或多个另外的处理包括用以填充沉积物于图案化的背面结构中的导电材料沉积处理。
17.如权利要求16所述的方法,其特征在于,还包括:在执行导电材料沉积另外处理之前,将种子层沉积在半导体基底的背面。
18.如权利要求1所述的方法,其特征在于,所述半导体基底包括有源区域和终止区域,电子装置被形成在有源区域上或形成在有源区域中,并且所述方法还包括在有源区域中而不在终止区域中蚀刻半导体基底的背面以使得背面仅在有源区域中被削薄。
19.如权利要求18所述的方法,其特征在于,从包括绝缘栅双极晶体管(IGBT)、场效应晶体管(FET)、MOSFET和二极管的组中选择所述电子装置。
20.一种根据在权利要求1中阐述的方法加工的半导体基底。
21.如权利要求20所述的半导体基底,其特征在于,还包括:电子装置,布置在基底的前侧。
22.如权利要求16所述的方法,其特征在于,所述导电材料是铜并且导电材料沉积处理是电镀处理。
23.如权利要求16所述的方法,其特征在于,所述一个或多个另外的处理包括用于填充图案化的背面结构中的凹入部分的化学气相沉积处理(CVD)或物理气相沉积(PVD)处理。
CN201680059649.8A 2015-10-15 2016-09-29 局部半导体晶片削薄 Active CN108140568B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/884,090 2015-10-15
US14/884,090 US10043676B2 (en) 2015-10-15 2015-10-15 Local semiconductor wafer thinning
PCT/US2016/054287 WO2017065981A1 (en) 2015-10-15 2016-09-29 Local semiconductor wafer thinning

Publications (2)

Publication Number Publication Date
CN108140568A true CN108140568A (zh) 2018-06-08
CN108140568B CN108140568B (zh) 2023-02-17

Family

ID=58517789

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680059649.8A Active CN108140568B (zh) 2015-10-15 2016-09-29 局部半导体晶片削薄

Country Status (8)

Country Link
US (1) US10043676B2 (zh)
EP (1) EP3363040A4 (zh)
JP (1) JP7355496B2 (zh)
KR (1) KR102135124B1 (zh)
CN (1) CN108140568B (zh)
IL (1) IL258223B2 (zh)
TW (1) TWI632608B (zh)
WO (1) WO2017065981A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111463141A (zh) * 2019-01-18 2020-07-28 芯恩(青岛)集成电路有限公司 一种提高晶圆探针台利用率的方法
CN111599679A (zh) * 2020-05-29 2020-08-28 上海华虹宏力半导体制造有限公司 半导体器件的金属化方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017123441A (ja) * 2016-01-08 2017-07-13 三菱電機株式会社 半導体レーザ素子の製造方法
KR102038531B1 (ko) * 2018-02-14 2019-10-31 주식회사 예스파워테크닉스 전기적 특성이 향상된 배면 구조를 가진 전력 반도체
US10727216B1 (en) 2019-05-10 2020-07-28 Sandisk Technologies Llc Method for removing a bulk substrate from a bonded assembly of wafers
TWI695467B (zh) 2019-07-10 2020-06-01 國立交通大學 積體電路散熱結構

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040241924A1 (en) * 2003-05-30 2004-12-02 Chen-Ming Chen Thin film transistor and fabrication method for same
US20050026429A1 (en) * 2003-08-01 2005-02-03 Jhon-Jhy Liaw Method and apparatus for providing an integrated active region on silicon-on-insulator devices
JP2006156658A (ja) * 2004-11-29 2006-06-15 Toshiba Corp 半導体装置
CN1894797A (zh) * 2003-12-12 2007-01-10 Atmel格勒诺布尔公司 制造由变薄硅构成的电子芯片的方法
JP2007266596A (ja) * 2006-03-02 2007-10-11 Semiconductor Energy Lab Co Ltd 回路パターン及び薄膜トランジスタの作製方法、並びに該薄膜トランジスタを搭載した電子機器
US7282753B2 (en) * 2002-10-24 2007-10-16 The United States Of America As Represented By The Secretary Of The Navy Vertical conducting power semiconducting devices made by deep reactive ion etching
JP2008226940A (ja) * 2007-03-09 2008-09-25 Matsushita Electric Ind Co Ltd 半導体チップの製造方法
CN101501861A (zh) * 2006-08-04 2009-08-05 Nxp股份有限公司 制造双栅晶体管的方法
US20090261421A1 (en) * 2007-10-26 2009-10-22 Bishnu Prasanna Gogoi Semiconductor structure and method of manufacture
CN103339732A (zh) * 2010-10-12 2013-10-02 Io半导体股份有限公司 具有被减薄的衬底的垂直半导体器件

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6104062A (en) * 1998-06-30 2000-08-15 Intersil Corporation Semiconductor device having reduced effective substrate resistivity and associated methods
JP2002319589A (ja) * 2001-04-20 2002-10-31 Hitachi Ltd 半導体装置およびこれを用いた電力増幅器
US6528351B1 (en) * 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
JP2005504445A (ja) * 2001-10-01 2005-02-10 エグシル テクノロジー リミテッド 基板、特に半導体ウェハの加工
JP2004119718A (ja) 2002-09-26 2004-04-15 Shinko Electric Ind Co Ltd 薄型半導体チップの製造方法
JP3908148B2 (ja) * 2002-10-28 2007-04-25 シャープ株式会社 積層型半導体装置
JP2006012889A (ja) * 2004-06-22 2006-01-12 Canon Inc 半導体チップの製造方法および半導体装置の製造方法
US7507638B2 (en) 2004-06-30 2009-03-24 Freescale Semiconductor, Inc. Ultra-thin die and method of fabricating same
JP2006041135A (ja) * 2004-07-26 2006-02-09 Sumitomo Bakelite Co Ltd 電子デバイスおよびその製造方法
JP2006253402A (ja) * 2005-03-10 2006-09-21 Nec Electronics Corp 半導体装置の製造方法
JP2007129166A (ja) * 2005-11-07 2007-05-24 Toshiba Corp 半導体装置及びその製造方法
US20070259463A1 (en) 2006-05-02 2007-11-08 Youssef Abedini Wafer-level method for thinning imaging sensors for backside illumination
TW200845302A (en) 2007-05-09 2008-11-16 Promos Technologies Inc A method of two-step backside etching
TW200935506A (en) * 2007-11-16 2009-08-16 Panasonic Corp Plasma dicing apparatus and semiconductor chip manufacturing method
JP2009182217A (ja) * 2008-01-31 2009-08-13 Sumitomo Electric Ind Ltd 半導体装置およびその製造方法
JP2010003906A (ja) * 2008-06-20 2010-01-07 Fujitsu Microelectronics Ltd 半導体装置及びその製造方法
JP2011035322A (ja) * 2009-08-05 2011-02-17 Panasonic Corp 半導体装置およびその製造方法
EP2463896B1 (en) * 2010-12-07 2020-04-15 IMEC vzw Method for forming through-substrate vias surrounded by isolation trenches with an airgap and corresponding device
US8703581B2 (en) * 2011-06-15 2014-04-22 Applied Materials, Inc. Water soluble mask for substrate dicing by laser and plasma etch
US8496842B2 (en) * 2011-09-12 2013-07-30 Texas Instruments Incorporated MEMS device fabricated with integrated circuit
WO2013062590A1 (en) * 2011-10-28 2013-05-02 Intel Corporation 3d interconnect structure comprising through-silicon vias combined with fine pitch backside metal redistribution lines fabricated using a dual damascene type approach
US9449913B2 (en) * 2011-10-28 2016-09-20 Intel Corporation 3D interconnect structure comprising fine pitch single damascene backside metal redistribution lines combined with through-silicon vias
JP6065198B2 (ja) * 2012-02-21 2017-01-25 ローム株式会社 半導体装置および半導体装置の製造方法
KR20140009731A (ko) 2012-07-12 2014-01-23 삼성전자주식회사 방열부를 포함하는 반도체 칩 및 그 반도체 칩 제조 방법
JP5642126B2 (ja) * 2012-08-30 2014-12-17 株式会社東芝 自己組織化パターン形成用材料およびパターン形成方法
JP5979547B2 (ja) * 2012-11-01 2016-08-24 パナソニックIpマネジメント株式会社 エピタキシャルウェハ及びその製造方法
US9142614B2 (en) 2013-07-05 2015-09-22 Taiwan Semiconductor Manufacturing Company Limited Isolation trench through backside of substrate
KR20150118638A (ko) * 2014-04-14 2015-10-23 에스케이하이닉스 주식회사 이미지 센서 및 그 제조 방법
US8912078B1 (en) * 2014-04-16 2014-12-16 Applied Materials, Inc. Dicing wafers having solder bumps on wafer backside

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7282753B2 (en) * 2002-10-24 2007-10-16 The United States Of America As Represented By The Secretary Of The Navy Vertical conducting power semiconducting devices made by deep reactive ion etching
US20040241924A1 (en) * 2003-05-30 2004-12-02 Chen-Ming Chen Thin film transistor and fabrication method for same
US20050026429A1 (en) * 2003-08-01 2005-02-03 Jhon-Jhy Liaw Method and apparatus for providing an integrated active region on silicon-on-insulator devices
CN1894797A (zh) * 2003-12-12 2007-01-10 Atmel格勒诺布尔公司 制造由变薄硅构成的电子芯片的方法
JP2006156658A (ja) * 2004-11-29 2006-06-15 Toshiba Corp 半導体装置
JP2007266596A (ja) * 2006-03-02 2007-10-11 Semiconductor Energy Lab Co Ltd 回路パターン及び薄膜トランジスタの作製方法、並びに該薄膜トランジスタを搭載した電子機器
CN101501861A (zh) * 2006-08-04 2009-08-05 Nxp股份有限公司 制造双栅晶体管的方法
JP2008226940A (ja) * 2007-03-09 2008-09-25 Matsushita Electric Ind Co Ltd 半導体チップの製造方法
US7767554B2 (en) * 2007-03-09 2010-08-03 Panasonic Corporation Method of manufacturing semicondictor chip
US20090261421A1 (en) * 2007-10-26 2009-10-22 Bishnu Prasanna Gogoi Semiconductor structure and method of manufacture
CN103339732A (zh) * 2010-10-12 2013-10-02 Io半导体股份有限公司 具有被减薄的衬底的垂直半导体器件

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111463141A (zh) * 2019-01-18 2020-07-28 芯恩(青岛)集成电路有限公司 一种提高晶圆探针台利用率的方法
CN111599679A (zh) * 2020-05-29 2020-08-28 上海华虹宏力半导体制造有限公司 半导体器件的金属化方法
CN111599679B (zh) * 2020-05-29 2023-03-07 上海华虹宏力半导体制造有限公司 半导体器件的金属化方法

Also Published As

Publication number Publication date
KR20180058834A (ko) 2018-06-01
TWI632608B (zh) 2018-08-11
US10043676B2 (en) 2018-08-07
TW201725621A (zh) 2017-07-16
KR102135124B1 (ko) 2020-07-20
JP7355496B2 (ja) 2023-10-03
EP3363040A1 (en) 2018-08-22
WO2017065981A1 (en) 2017-04-20
JP2018533840A (ja) 2018-11-15
IL258223B1 (en) 2023-03-01
IL258223B2 (en) 2023-07-01
EP3363040A4 (en) 2019-05-08
IL258223A (en) 2018-05-31
US20170110329A1 (en) 2017-04-20
CN108140568B (zh) 2023-02-17

Similar Documents

Publication Publication Date Title
CN108140568A (zh) 局部半导体晶片削薄
CN106463504B (zh) 半导体装置以及半导体装置的制造方法
US9711599B2 (en) Wide bandgap high-density semiconductor switching device and manufacturing process thereof
US8405184B2 (en) Trench schottky diode and method for manufacturing the same
CN109742148B (zh) 碳化硅umosfet器件及其制备方法
CN110010467B (zh) 具有高效边缘结构的半导体器件的制造方法
US20240162302A1 (en) Split gate power device and method of manufacturing the same
CN109860273B (zh) Mps二极管器件及其制备方法
JP2002009082A (ja) 半導体装置および半導体装置の製造方法
CN105895682A (zh) 逆导绝缘栅双极型晶体管结构及其对应的制造方法
CN107564968A (zh) 二极管、功率器件、电力电子设备及二极管制作方法
CN207947287U (zh) 一种碳化硅肖特基二极管
CN110931569A (zh) 具有肖特基金属结的半导体装置及其制作方法
JP2005135972A (ja) 半導体装置の製造方法
CN109148605B (zh) 快恢复二极管及制备方法、电子设备
CN105762198A (zh) 沟槽式快恢复二极管及其制备方法
CN210607277U (zh) 具有肖特基金属结的半导体装置
KR101667669B1 (ko) 쇼트키 배리어 다이오드 및 그 제조방법
CN105161417B (zh) 肖特基二极管工艺方法
US20240105830A1 (en) Transistor structure and forming method thereof
JP7294156B2 (ja) 半導体装置の製造方法
CN116978957A (zh) 一种快恢复二极管及其制备方法
KR20060073088A (ko) 실리콘 기반 씨모스 공정을 이용한 쇼트키 다이오드제조방법
KR20060113222A (ko) 쇼트키 다이오드 형성 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant