CN107086189B - 衬底处理装置 - Google Patents

衬底处理装置 Download PDF

Info

Publication number
CN107086189B
CN107086189B CN201710076980.7A CN201710076980A CN107086189B CN 107086189 B CN107086189 B CN 107086189B CN 201710076980 A CN201710076980 A CN 201710076980A CN 107086189 B CN107086189 B CN 107086189B
Authority
CN
China
Prior art keywords
gas
nozzle
source gas
supply
holes
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710076980.7A
Other languages
English (en)
Other versions
CN107086189A (zh
Inventor
藤野敏树
藤井优磨
野野村一树
马场美德
竹林雄二
寿崎健一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
INTERNATIONAL ELECTRIC CO Ltd
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of CN107086189A publication Critical patent/CN107086189A/zh
Application granted granted Critical
Publication of CN107086189B publication Critical patent/CN107086189B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B7/00Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas
    • B05B7/16Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed
    • B05B7/1693Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed with means for heating the material to be sprayed or an atomizing fluid in a supply hose or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种能抑制喷嘴的黑色化并改善膜质的表面间的均匀性的衬底处理装置。具有:搭载并收纳多个衬底的处理室;以规定温度加热处理室的加热系统;原料气体供给系统,具有原料气体喷嘴,并且从原料气体喷嘴向处理室供给原料气体,原料气体喷嘴在处理室的衬底搭载方向上延伸并且具有多个供给孔和多个减压孔,多个供给孔在与衬底的搭载区域对应的高度开口,多个减压孔在比多个供给孔更靠下部且原料气体喷嘴内变得比规定温度低的位置开口并降低原料气体喷嘴内的压力;反应气体供给系统,向处理室供给与原料气体反应的反应气体;和控制部,构成为以在衬底上形成膜的方式控制加热系统、原料气体供给系统和反应气体供给系统。

Description

衬底处理装置
技术领域
本发明涉及衬底处理装置、半导体器件的制造方法及程序。
背景技术
作为半导体器件(Device)的制造工序的一个工序,有时进行在收纳于处理室内的衬底上形成膜的成膜处理(参照例如专利文献1)。
现有技术文献
专利文献
专利文献1:日本特开2014-67877
发明内容
发明所要解决的问题
在进行成膜处理时,有时,所用的气体在喷嘴内发生热分解,从而堆积物附着在喷嘴内壁。有时若上述堆积物在成膜处理中发生剥离,则会变为颗粒(即,产生粉尘)并被供给至收纳在处理室内的衬底上,从而以杂质的形式被引入膜中。另外,在使用喷嘴供给气体这样的立式装置中,由于是从喷嘴向搭载有多个衬底的处理室内供给气体,因此衬底表面间的均匀性有时变差。本发明的目的为,提供一种技术,其中,通过使气体的供给变得均匀化,从而提高衬底表面间的膜厚均匀性,同时通过在成膜处理中抑制由于气体的热分解而导致的堆积物向喷嘴内壁的附着,从而能够抑制杂质向膜中的引入,并且能够提高膜质及衬底面内的膜厚均匀性。
用于解决问题的手段
根据本发明的一个方式,提供如下技术,具有:
处理室,搭载并收纳多个衬底;
加热系统,以规定温度加热所述处理室;
原料气体供给系统,具有原料气体喷嘴,并且从所述原料气体喷嘴向所述处理室供给原料气体,所述原料气体喷嘴在所述处理室的所述衬底的搭载方向上延伸并且具有多个供给孔和多个减压孔,所述多个供给孔在与所述衬底的搭载区域相对应的高度开口,所述多个减压孔在比所述多个供给孔更靠下部且所述原料气体喷嘴内变得比所述规定温度低的位置开口并降低所述原料气体喷嘴内的压力;
反应气体供给系统,向所述处理室供给与所述原料气体反应的反应气体;和
控制部,构成为以交替进行下述处理从而在所述衬底上形成膜的方式控制所述加热系统、所述原料气体供给系统和所述反应气体供给系统:将以搭载状态收纳了多个衬底的所述处理室以所述规定温度加热,并且从所述原料气体喷嘴向所述处理室供给所述原料气体的处理;和向所述处理室供给所述反应气体的处理。
发明效果
根据本发明,能够提高衬底表面间的膜厚均匀性,并且提高膜质及衬底面内的膜厚均匀性。
附图说明
[图1]为表示本发明涉及的黑色化风险指数的图。
[图2]为本发明的第一实施方式中适用的衬底处理装置的立式处理炉的概略构成图,并且是以纵剖面图表示处理炉部分的图。
[图3]为本发明的第一实施方式中适用的衬底处理装置的立式处理炉的概略构成图,并且是以图1的A-A线剖面图表示处理炉部分的图。
[图4]为表示本发明的第一实施方式中的供给原料气体的喷嘴的图。
[图5]为表示本发明的第一实施方式中适用的衬底处理装置的控制器的概略构成图,并且是以框图表示控制器的控制系统的图。
[图6]为表示本发明的第一实施方式中的成膜顺序的图。
[图7]为表示本发明的第二实施方式中的供给原料气体的喷嘴的图。
[图8]为表示本发明的第三实施方式中的供给原料气体的喷嘴的图。
[图9]为表示本发明的第四实施方式中的供给原料气体的喷嘴的图。
[图10]为表示本发明的第五实施方式中的供给原料气体的喷嘴的图。
[图11]为表示本发明的第六实施方式中的供给原料气体的喷嘴的图。
[图12]为表示本发明的第七实施方式中的供给原料气体的喷嘴的图。
[图13]为表示本发明的第八实施方式中的供给原料气体的喷嘴的图。
[图14]为表示本发明的第九实施方式中的供给原料气体的喷嘴的图。
[图15](a)为表示用于比较的、本发明的第二实施方式中的供给原料气体的喷嘴的图,(b)为表示本发明的第十实施方式中的供给原料气体的喷嘴的图。
[图16](a)为表示本发明的第十一实施方式中的供给原料气体的喷嘴的一个例子的图,(b)为表示本发明的第十一实施方式中的供给原料气体的喷嘴的其他例子的图。
[图17](a)为表示本发明的第十二实施方式中的供给原料气体的喷嘴的一个例子的图,(b)为表示本发明的第十二实施方式中的供给原料气体的喷嘴的其他例子的图。
附图标记说明
121 控制器(控制部)
200 晶片(衬底)
201 处理室
202 处理炉
207 加热器
231 排气管
410 气体供给喷嘴
410a 供给孔
410b 减压孔
420 气体供给喷嘴
420a 供给孔
具体实施方式
在进行成膜处理时,有时,所使用的气体在喷嘴内热分解,堆积物附着在喷嘴内壁。上述堆积物由于堆积物所具有的密合性而在成膜循环内剥离。若在成膜处理中剥离,则有时候,堆积物变为颗粒(即,产生粉尘)而被供给至收纳在处理室内的衬底上,以杂质的形式被引入膜中。因而,有时需要应对附着在喷嘴内壁的堆积物的对策(应对在喷嘴内产生的产生粉尘源的对策)。
为了在成膜处理时提高气体的反应性而将处理室内设为高温,与此相伴,即便在喷嘴内,气体也会发生自分解,从而变得易于在喷嘴内壁附着堆积物。另外,在喷嘴中开了多个孔的多孔喷嘴的情况下,由于越是喷嘴的上游侧(下部)压力越高,因此气体变得更容易发生自分解。此外,据认为,喷嘴的上游侧大多会成为加热处理室的加热器的均匀加热长度(均匀加热区域)的边界附近,会产生急剧的温度变化。因此,认为在喷嘴的下部(例如,比制品衬底更靠下的部分),气体由于高压和急剧的温度变化而发生自分解,堆积物附着在喷嘴内壁从而发生黑色化。
经潜心研究,发明人发现,作为堆积物附着在喷嘴内壁的原因,其与喷嘴内的温度及压力的关联性相关联。若温度降低,则堆积物不附着,若气体少则堆积物不附着。因此,提出在堆积物附着着的部分(例如,喷嘴的下部、加热器的均匀加热长度的边界附近、比制品衬底更靠下的部分)等中,通过设置减压孔(压力降低用的孔,减压用的孔)从而降低喷嘴内的压力,由此抑制喷嘴内的气体的热分解。即,在处理室内设置如下原料气体喷嘴,所述原料气体喷嘴具有多个供给孔(第一原料气体供给孔)和多个减压孔(第二原料气体供给孔),所述多个供给孔在与衬底的搭载区域对应的高度(衬底存在的位置)开口,所述多个减压孔在比多个供给孔更靠下部且原料气体喷嘴内变得比规定温度低的位置开口。由此,能够实现抑制认为是喷嘴内壁的黑色化的原因的堆积物的产生。
此外,如图1所示,以比率表示喷嘴内的温度、压力、气体密度之积从而将黑色化风险指数化,从而将向衬底供给气体的供给孔(第一原料气体供给孔)与减压孔(第二原料气体供给孔)之间的平衡(总开口面积值的比率、流量平衡等)以落入不发生黑色化的范围的方式最优化。即,以分别具有下述总开口面积的方式进行最优化,所述总开口面积为以原料气体喷嘴内的温度、压力、气体密度之积成为在原料气体喷嘴内原料气体发生自分解而生成的堆积物不附着的值(或原料气体不发生自分解的值)的方式设定总开口面积值与多个供给孔(第一原料气体供给孔)的总开口面积和多个减压孔(第二原料气体供给孔)的总开口面积的比率。在温度、压力、气体密度这些参数之中,影响更大的是温度、压力。对于使用喷嘴供给气体这样的立式装置而言,为了从喷嘴向搭载有多个衬底的处理室供给气体,衬底表面间的均匀性有时变差,但由此,不仅抑制了堆积物对喷嘴内壁的附着,而且能够确保形成于搭载的多个衬底上的膜的表面间膜厚均匀性。例如,在喷嘴的各高度改变孔径、孔数,从而取得平衡以成为在图1中的不发生黑色化的喷嘴的值(淡虚线)与发生黑色化的喷嘴的值(浓虚线)之间,由此能够使供给孔与减压孔的总开口面积的比率最优化。如上所述,通过本发明,能够提高衬底表面间的膜厚均匀性、并且提高膜质及衬底面内的膜厚均匀性。
<第一实施方式>
(1)衬底处理装置的构成
如图2所示,处理炉202具有作为加热系统(温度调节部)的加热器207。加热器207为圆筒形状,并且通过由作为保持板的加热器基座(未图示)支承从而铅垂地安装。加热器207以固定温度加热后述的处理室201内。加热器207作为以热使气体活化(激发)的活化机构(激发部)而发挥功能。
在加热器207的内侧,以与加热器207呈同心圆状的方式设置有反应管203。反应管203由耐热性材料(例如石英(SiO2)或碳化硅(SiC)等)形成,形成上端封闭、下端开口的圆筒形状。在反应管203的下方,以与反应管203呈同心圆状的方式设置有集流管(入口凸缘)209。集流管209例如由不锈钢(SUS)等金属形成,形成上端及下端均开口的圆筒形状。集流管209的上端部以结合于反应管203的下端部、并支承反应管203的方式构成。在集流管209与反应管203之间,设置有作为密封构件的O型环220。通过用加热器基座支承集流管209,从而成为反应管203被铅垂安装设置的状态。处理容器(反应容器)主要由反应管203和集流管209构成。在处理容器的筒中空部形成有处理室201。处理室201被构成为能够利用后述的晶舟217将多片作为衬底的晶片200以水平姿势且在铅垂方向上呈多层搭载的状态进行收纳。
在处理室201内以贯穿集流管209的侧壁的方式设置喷嘴410、420。喷嘴410、420上分别连接有作为气体供给管线的气体供给管310、320。如上所述,在处理容器(集流管209)上连接有2根喷嘴410、420、和2根气体供给管310、320,从而能够向处理室201内供给多种气体。
在气体供给管310、320上,从上游方向依次分别设置有作为流量控制器(流量控制部)的质量流量控制器(MFC)312、322及作为开关阀的阀314、324。在比气体供给管310、320的阀314、324更靠下游侧,分别连接有作为供给非活性气体的气体供给管线的气体供给管510、520。在气体供给管510、520上,从上游方向依次分别设置有作为流量控制器(流量控制部)的MFC512、522及作为开关阀的阀514、524。
在气体供给管310、320的前端部分别连接有喷嘴410、420。如图2、3所示,喷嘴410、420分别以如下方式设置:在反应管203的内壁与晶片200之间的俯视中的圆环状的空间内,沿反应管203的内壁的下部至上部,朝晶片200的搭载方向上方而竖立、并延伸。即,喷嘴410、420分别以在排列晶片200的晶片排列区域的侧方的、水平地包围晶片排列区域的区域中,沿晶片排列区域的方式设置。即,喷嘴410、420在被搬入至处理室201内的各晶片200的端部(周缘部)的侧方铅垂于晶片200的表面(平坦面)地分别设置。喷嘴410、420分别以L字型的长径喷嘴的形式构成,它们的各水平部以贯穿集流管209的侧壁的方式设置,它们的各铅垂部以至少从晶片排列区域的一端侧朝另一端侧竖立的方式设置。
在喷嘴410、420的侧面的与晶片200对应的高度(与衬底的搭载区域对应的高度),分别设置供给气体的多个供给孔410a(第一气体供给孔、第一原料气体供给孔)、420a(第二气体供给孔)。供给孔410a、420a以朝向反应管203的中心的方式开口,并且能够向晶片200供给气体。供给孔410a、420a在反应管203中的晶片200存在的区域,即与衬底支承件217相对的位置设置多个,换言之,在从加热器207的下端部至上部的范围内设置多个。
在喷嘴410的比供给孔410a更靠下部,例如在与后述的未图示的隔热板、隔热筒218等相对的位置,设置有用于降低喷嘴内的压力的减压孔(压力降低用的孔、第二原料气体供给孔)410b。减压孔410b的位置为比晶舟217更靠下方,并且设置于作为加热器207的下端的虚线L的大体下方。上述虚线L为来自加热器207的热开始产生影响的部分(均匀加热长度的边界),例如,在加热器加热至550℃时,为开始上升至350℃~550℃左右的位置附近。随着向比虚线L更靠下侧的位置推进,喷嘴内的温度变低,从而变得低于气体自分解的规定温度(均匀加热区域外)。另外,在比加热器207的下端更靠上的位置,即自晶舟217的位置向上例如变为550℃(均匀加热区域内)。减压孔410b的开口面积构成为大于供给孔410a的开口面积。在图2中,示出了设置5个减压孔410b的例子,但既可以增加也可以减少孔。只要具有必要的开口面积,减压孔即便是1个也可以。另外,既可以是圆孔形状、也可以是椭圆形状,还可以是狭缝形状。
图4表示喷嘴410的第一实施方式。在比作为加热器207及晶舟217的下端的虚线L更靠上侧附近处设置供给孔410a。供给孔410a的孔径(开口面积)以从喷嘴410的上游侧向下游侧而逐渐增大的方式形成。由此,能够使从供给孔410a供给的气体的流量更为均匀化。在比供给孔410a更靠下部处以成为比相邻的供给孔410a的开口面积大的开口面积的孔径进行开口的方式设置多个减压孔410b(这里,为3个)。
对于供给孔410a及减压孔410b的开口面积、即各孔的孔径、数量,基于图1所示指数化的黑色化风险,考虑喷嘴410内的温度及压力,优选为除考虑温度及压力外还考虑气体密度,从而以供给孔与减压孔之间的平衡(总开口面积值的比率、流量平衡等)落入喷嘴410的内壁不发生黑色化的范围内的方式,将供给孔410a及减压孔410b的开口面积、即各孔的孔径、数量最优化从而设置。
设置于喷嘴420的多个供给孔420a在从反应管203的下部至上部的范围内设置多个,分别具有同一开口面积,此外以相同开口节距设置。然而,供给孔420a不限定于上述方式。例如,从喷嘴420的下部(上游侧)向上部(下游侧)可以使开口面积逐渐增大。由此,能够使从供给孔420a供给的气体的流量更为均匀化。
如上所述,在本实施方式中,经由喷嘴410、420而搬送气体,所述喷嘴410、420配置在由反应管203的侧壁的内壁与在反应管203内排列的多片晶片200的端部(周缘部)所定义的俯视中为圆环状的纵长的空间内、即配置在圆筒状的空间内。并且,从分别在喷嘴410、420中开口的供给孔410a、420a在晶片200的附近向反应管203内喷出气体。并且,反应管203内中的气体的主要的流动为与晶片200的表面平行的方向、即水平方向。从供给孔410b在比晶片200的区域更靠下方中,向处理室201内供给气体。通过上述供给孔410b的存在,能够降低喷嘴410内的压力。
通过如上所述的构成,能够向各晶片200均匀地供给气体,能够提高形成于各晶片200的膜的膜厚的表面间均匀性,并且能够抑制在喷嘴内发生的气体的自分解、抑制堆积物对喷嘴内壁的附着,抑制附着物剥离从而成为颗粒而以杂质的形式被引入膜中,从而能够提高膜质。流过晶片200的表面上的气体,即反应后的残余气体朝向排气口、即后述的排气管231的方向流动。然而,上述残余气体的流动方向根据排气口的位置而适当特定,并不限于铅垂方向。
处理气体(原料气体)从气体供给管310经由MFC312、阀314、喷嘴410而向处理室201内供给。作为原料气体,例如,可使用包含作为金属元素的铝(Al)的含金属气体、即作为含铝原料(含Al原料气体,含Al气体)的三甲基铝(Al(CH3)3,简称:TMA)。TMA为有机系原料,并且是铝键合有烷基(作为配体)而成的烷基铝。在从喷嘴410流动原料气体时,也可将喷嘴410称为原料气体喷嘴。
所谓原料气体,是指气态的原料,例如是指常温常压下为气态的气体原料、通过将常温常压下为液态的液体原料气化从而得到的气体等。在本说明书中,在使用“原料”的用语时,存在意思是“液态的原料”的情况、意思是“气态原料(原料气体)”的情况、或意思是上述两者的情况。
作为处理气体(反应气体),从气体供给管320经由MFC322、阀324、喷嘴420向处理室201内供给例如作为包含氧(O)、且与Al反应的反应气体(反应物)的含氧气体(氧化气体,氧化剂)。作为含O气体,例如,能够使用臭氧(O3)气体。
作为非活性气体,从气体供给管510、520分别经由MFC512、522,阀514、524,气体供给管310、320,喷嘴410、420向处理室201内供给例如N2气体。
在从气体供给管310供给在规定温度发生自分解的原料气体时,主要由气体供给管310、MFC312、阀314构成原料气体供给系统。可考虑将喷嘴410包括在原料气体供给系统中。也能够将原料气体供给系统称为原料供给系统。在从气体供给管310供给含金属气体时,也能够将原料气体供给系统称为含金属气体供给系统。当作为含金属气体而使用含铝原料(含Al原料气体,含Al气体)时,也能够将含金属气体供给系统称为含铝原料(含Al原料气体,含Al气体)供给系统。当作为含铝原料而使用TMA时,也能够将含铝原料供给系统称为TMA供给系统。
当从气体供给管320供给反应气体(反应物)时,主要由气体供给管320、MFC322、阀324构成反应气体供给系统(反应物供给系统)。可考虑将喷嘴420包括在反应气体供给系统中。当作为反应气体供给含氧气体(氧化气体,氧化剂)时,也能够将反应气体供给系统称为含氧气体(氧化气体,氧化剂)供给系统。当作为含氧气体而使用O3时,也能够将含氧气体供给系统称为O3供给系统。当从喷嘴420流过反应气体时,也可将喷嘴420称为反应气体喷嘴。
主要由气体供给管510、520,MFC512、522,阀514、325构成非活性气体供给系统。
也能够将原料气体供给系统、反应气体供给系统合称为气体供给系统。可考虑将非活性气体供给系统包含在气体供给系统中。
在反应管203上设置有作为对处理室201内的气氛进行排气的排气流路的排气管231。在排气管231上,经由作为对处理室201内的压力进行检测的压力检测器(压力检测部)的压力传感器245及作为排气阀(压力调节部)的APC(Auto Pressure Controller)阀244,连接有作为真空排气装置的真空泵246。APC阀244是以下述方式构成的阀:通过在使真空泵246工作的状态下对阀进行开闭,从而能够进行处理室201内的真空排气及真空排气停止,此外,在使真空泵246工作的状态下,基于由压力传感器245检测的压力信息来调节阀开度,从而能够调节处理室201内的压力。主要由排气管231、APC阀244、压力传感器245构成排气系统。可考虑将真空泵246包括在排气系统中。排气管231不限于设置于反应管203的情况,还可与喷嘴410、420同样地设置于集流管209。
在集流管209的下方,设置有作为能够气密地封闭集流管209的下端开口的炉口盖体的密封盖219。密封盖219以从铅垂方向下侧抵接于集流管209的下端的方式构成。密封盖219例如由SUS等金属形成,形成圆盘状。在密封盖219的上表面,设置有与集流管209的下端抵接的、作为密封构件的O型环220。在密封盖219的与处理室201相反一侧,设置有使后述的晶舟217旋转的旋转机构267。旋转机构267的旋转轴255贯穿密封盖219而与晶舟217连接。旋转机构267以通过使晶舟217旋转而使晶片200旋转的方式构成。密封盖219以下述方式构成:在铅垂设置在反应管203的外部的作为升降机构的晶舟升降机115带动下沿铅垂方向进行升降。晶舟升降机115以下述方式构成:通过使密封盖219升降,从而能够将晶舟217向处理室201内搬入及向处理室201外搬出。晶舟升降机115以将晶舟217即晶片200搬送于处理室201内外的搬送装置(搬送机构)的形式构成。此外,在集流管209的下方设置有在利用晶舟升降机115使密封盖219下降期间能够气密地将集流管209的下端开口密封的作为炉口盖体的闸门219s。闸门219s例如由SUS等金属构成且形成为圆盘状。在闸门219s的上表面设置有作为与集流管209的下端抵接的密封部件的O形密封圈220c。闸门219s的开闭动作(升降动作、旋转动作等)由闸门开闭机构115s来控制。
作为衬底支承件的晶舟217以下述方式构成:使多片(例如25~200片)晶片200以水平姿势且在彼此中心对齐的状态下沿铅垂方向进行排列,并以多层的方式对所述晶片200进行支承,即,使所述晶片200隔开间隔地排列。晶舟217例如由石英、SiC等耐热性材料形成。在晶舟217的下部,呈多层地支承有例如由石英、SiC等耐热性材料形成的隔热板(未图示)。通过这样的构成,来自加热器207的热不易传递到密封盖219侧。然而,本实施方式不限于上述方式。例如还可以在晶舟217的下部设置由石英、SiC等耐热性材料形成的、以筒状构件的形式构成的隔热筒218,而不设置隔热板。
在反应管203内设置有作为温度检测器的温度传感器263。通过基于由温度传感器263检测到的温度信息来调节对加热器207的通电情况,从而使处理室201内的温度成为所期望的温度分布。温度传感器263与喷嘴410、420同样地构成为L字型,并沿反应管203的内壁进行设置。
如图5所示,作为控制部(控制手段)的控制器121以具备有CPU(CentralProcessing Unit)121a、RAM(Random Access Memory)121b、存储装置121c、I/O端口121d的计算机的形式构成。RAM 121b、存储装置121c、I/O端口121d以能够经由内部总线121e而与CPU 121a进行数据交换的方式构成。例如以触摸面板等的形式构成的输入输出装置122与控制器121连接。
存储装置121c由例如闪存、HDD(Hard Disk Drive,硬盘驱动器)等构成。在存储装置121c内,以可读取的方式存储有:对衬底处理装置的动作进行控制的控制程序;记载有后述衬底处理的步骤、条件等的工艺制程;记载有后述清洁处理的步骤、条件等的清洁制程等。工艺制程是以使控制器121执行后述的成膜处理工序的各步骤、并能获得规定结果的方式组合得到的,其作为程序发挥功能。另外,清洁制程是以使控制器121执行后述清洁处理中的各步骤、并能获得规定结果的方式组合得到的,其作为程序发挥功能。以下,也将上述工艺制程、清洁制程、控制程序等统一简称为程序。另外,也将工艺制程、清洁制程简称为制程。在本说明书中,在使用用语“程序”时,有时仅单独包含工艺制程,有时仅单独包含清洁制程,有时仅单独包含控制程序,或者有时包含工艺制程、清洁制程、及控制程序中任意的组合。RAM 121b以存储区域(工作区)的形式构成,该存储区域暂时保持由CPU 121a读取的程序、数据等。
I/O端口121d与上述MFC512、522、312、322、阀514、524、314、324、压力传感器245、APC阀243、真空泵246、温度传感器263、加热器207、旋转机构267、晶舟升降机115、闸门开闭机构115s等连接。
CPU 121a以下述方式构成:从存储装置121c读取并执行控制程序,并根据来自输入输出装置122的操作命令的输入等从存储装置121c读取制程。CPU121a以下述方式构成:按照读取的制程的内容,对利用MFC512、522、312、322进行的各种气体的流量调节动作、阀514、524、314、324的开闭动作、基于APC阀243的开闭动作及基于压力传感器245而利用APC阀243进行的压力调节动作、真空泵246的启动及停止、基于温度传感器263的加热器207的温度调节动作、利用旋转机构267进行的晶舟217的旋转及旋转速度调节动作、利用晶舟升降机115进行的晶舟217的升降动作等进行控制、利用闸门开闭机构115s进行的闸门219s的开闭动作等。
控制器121可如下构成,即,将存储于外部存储装置(例如磁带、软盘、硬盘等磁盘;CD、DVD等光盘;MO等光磁盘;USB存储器、存储卡等半导体存储器)123的上述程序安装在计算机中。存储装置121c、外部存储装置123以计算机可读取的记录介质的形式构成。以下,也将他们统一简称为记录介质。在本说明书中使用用语“记录介质”时,有时仅单独包含存储装置121c、有时仅单独包含外部存储装置123、或有时包含上述两者。需要说明的是,向计算机提供程序可以不使用外部存储装置123,而使用互联网、专用线路等通信手段进行。
(2)成膜处理
使用图6,对使用上述衬底处理装置10,作为半导体器件(Device)的制造工序的一个工序而在衬底上形成膜的顺序例进行说明。在以下说明中,构成衬底处理装置的各部的动作由控制器121控制。
在本实施方式中,通过将下述工序进行规定次数(n次),从而在晶片200上形成铝氧化膜(AlO膜)作为包含Al和O的膜,所述工序为:一边以规定温度加热处理室201(以搭载状态收纳作为衬底的多个晶片200),一边从喷嘴410中开口的多个供给孔410a及减压孔410b向处理室201供给作为原料气体的TMA气体的工序;和从喷嘴420开口的多个供给孔420a供给O3气体作为反应气体的工序。
在本说明书中,在使用“晶片”这一用语的情况包含:指的是“晶片本身”的情况和指的是“晶片和形成于其表面的规定的层、膜等的层叠体(集合体)”的情况,即,包含形成在表面的规定的层、膜等而称为晶片的情况。此外,在本说明书中使用“晶片的表面”这一用语的情况包含:指的是“晶片本身的表面(暴露面)”的情况、指的是“形成在晶片上的规定的层、膜等的表面,即作为层叠体的晶片的最外侧表层面”的情况。
因此,在本说明书中记载有“向晶片供给规定的气体”的情况包含:指的是“向晶片本身的表面(暴露面)直接供给规定的气体”的情况、指的是“向形成在晶片上的层、膜等,即作为层叠体的晶片的最外侧表层面供给规定的气体”的情况。此外,在本说明书中记载有“在晶片上形成规定的层(或者膜))”的情况包含:指的是“在晶片本身的表面(暴露面)上直接形成规定的层(或者膜)”的情况、指的是“在形成在晶片上的层、膜等之上、即、在作为层叠体的晶片的最外侧表层面之上形成规定的层(或者膜)”的情况。
此外,在本说明书中“晶片”是“衬底”的一个例子。以下,详细说明本实施方式涉及的半导体器件的制造方法。
(晶片装载·晶舟加载)
在将多片晶片200装填(晶片装载)到晶舟217上时,利用闸门开闭机构115s使闸门219s移动,从而使集流管209的下端开口敞开(闸门打开)。之后,如图1所示,收纳多片晶片200的晶舟217被晶舟升降机115抬起并搬入(晶舟加载)到处理室201内。在该状态下,密封盖219处于借助O形密封圈220b将集流管209的下端密封的状态。
(压力·温度调节)
为了使处理室201内即存在有晶片200的空间达到所期望的压力(真空度),利用真空泵246进行真空排气。此时,利用压力传感器245测量处理室201内的压力,基于该测量的压力信息对APC阀243进行反馈控制(压力调节)。使真空泵246维持在一直工作的状态至少直至在对晶片200的处理完成为止。此外,为了使处理室201内达到所期望的温度,利用加热器207进行加热。此时,为了使处理室201内达到所期望的温度分布,基于温度传感器263检测出的温度信息,对向加热器207通电的量进行反馈控制(温度调节)。持续执行利用加热器207对处理室201内的加热,至少直至对晶片200的处理完成为止。接着,开始利用旋转机构267进行的晶舟217及晶片200的旋转。持续执行利用旋转机构267进行的晶舟217和晶片200的旋转至少直至对晶片200的处理完成为止。
(成膜步骤)
之后,将原料气体供给步骤、残留气体除去步骤、反应气体供给步骤、残留气体除去步骤按此顺序进行规定次数。
〔原料气体供给步骤〕
打开阀314,向气体供给管310流入TMA气体。TMA气体利用MFC312进行流量调节,从喷嘴410中开口的减压孔420b向处理室201内供给后,在减压状态下从供给孔410a向晶片200供给。即晶片200暴露于TMA气体。从供给孔410a及减压孔420b供给的TMA气体从排气管231排气。与此同时,打开阀514,向气体供给管510内流入N2气体作为载气。N2气体利用MFC512进行流量调节,与TMA气体一同从喷嘴410的供给孔410a及び减压孔410b向处理室201内供给,并从排气管231排气。
另外,为了防止TMA气体向喷嘴420的侵入(防止逆流),打开阀524,向气体供给管520内流入N2气体。N2气体经由气体供给管520、喷嘴420而向处理室201内供给,并从排气管231排气。
此时,适当调节APC阀243,从而将处理室201内的压力设为例如1~1000Pa,优选为1~100Pa,更优选为10~50Pa的范围内的压力。通过将处理室201内的压力设为1000Pa以下,能够良好地进行后述的残留气体除去,并且能够抑制喷嘴410内TMA气体发生自分解从而堆积在喷嘴410的内壁。通过将处理室201内的压力设为1Pa以上,能够提高晶片200表面上的TMA气体的反应速度,从而能够获得实用的成膜速度。需要说明的是,在本说明书中,作为数值的范围,例如当记载为1~1000Pa时,意思是1Pa以上且1000Pa以下。即,在数值的范围内包含1Pa及1000Pa。不仅是压力,关于流量、时间,温度等,对于本说明书中记载的全部数值都是同样的。
通过FC312控制的TMA气体的供给流量设为例如10~2000sccm,优选为50~1000sccm,更优选为100~500sccm的范围内的流量。通过将流量设为2000sccm以下,能够良好地进行后述的残留气体除去,并且能够抑制喷嘴410内TMA气体发生自分解从而堆积在喷嘴410的内壁。通过将流量设为10sccm以上,能够提高晶片200表面上的TMA气体的反应速度,从而能够获得实用的成膜速度。
由MFC512控制的N2气体的供给流量设为例如1~30slm,优选为1~20slm,更优选为1~10slm的范围内的流量。
相对于晶片200供给TMA气体的时间设为例如1~60秒,优选1~20秒,更优选为2~15秒的范围内。
加热器207以晶片200的温度成为例如400~600℃,优选为400~550℃,更优选为450~550℃的范围内的方式加热。通过将温度设为600℃以下,能够抑制TMA气体的过剩的热分解,并获得适度的成膜速度,抑制杂质被引入膜内从而导致的电阻率的提高。需要说明的是,TMA气体的热分解在接近所述处理的条件下在450℃左右开始,因此在加热至550℃以下的温度的处理室201内使用本发明是更有效的。另一方面,通过温度为400℃以上,反应性变高,能够高效地进行成膜。
通过在上述条件下向处理室201内供给TMA气体,从而能够在晶片200的最外侧表层面上形成例如不足1原子层至数原子层左右的厚度的包含C和H的含Al层。包含C和H的含Al层既可以是包含C和H的Al层,也可以是TMA的吸附层,也可以包含上述两者。TMA的吸附层既可以是TMA的物理吸附层,也可以是TMA的化学吸附层,也可以包含上述两者。这里,不足1原子层厚度的层指的是不连续形成的原子层,1原子层的厚度层指的是连续形成的原子层。
〔残留气体除去步骤〕
在含Al层形成后,关闭阀314,停止供给TMA气体。此时,保持APC阀243打开,利用真空泵246将处理室201内真空排气,并将残留在处理室201内的未反应或者对含Al层形成做出贡献后的TMA气体从处理室201内排除。在阀514、524打开的状态下维持N2气体向处理室201内的供给。N2气体作为吹扫气体而发挥作用,从而能够提高将残留在处理室201内的未反应或者对含Al层形成做出贡献后的TMA气体从处理室201内排除的效果。需要说明的是,在残留气体除去步骤期间,来自阀514、524的N2气体既可以始终持续流动、也可以断续地(脉冲地)供给。
此时,也可以不将处理室201内残留的气体完全排除,也可以不完全吹扫处理室201内。若处理室201内残留的气体为微量,则在之后进行的步骤中,几乎不会产生不良影响。向处理室201内供给的非活性气体的流量也没必要设为大流量,例如,通过供给与反应管203(处理室201)的容积同程度的量,能够进行在之后的步骤中几乎不产生不良影响的程度的吹扫。这样,通过不完全吹扫处理室201内,能够缩短吹扫时间,提高生产能力。另外,非活性气体的消耗也能抑制为所必要的最小限度。
〔反应气体供给步骤〕
在除去处理室201内的残留气体后,打开阀324,向气体供给管320内流入作为反应气体的O3气体。O3气体利用MFC322进行流量调节,从喷嘴420的供给孔420a向处理室201内的晶片200供给,并从排气管231排气。即晶片200暴露于O3气体。此时,打开阀524,向气体供给管520内流入N2气体。N2气体利用MFC522进行流量调节,并与O3气体一同向处理室201内供给,从排气管231排气。此时,为了防止O3气体向喷嘴410内侵入(防止逆流),打开阀514,向气体供给管510内流入N2气体。N2气体经由气体供给管510、喷嘴410向处理室201内供给,并从排气管231排气。
此时,适当调节APC阀243,从而将处理室201内的压力设为例如1~1000Pa,优选为1~100Pa,更优选为10~40Pa的范围内的压力。通过MFC322控制的O3气体的供给流量设为例如5~40slm,优选为5~30slm,更优选为10~20slm的范围内的流量。将O3气体对晶片200供给的时间设为例如1~60秒,优选为1~30秒,更优选为5~25秒的范围内。其他处理条件为与上述原料气体供给步骤同样的处理条件。
此时,向处理室201内流入的气体仅为O3气体和非活性气体(N2气体)。O3气体与在原料气体供给步骤中向晶片200上形成的含Al层的至少一部分反应。含Al层被氧化,从而形成包含Al和O的铝氧化层(AlO层)作为金属氧化层。即含Al层被改质为AlO层。
〔残留气体除去步骤〕
在AlO层形成后,关闭阀324,停止供给O3气体。并且,按照与原料气体供给步骤后的残留气体除去步骤同样的处理步骤,将在处理室201内残留的未反应或对AlO层的形成做出贡献后的O3气体、反应副生成物从处理室201内排除。此时,在可以不完全除去在处理室201内残留的气体等这一方面而言,与原料气体供给步骤后的残留气体除去步骤同样。
〔实施规定次数〕
通过将依次进行上述原料气体供给步骤、残留气体除去步骤、反应气体供给步骤、残留气体供给步骤的循环进行1次以上(规定次数),从而在晶片200上形成AlO膜。上述循环的次数根据最终形成的AlO膜中所需要的膜厚而适当选择,但上述循环优选重复多次。AlO膜的厚度(膜厚)设为例如10~150nm,优选为40~100nm,更优选为60~80nm。当为150nm以下时,能够减小表面粗糙度,当为10nm以上时,能够抑制由与基底膜的应力差引起的膜剥离的发生。
(后吹扫·大气压恢复)
在成膜步骤结束后,打开阀514、524,分别从气体供给管310、320将N2气体向处理室201内供给,并从排气管231排气。N2气体作为吹扫气体而发挥作用,并能够将处理室201内残留的气体、副生成物从处理室201内除去(后吹扫)。之后,处理室201内的气氛置换为N2气体(N2气体置换),处理室201内的压力恢复至常压(大气压恢复)。
(晶舟卸载·晶片取出)
之后,利用晶舟升降机115使密封盖219下降,集流管209的下端开口,并且处理过的晶片200在被晶舟217支承的状态从集流管209的下端向反应管203的外部搬出(晶舟卸载)。晶舟卸载之后,使闸门219s移动,集流管209的下端开口介由O型环220c而被闸门219s密封(闸门关闭)。处理过的晶片200在向反应管203的外部搬出后,利用晶舟217取出(晶片取出)。
(4)本实施方式所取得的效果
根据上述实施方式,可取得以下所示的1种或多种效果。
(a)如上所述,在供给TMA气体的喷嘴410的上部(与晶片200的搭载区域对应的高度)设置多个供给孔410a,在下部(加热器207的均匀加热长度的边界附近,喷嘴410内变得比规定温度低的位置,比制品衬底更靠下的部分,未设置减压孔410b的情况下堆积物附着的部分)设置减压孔410b,从而能够降低喷嘴410内的压力,抑制喷嘴内的气体的热分解,抑制认为是喷嘴内壁的黑色化的原因的堆积物的产生。因此,能够抑制伴随喷嘴内壁的黑色化的颗粒的产生。
(b)以比率表示喷嘴410内的温度、压力、气体密度之积从而将黑色化风险指数化,从而将向衬底供给气体的供给孔与减压孔之间的平衡(总开口面积值的比率,流量平衡等)以落入不发生黑色化的范围的方式(以成为TMA气体不附着在喷嘴410内的值的方式)进行最优化,从而不仅能抑制堆积物向喷嘴内壁的附着,而且能够提高搭载的多个衬底上形成的膜的表面间均匀性。
(c)多个供给孔410a的孔径(开口面积)以从喷嘴410的上游侧向下游侧而逐渐增大的方式形成,由此,能够使从供给孔420a供给的气体的流量更为均匀化,并且能够提高多个晶片200上形成的AlO膜的衬底表面间的膜厚均匀性。
(d)通过将供给孔与减压孔之间的平衡最优化,搭载的晶片200的各搭载区域(各区域)中的TMA气体的供给流量能够通过单个喷嘴进行调节(控制(control),微调(tunning))。因此,例如,能够设置备用喷嘴,进一步追加供给其他气体的喷嘴等,能够有效利用空置的空间从而提高生产率。
(5)其他实施方式
喷嘴410的形状、供给孔及减压孔的位置、孔径、开口面积等不限于第一实施方式中所述的图4所示的方式,只要是以落入图1所示的不发生黑色化的范围内的方式从而将供给孔与减压孔之间的平衡(总开口面积值的比率等)最优化了的方式即可,例如,可变更为以下所示的实施方式。以下,主要对不同于第一实施方式的部分进行记载。根据以下实施方式,也能获得至少上述1种或多种效果。
(第二实施方式)
如图7所示,喷嘴410的最上部也可以向上开口。通过使喷嘴410的最上部向上开口,能够使上部处的开口面积变大,能够向搭载的晶片200的上层部分供给更大量的原料气体。
(第三实施方式)
如图8所示,也可以在喷嘴410的下部、例如在比加热器207的下端的虚线L更靠下侧的位置设置减压孔410b,在喷嘴410的上部且在例如比虚线L更上的位置设置供给孔410a。供给孔410a与减压孔410b的开口位置至少相距比最下段的供给孔410a的孔径大的距离。优选为相距数倍于最下段的供给孔410a的孔径的距离。
(第四实施方式)
如图9所示,也可以在喷嘴410的下部、例如在比加热器207的下端的虚线L更靠下侧的、成为喷嘴的铅垂部分的竖立部分设置减压孔410b,在喷嘴410的上部、例如比虚线L更靠上侧的位置设置供给孔410a。供给孔410a与减压孔410b的开口位置至少相距数倍于最下段的供给孔410a的孔径的距离。优选为,在与未图示的隔热板或隔热筒218对应的高度设置减压孔410b。
(第五实施方式)
如图10所示,在喷嘴410的下部、例如在比加热器207的下端的虚线L更靠下侧的、比成为喷嘴的铅垂部分的竖立部分更靠上侧的位置设置减压孔410b,在喷嘴410的上部、例如在比虚线L更靠上侧的位置设置供给孔410a。供给孔410a与减压孔410b的开口位置至少相距数倍于最下段的供给孔410a的孔径的距离。
(第六实施方式)
如图11所示,在喷嘴410的下部、例如在比加热器207的下端的虚线L更靠下侧的位置设置减压孔410b,在喷嘴410的上部、例如在比虚线L更靠上侧部的位置设置供给孔410a、420a。
(第七实施方式)
如图12所示,可以在喷嘴410的最上部倾斜地开口。关于倾斜地开口的朝向,考虑对晶片200的影响(对成膜的影响)而进行最优化。通过在喷嘴410的最上部倾斜地开口,能够增大上部处的开口面积,能够向搭载的晶片200的上层部分供给更大量的原料气体。另外,通过改变开口角度,能够与所需原料气体的流量相应地调节开口面积(喷嘴410的剖面积)。
(第八实施方式)
如图13所示,也可以将喷嘴410设为在喷嘴的中途发生U形弯曲(弯折)的形状。在喷嘴410的下部(上游侧)、例如在比加热器207的下端的虚线L更靠下侧的、比成为喷嘴的铅垂部分的竖立部分更靠上侧的位置设置减压孔410b,在喷嘴410的上部、例如在比虚线L更靠上侧(下游侧)的位置设置供给孔410a。供给孔410a的孔径具有朝向喷嘴410的上部而逐渐变大的开口面积。即,从喷嘴410的上游侧直至U形弯曲部分逐渐变大地开口、从U形弯曲部分直至喷嘴410的前端(下游侧)逐渐变小地开口。
(第九实施方式)
如图14所示,可组合使用比喷嘴410短的喷嘴(短头喷嘴)410’,从而以从长度不同的2根喷嘴供给TMA气体。在喷嘴410、410’的下部、例如在比加热器207的下端的虚线L更靠下侧的位置分别设置减压孔410b、410b’,在喷嘴410、410’的上部、例如在比虚线L更靠上侧的位置分别设置供给孔410a、410a’。供给孔410a、410a’的孔径具有从喷嘴410、410的上游向下游逐渐变大的开口面积。这里,示出仅分别在相同高度、以相同孔径、相同数量设置减压孔410b、410b’的例子。即减压孔410b’的总开口面积设为在各喷嘴间是相同的。然而不限于此,减压孔410b’的总开口面积优选为根据各喷嘴的长度而最优化。另外,在图14中作为例子示出了喷嘴410’的最上部为封闭的形状,但不限于此,也可以向上开口,供给孔410a’也可以根据与喷嘴410的原料气体的供给平衡而设为向上开口的单个孔的形式,而不设置于喷嘴410的侧壁。
(第十实施方式)
图15中表示作为比较例的第二实施方式中的喷嘴410((a))和本实施方式中的喷嘴410’((b))。如图15所示,以供给孔410a’的孔径与减压孔410b’的孔径相比更小,并且多个供给孔410a’的孔径全部相同的方式设置,通过孔数的变更,从而使在各高度处从供给孔410a’供给的原料气体的流量分别与从喷嘴410的供给孔410a供给的原料气体的流量变得相同。以供给孔410a的孔径具有从喷嘴410的上游向下游而逐渐变大的开口面积,并且供给孔410a’的对应的高度处的开口面积(供给的原料气体的流量)与供给孔410a变得同等的方式,使孔数从喷嘴410’的上游向下游而逐渐增多。需要说明的是,在晶片200上成膜后,有时对处理室201内进行气体清洁,但从清洁的观点考虑,喷嘴的孔径越小越优选。在本实施方式中,作为比较例而示出了第二实施方式,但不限于此,相对于其他实施方式而言也具有同样的关系。关于减压孔410b,410b’,将与第二实施方式同样的形状作为例子而示出,但不限于此,也可以设为其他实施方式的形状。
(第十一实施方式)
如图16所示,当向搭载的晶片200的中央部(Center部)多供给原料气体时,可以增大喷嘴410((a))、410’((b))的中央附近的供给孔410a、410a’的开口面积。供给孔410a,410a’的开口面积从喷嘴410的上游向下游直至中央附近而逐渐变大,从中央附近向下游而逐渐变小。开口面积在上下方向上的平衡根据在各喷嘴的高度所需的原料气体的流量而适当变更。关于喷嘴410,在上下方向上逐个以相同孔数开设供给孔410a,并通过变更孔径从而调节各高度的开口面积。关于供给孔410a’,以使其孔径小于减压孔410b’的孔径,并且多个供给孔410a’的孔径全部相同的方式设置,通过变更孔数从而调节各高度的开口面积。关于减压孔410b、410b’,将与第二实施方式同样的形状作为例子而示出,但不限于此,也可以设为其他实施方式的形状。
(第十二实施方式)
如图17所示,当向搭载的晶片200的下部(bottom部)多供给原料气体时,可以增大喷嘴410((a))、410’((b))的下部附近的供给孔410a、410a’的开口面积。供给孔410a、410a’的开口面积从喷嘴410的上游向下游而逐渐变小。开口面积在上下方向上的平衡根据在各喷嘴的高度所需的原料气体的流量而适当变更。关于喷嘴410,在上下方向上逐个以相同孔数开设供给孔410a,并通过变更孔径从而调节各高度的开口面积。关于供给孔410a’,以使其孔径小于减压孔410b’的孔径,并且多个供给孔410a’的孔径全部相同的方式设置,通过变更孔数从而调节各高度的开口面积。
如以上所说明的,考虑气体流量平衡,从而以成为所期望的气体流量的方式,调节供给孔410a、410a’的孔径、孔数。
以上,具体说明了本发明的实施方式。然而,本发明并不限定于上述实施方式,可以在不脱离其主旨的范围内进行各种变化。
例如,在上述实施方式中,以使用TMA气体作为含Al气体的例子进行了说明,但不限于此,例如,也可以使用氯化铝(AlCl3)等。作为含O气体,对使用O3气体的例子进行了说明,但不限于此,例如也可适用氧(O2)、水(H2O)、过氧化氢(H2O2),O2等离子体与氢(H2)等离子体的组合等。作为非活性气体,说明了使用N2气体的例子,但不限于此,例如也可使用Ar气体、He气体、Ne气体、Xe气体等的稀有气体。
另外,在上述实施方式中,对在衬底上形成AlO膜的例子进行了说明。但,本发明不限于上述方式。例如,对于下述膜种类是有效的,即在处理温度而在喷嘴内发生自分解从而在喷嘴内壁以堆积物的形式附着,并且具有堆积物在成膜循环内发生剥离的密合性。另外,也可用于在供给原料气体时,同时使用以非活性气体等稀释的原料气体来形成膜的膜种类,例如可适用于包含钛(Ti)、锆(Zr)、铪(Hf)、钽(Ta)、铌(Nb)、钼(Mo)、钨(W)、钇(Y)、La(镧)、锶(Sr)、硅(Si)的膜、包含上述元素中的至少一种的氮化膜、碳氮化膜、氧化膜、碳氧化膜、氮氧化膜、碳氮氧化膜、氮化硼膜、碳氮化硼膜、金属元素单质膜等。
对于用于成膜处理的制程(记载有处理步骤、处理条件等的程序)而言,优选根据处理内容(形成或除去的膜的种类、组成比、膜质、膜厚、处理步骤、处理条件等)分别单独准备,并经由电气通信线路、外部存储装置123预先存储在存储装置121c内。然后,在开始处理时,优选的是,CPU 121a从存储在存储装置121c内的多个制程中根据处理内容而适当选择合适的制程。由此,能够利用1台的衬底处理装置再现性良好地形成各种膜种、组成比、膜质、膜厚的膜,并且在各种情况下能够进行适当的处理。另外,可以降低操作者的操作负担(处理步骤、处理条件等的输入负担等),避免操作失误,同时可以迅速地开始处理。
上述制程不限于新作成的情况,例如可以通过改变已安装于衬底处理装置中的已有制程来准备。在改变制程时,可以经由电气通信线路、记录有该制程的记录介质将变更后的制程安装于衬底处理装置。另外,还可以操作已有的衬底处理装置所具有的输入输出装置122,直接改变已安装于衬底处理装置中的已有制程。
另外,能够将上述实施方式、变形例等适当组合使用。另外,此时的处理步骤、处理条件可与上述实施方式、变形例等处理步骤、处理条件相同。

Claims (8)

1.一种衬底处理装置,具有:
处理室,搭载并收纳多个衬底;
加热系统,以规定温度加热所述处理室;
原料气体供给系统,具有原料气体喷嘴,并且从所述原料气体喷嘴向所述处理室供给原料气体,所述原料气体喷嘴在所述处理室的所述衬底的搭载方向上延伸且具有多个供给孔和多个减压孔,所述多个供给孔在与所述衬底的搭载区域相对应的高度开口,所述多个减压孔在比所述多个供给孔更靠下部且所述原料气体喷嘴内变得比所述规定温度低的位置开口,降低所述原料气体喷嘴内的压力,所述减压孔的开口面积构成为大于所述供给孔的开口面积;
反应气体供给系统,向所述处理室供给与所述原料气体反应的反应气体;和
控制部,构成为控制所述加热系统、所述原料气体供给系统和所述反应气体供给系统,交替进行下述处理从而在所述衬底上形成膜:将以搭载状态收纳了多个衬底的所述处理室以所述规定温度加热,并且从所述原料气体喷嘴向所述处理室供给所述原料气体的处理;和向所述处理室供给所述反应气体的处理。
2.根据权利要求1所述的衬底处理装置,所述多个供给孔及所述多个减压孔的总开口面积分别相对于所述多个供给孔的总开口面积和所述多个减压孔的总开口面积之和的比率,分别被设定为使得所述原料气体喷嘴内的温度及压力之积成为所述原料气体在所述原料气体喷嘴内不发生自分解的值。
3.根据权利要求1所述的衬底处理装置,所述多个供给孔具有从所述原料气体喷嘴的上游向下游而逐渐变大的开口面积。
4.根据权利要求1所述的衬底处理装置,所述多个减压孔分别具有相同的开口面积。
5.根据权利要求1所述的衬底处理装置,所述多个供给孔之中的最下段的供给孔与所述多个减压孔之中的最上段的减压孔之间的距离大于所述最下段的供给孔的孔径。
6.根据权利要求1所述的衬底处理装置,所述原料气体喷嘴构成为最上部向上开口。
7.根据权利要求6所述的衬底处理装置,所述原料气体喷嘴构成为最上部倾斜地开口。
8.根据权利要求1所述的衬底处理装置,所述原料气体为有机系原料,并且所述规定温度为400℃以上且小于600℃。
CN201710076980.7A 2016-02-15 2017-02-13 衬底处理装置 Active CN107086189B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016025886A JP6538582B2 (ja) 2016-02-15 2016-02-15 基板処理装置、半導体装置の製造方法およびプログラム
JP2016-025886 2016-02-15

Publications (2)

Publication Number Publication Date
CN107086189A CN107086189A (zh) 2017-08-22
CN107086189B true CN107086189B (zh) 2020-08-18

Family

ID=59560189

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710076980.7A Active CN107086189B (zh) 2016-02-15 2017-02-13 衬底处理装置

Country Status (4)

Country Link
US (1) US11020760B2 (zh)
JP (1) JP6538582B2 (zh)
KR (1) KR102046219B1 (zh)
CN (1) CN107086189B (zh)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6759137B2 (ja) * 2017-03-24 2020-09-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6647260B2 (ja) * 2017-09-25 2020-02-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
WO2019188037A1 (ja) * 2018-03-30 2019-10-03 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102474847B1 (ko) 2018-04-25 2022-12-06 삼성전자주식회사 가스 인젝터 및 웨이퍼 처리 장치
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP6856576B2 (ja) * 2018-05-25 2021-04-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6920262B2 (ja) 2018-09-20 2021-08-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP6994483B2 (ja) * 2018-09-26 2022-01-14 株式会社Kokusai Electric 半導体装置の製造方法、プログラム、及び基板処理装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
WO2020194433A1 (ja) * 2019-03-25 2020-10-01 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN112410518A (zh) * 2019-08-23 2021-02-26 盛美半导体设备(上海)股份有限公司 退火腔进气装置
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7037526B2 (ja) * 2019-09-10 2022-03-16 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
JP6894482B2 (ja) 2019-09-12 2021-06-30 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210043810A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 반도체 제조 장비
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7098677B2 (ja) 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7365973B2 (ja) * 2020-06-19 2023-10-20 東京エレクトロン株式会社 ガスノズル、基板処理装置及び基板処理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7102478B2 (ja) 2020-09-24 2022-07-19 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム及び基板処理方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US20220122856A1 (en) * 2020-10-15 2022-04-21 Changxin Memory Technologies, Inc. Diffusion furnace
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022134272A1 (zh) * 2020-12-24 2022-06-30 广东黑金钢渗层纳米技术发展有限公司 一种提升铁制品材料性能的设备与技术
JP7273079B2 (ja) * 2021-02-15 2023-05-12 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラムおよび基板処理方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230133402A1 (en) * 2021-11-03 2023-05-04 Applied Materials, Inc. Injection module for a process chamber

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06349761A (ja) * 1993-06-03 1994-12-22 Kokusai Electric Co Ltd 半導体製造装置用ガス供給ノズル及び半導体製造装置
JPH1012559A (ja) * 1996-06-07 1998-01-16 Samsung Electron Co Ltd 半導体製造用化学気相蒸着装置
JP2014063959A (ja) * 2012-09-24 2014-04-10 Tokyo Electron Ltd 縦型熱処理装置
JP2014236129A (ja) * 2013-06-03 2014-12-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0448721A (ja) * 1990-06-15 1992-02-18 Nec Corp 気相成長装置
TWI264758B (en) * 2004-03-11 2006-10-21 Hitachi Int Electric Inc A substrate processing apparatus and a semiconductor device manufacturing method use the same
JP2007027425A (ja) * 2005-07-15 2007-02-01 Hitachi Kokusai Electric Inc 基板処理装置
JP2008078448A (ja) * 2006-09-22 2008-04-03 Hitachi Kokusai Electric Inc 基板処理装置
JP4899744B2 (ja) * 2006-09-22 2012-03-21 東京エレクトロン株式会社 被処理体の酸化装置
JP2009295729A (ja) 2008-06-04 2009-12-17 Hitachi Kokusai Electric Inc 基板処理装置
US8270378B2 (en) * 2008-10-03 2012-09-18 Texas Instruments Incorporated Adaptive transmissions in wireless networks
JP5658463B2 (ja) 2009-02-27 2015-01-28 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2011054590A (ja) 2009-08-31 2011-03-17 Hitachi Kokusai Electric Inc 基板処理装置
JP2012023221A (ja) * 2010-07-15 2012-02-02 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法
WO2012090738A1 (ja) * 2010-12-27 2012-07-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP6108518B2 (ja) 2011-10-20 2017-04-05 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法、基板処理装置及びプログラム
JP6147480B2 (ja) 2012-09-26 2017-06-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06349761A (ja) * 1993-06-03 1994-12-22 Kokusai Electric Co Ltd 半導体製造装置用ガス供給ノズル及び半導体製造装置
JPH1012559A (ja) * 1996-06-07 1998-01-16 Samsung Electron Co Ltd 半導体製造用化学気相蒸着装置
JP2014063959A (ja) * 2012-09-24 2014-04-10 Tokyo Electron Ltd 縦型熱処理装置
JP2014236129A (ja) * 2013-06-03 2014-12-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム

Also Published As

Publication number Publication date
JP6538582B2 (ja) 2019-07-03
JP2017147262A (ja) 2017-08-24
KR20170095749A (ko) 2017-08-23
CN107086189A (zh) 2017-08-22
KR102046219B1 (ko) 2019-11-18
US11020760B2 (en) 2021-06-01
US20170232457A1 (en) 2017-08-17

Similar Documents

Publication Publication Date Title
CN107086189B (zh) 衬底处理装置
TWI543339B (zh) 製造半導體裝置之方法、處理基板之方法、基板處理設備及記錄媒體
KR101786889B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 컴퓨터 프로그램
KR20210002672A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR20150001708A (ko) 반도체 장치의 제조 방법, 클리닝 방법, 기판 처리 장치 및 기록 매체
CN110952078B (zh) 半导体装置的制造方法、存储介质和基板处理装置
KR20210036969A (ko) 클리닝 방법, 반도체 장치의 제조 방법, 프로그램 및 기판 처리 장치
WO2020189205A1 (ja) 基板処理装置、半導体装置の製造方法およびノズル
CN113519041B (zh) 基板处理装置、反应容器、半导体器件的制造方法以及记录介质
JP7064577B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
CN113227450A (zh) 半导体器件的制造方法、衬底处理装置及程序
CN110402482B (zh) 半导体装置的制造方法、清洁方法、基板处理装置和记录介质
KR20230104735A (ko) 기판 처리 방법, 프로그램, 기판 처리 장치 및 반도체 장치의 제조 방법
CN113574640B (zh) 半导体装置的制造方法、基板处理装置和记录介质
CN112530836B (zh) 气化装置、衬底处理装置、清洁方法、半导体器件的制造方法及记录介质
CN112309927B (zh) 基板处理装置、基板支撑件以及半导体装置的制造方法
JPWO2018061109A1 (ja) 半導体装置の製造方法
WO2020066701A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
CN112530836A (zh) 气化装置、衬底处理装置、清洁方法、半导体器件的制造方法及记录介质
CN117716062A (zh) 半导体装置的制造方法、基板处理装置、程序以及涂布方法
KR20230139314A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기판 지지구
CN115706001A (zh) 衬底处理方法、衬底处理装置、记录介质及半导体器件的制造方法
CN116134173A (zh) 半导体装置的制造方法、记录介质及基板处理装置
CN114250448A (zh) 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质
CN112309927A (zh) 基板处理装置、基板支撑件以及半导体装置的制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20181205

Address after: Tokyo, Japan, Japan

Applicant after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Applicant before: Hitachi Kunisai Electric Corp.

GR01 Patent grant
GR01 Patent grant