KR20170095749A - 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램 및 원료 가스 노즐 - Google Patents

기판 처리 장치, 반도체 장치의 제조 방법, 프로그램 및 원료 가스 노즐 Download PDF

Info

Publication number
KR20170095749A
KR20170095749A KR1020170020091A KR20170020091A KR20170095749A KR 20170095749 A KR20170095749 A KR 20170095749A KR 1020170020091 A KR1020170020091 A KR 1020170020091A KR 20170020091 A KR20170020091 A KR 20170020091A KR 20170095749 A KR20170095749 A KR 20170095749A
Authority
KR
South Korea
Prior art keywords
gas
nozzle
raw material
material gas
pressure
Prior art date
Application number
KR1020170020091A
Other languages
English (en)
Other versions
KR102046219B1 (ko
Inventor
도시키 후지노
유마 후지이
가즈키 노노무라
요시노리 바바
유지 다케바야시
겐이치 스자키
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20170095749A publication Critical patent/KR20170095749A/ko
Application granted granted Critical
Publication of KR102046219B1 publication Critical patent/KR102046219B1/ko

Links

Images

Classifications

    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B7/00Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas
    • B05B7/16Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed
    • B05B7/1693Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed with means for heating the material to be sprayed or an atomizing fluid in a supply hose or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은, 노즐의 흑색화를 억제하고, 막질의 면간의 균일성을 개선하는 것이 가능한 기술을 제공한다. 복수의 기판을 적재해서 수용하는 처리실과, 처리실을 소정 온도에서 가열하는 가열계와, 처리실에, 처리실의 기판의 적재 방향으로 연장되는 원료 가스 노즐이며, 기판의 적재 영역에 대응하는 높이에 개구되는 복수의 공급 구멍과, 복수의 공급 구멍보다 하부이며 원료 가스 노즐 내가 소정 온도보다 낮아지는 위치에 개구되어, 원료 가스 노즐 내의 압력을 저감하는 복수의 감압 구멍을 갖는 원료 가스 노즐을 갖고, 원료 가스 노즐로부터 처리실에, 원료 가스를 공급하는 원료 가스 공급계와, 처리실에, 원료 가스와 반응하는 반응 가스를 공급하는 반응 가스 공급계와, 가열계, 원료 가스 공급계, 반응 가스 공급계를 제어하여, 복수의 기판이 적재된 상태에서 수용된 처리실을 소정 온도에서 가열하면서, 처리실에 원료 가스 노즐로부터 원료 가스를 공급하는 처리와, 처리실에 반응 가스를 공급하는 처리를 교대로 행하여, 기판 상에 막을 형성하도록 구성되는 제어부를 갖는다.

Description

기판 처리 장치, 반도체 장치의 제조 방법, 프로그램 및 원료 가스 노즐{SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, PROGRAM AND PRECURSOR GAS NOZZLE}
본 발명은 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램 및 원료 가스 노즐에 관한 것이다.
반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 처리실 내에 수용된 기판 상에 막을 형성하는 성막 처리가 행하여지는 경우가 있다(예를 들어 특허문헌 1을 참조).
일본 특허 공개 제2014-67877
성막 처리를 행할 때, 사용되는 가스가 노즐 내에서 열분해하여, 노즐 내벽에 퇴적물이 부착되는 경우가 있다. 이 퇴적물이 성막 처리 중에 박리되면 파티클이 되어(즉, 발진되어), 처리실 내에 수용된 기판 상에 공급되어, 막 중에 불순물로서 도입되어버리는 경우가 있다. 또한, 노즐을 사용해서 가스를 공급하는 종형 장치에서는, 복수의 기판이 적재된 처리실에 노즐로부터 가스를 공급하기 때문에, 기판면간의 균일성이 악화하는 경우가 있다. 본 발명의 목적은, 가스의 공급을 균일화함으로써, 기판면간의 막 두께 균일성을 향상시키면서, 성막 처리 중에, 가스의 열분해에 의해 노즐 내벽에 퇴적물이 부착되는 것을 억제함으로써, 막 중으로의 불순물의 도입을 억제하고, 막질 및 기판 면내의 막 두께 균일성을 향상시키는 것이 가능한 기술을 제공하는 데 있다.
본 발명의 일 형태에 의하면,
복수의 기판을 적재해서 수용하는 처리실과,
상기 처리실을 소정 온도에서 가열하는 가열계와,
상기 처리실의 상기 기판의 적재 방향으로 연장되는 원료 가스 노즐이며, 상기 기판의 적재 영역에 대응하는 높이에 개구하는 복수의 공급 구멍과, 상기 복수의 공급 구멍보다 하부이며 상기 원료 가스 노즐 내가 상기 소정 온도보다 낮아지는 위치에 개구되어, 상기 원료 가스 노즐 내의 압력을 저감하는 복수의 감압 구멍을 갖는 원료 가스 노즐을 갖고, 상기 원료 가스 노즐로부터 상기 처리실에, 원료 가스를 공급하는 원료 가스 공급계와,
상기 처리실에, 상기 원료 가스와 반응하는 반응 가스를 공급하는 반응 가스 공급계와,
상기 가열계, 상기 원료 가스 공급계, 상기 반응 가스 공급계를 제어하여, 복수의 기판이 적재된 상태에서 수용된 상기 처리실을 상기 소정 온도에서 가열하면서, 상기 처리실에 상기 원료 가스 노즐로부터 상기 원료 가스를 공급하는 처리와, 상기 처리실에 상기 반응 가스를 공급하는 처리를 교대로 행하여, 상기 기판 상에 막을 형성하도록 구성되는 제어부,
를 갖는 기술이 제공된다.
본 발명에 따르면, 기판면간의 막 두께 균일성을 향상시키면서, 막질 및 기판 면내의 막 두께 균일성을 향상시키는 것이 가능하게 된다.
도 1은 본 발명에 따른 흑색화 리스크 지수를 도시하는 도면이다.
도 2는 본 발명의 제1 실시 형태에서 적합하게 사용되는 기판 처리 장치의 종형 처리 로의 개략 구성도이며, 처리 로 부분을 종단면도로 도시하는 도면이다.
도 3은 본 발명의 제1 실시 형태에서 적합하게 사용되는 기판 처리 장치의 종형 처리 로의 개략 구성도이며, 처리 로 부분을 도 2의 A-A선 단면도로 도시하는 도면이다.
도 4는 본 발명의 제1 실시 형태에서의 원료 가스를 공급하는 노즐을 도시하는 도면이다.
도 5는 본 발명의 제1 실시 형태에서 적합하게 사용되는 기판 처리 장치의 컨트롤러의 개략 구성도이며, 컨트롤러의 제어계를 블록도로 도시하는 도면이다.
도 6은 본 발명의 제1 실시 형태에서의 성막 시퀀스를 도시하는 도면이다.
도 7은 본 발명의 제2 실시 형태에서의 원료 가스를 공급하는 노즐을 도시하는 도면이다.
도 8은 본 발명의 제3 실시 형태에서의 원료 가스를 공급하는 노즐을 도시하는 도면이다.
도 9는 본 발명의 제4 실시 형태에서의 원료 가스를 공급하는 노즐을 도시하는 도면이다.
도 10은 본 발명의 제5 실시 형태에서의 원료 가스를 공급하는 노즐을 도시하는 도면이다.
도 11은 본 발명의 제6 실시 형태에서의 원료 가스를 공급하는 노즐을 도시하는 도면이다.
도 12는 본 발명의 제7 실시 형태에서의 원료 가스를 공급하는 노즐을 도시하는 도면이다.
도 13은 본 발명의 제8 실시 형태에서의 원료 가스를 공급하는 노즐을 도시하는 도면이다.
도 14는 본 발명의 제9 실시 형태에서의 원료 가스를 공급하는 노즐을 도시하는 도면이다.
도 15의 (a)는 비교를 위한 본 발명의 제2 실시 형태에서의 원료 가스를 공급하는 노즐을 도시하는 도면이며, (b)는 본 발명의 제10 실시 형태에서의 원료 가스를 공급하는 노즐을 도시하는 도면이다.
도 16의 (a)는 본 발명의 제11 실시 형태에서의 원료 가스를 공급하는 노즐의 일례를 도시하는 도면이며, (b)는 본 발명의 제11 실시 형태에서의 원료 가스를 공급하는 노즐의 다른 예를 나타내는 도면이다.
도 17의 (a)는 본 발명의 제12 실시 형태에서의 원료 가스를 공급하는 노즐의 일례를 도시하는 도면이며, (b)는 본 발명의 제12 실시 형태에서의 원료 가스를 공급하는 노즐의 다른 예를 나타내는 도면이다.
성막 처리를 행할 때, 사용되는 가스가 노즐 내에서 열분해하여, 노즐 내벽에 퇴적물이 부착되는 경우가 있다. 이 퇴적물은, 퇴적물이 갖는 밀착성에 따라서는, 성막 사이클 내에서 박리되어버린다. 성막 처리 중에 박리되면, 퇴적물은 파티클이 되어서(즉, 발진되어) 처리실 내에 수용된 기판 상에 공급되어, 막 중에 불순물로서 도입되어버리는 경우가 있다. 따라서, 노즐 내벽에 부착되는 퇴적물에 대한 대책(노즐 내에서 발생하는 발진원에의 대책)이 필요해지는 경우가 있다.
성막 처리 시는 가스의 반응성을 높이기 위해서 처리실 내를 고온으로 하고 있으며, 이에 수반하여, 노즐 내에서도 가스의 자기분해가 진행되어버려, 노즐 내벽에 퇴적물이 부착되기 쉬워진다. 또한, 노즐에 복수의 구멍이 개구되는 다공 노즐의 경우, 노즐의 상류측(하부)일수록 압력이 높아지기 때문에, 보다 가스의 자기분해가 일어나기 쉬워진다. 또한, 노즐의 상류측은, 처리실을 가열하는 히터의 균열장(균열 영역)의 경계 부근으로 되는 경우가 많아, 급격한 온도 변화가 일어난다고 생각된다. 그 때문에, 노즐의 하부(예를 들어, 제품 기판보다 아래의 부분)에서는 고압과 급격한 온도 변화에 의한 가스의 자기분해가 일어나, 노즐 내벽에 퇴적물이 부착되어 흑색화해버린다고 생각된다.
발명자들은 예의 연구를 행하여, 노즐 내벽에 퇴적물이 부착되는 원인으로서, 노즐 내의 온도 및 압력의 관계성이 관련하고 있음을 알아내었다. 온도를 낮추면 퇴적물은 부착되지 않고, 가스가 적으면 퇴적물은 부착되지 않는다. 따라서, 퇴적물이 부착되어 있는 부분(예를 들어, 노즐의 하부, 히터의 균열장의 경계 부근, 제품 기판보다 아래의 부분) 등에, 감압 구멍(압력 저감용 구멍, 압력 제거용 구멍)을 형성해서 노즐 내의 압력을 낮춤으로써, 노즐 내에서의 가스의 열분해를 억제하는 것을 고안하였다. 즉, 처리실 내에, 기판의 적재 영역에 대응하는 높이(기판이 존재하는 위치)에 개구되는 복수의 공급 구멍(제1 원료 가스 공급 구멍)과, 복수의 공급 구멍보다 하부이며 원료 가스 노즐 내가 소정 온도보다 낮아지는 위치에 개구되는 복수의 감압 구멍(제2 원료 가스 공급 구멍)을 갖는 원료 가스 노즐을 설치한다. 이에 의해 노즐 내벽의 흑색화의 원인이라 생각되는 퇴적물의 발생을 억제하는 것이 가능하게 된다.
또한, 도 1과 같이, 노즐 내의 온도, 압력, 가스 밀도의 곱을 비율로 나타내어 흑색화 리스크를 지수화하고, 기판에 가스를 공급하는 공급 구멍(제1 원료 가스 공급 구멍)과 감압 구멍(제2 원료 가스 공급 구멍)과의 밸런스(총 개구 면적 값의 비율, 유량 밸런스 등)를 흑색화하지 않는 범위에 들어가도록 최적화한다. 즉, 원료 가스 노즐 내의 온도, 압력, 가스 밀도의 곱이, 원료 가스 노즐 내에 원료 가스가 자기분해해서 생성되는 퇴적물이 부착되지 않는 값(또는 원료 가스가 자기분해하지 않는 값)이 되도록 총 개구 면적 값 및 복수의 공급 구멍(제1 원료 가스 공급 구멍)의 총 개구 면적과 복수의 감압 구멍(제2 원료 가스 공급 구멍)의 총 개구 면적과의 비율이 설정되어 있는 총 개구 면적을 각각 갖도록 최적화한다. 온도, 압력, 가스 밀도의 파라미터 중, 보다 영향이 높은 것은 온도, 압력이다. 노즐을 사용해서 가스를 공급하는 종형 장치에서는, 복수의 기판이 적재된 처리실에 노즐로부터 가스를 공급하기 때문에, 기판면간의 균일성이 악화하는 경우가 있는데, 이에 의해, 노즐 내벽에의 퇴적물 부착의 억제뿐만 아니라, 적재된 복수의 기판에 형성되는 막의 면간 막 두께 균일성을 확보하는 것이 가능하게 된다. 예를 들어, 노즐의 각 높이에서 구멍 직경이나 구멍 수를 변경하여, 도 1의 흑색화하지 않는 노즐의 값(옅은 점선)과 흑색화하는 노즐의 값(짙은 점선)과의 사이가 되도록 균형을 잡음으로써, 공급 구멍과 감압 구멍과의 총 개구 면적의 비율을 최적화할 수 있다. 상술한 바와 같이, 본 발명에 의해, 기판면간의 막 두께 균일성을 향상시키면서, 막질 및 기판 면내의 막 두께 균일성을 향상시키는 것이 가능하게 된다.
<제1 실시 형태>
(1) 기판 처리 장치의 구성
도 2에 도시한 바와 같이, 처리 로(202)는, 가열계(온도 조정부)로서의 히터(207)를 갖는다. 히터(207)는 원통 형상이며, 보유 지지판으로서의 히터 베이스(도시하지 않음)에 지지됨으로써 수직으로 설치되어 있다. 히터(207)는, 후술하는 처리실(201) 내를 소정 온도에서 가열한다. 히터(207)는, 가스를 열로 활성화(여기)시키는 활성화 기구(여기부)로서도 기능한다.
히터(207)의 내측에는, 히터(207)와 동심원 형상으로 반응관(203)이 배치되어 있다. 반응관(203)은, 예를 들어 석영(SiO2) 또는 탄화 실리콘(SiC) 등의 내열성 재료로 이루어지고, 상단이 폐색되고 하단이 개구된 원통 형상으로 형성되어 있다. 반응관(203)의 하방에는, 반응관(203)과 동심원 형상으로, 매니폴드(인렛 플랜지)(209)가 배치되어 있다. 매니폴드(209)는, 예를 들어 스테인리스(SUS) 등의 금속으로 이루어지고, 상단 및 하단이 개구된 원통 형상으로 형성되어 있다. 매니폴드(209)의 상단부는, 반응관(203)의 하단부에 걸림 결합하고 있어, 반응관(203)을 지지하도록 구성되어 있다. 매니폴드(209)와 반응관(203)과의 사이에는, 시일 부재로서의 O링(220)이 설치되어 있다. 매니폴드(209)가 히터 베이스에 지지됨으로써, 반응관(203)은 수직으로 설치된 상태가 된다. 주로, 반응관(203)과 매니폴드(209)에 의해 처리 용기(반응 용기)가 구성된다. 처리 용기의 통 중공부에는 처리실(201)이 형성되어 있다. 처리실(201)은, 복수매의 기판으로서의 웨이퍼(200)를, 후술하는 보트(217)에 의해 수평 자세로 수직 방향으로 다단으로 적재한 상태에서 수용 가능하게 구성되어 있다.
처리실(201) 내에는, 노즐(410, 420)이 매니폴드(209)의 측벽을 관통하도록 설치되어 있다. 노즐(410, 420)에는, 가스 공급 라인으로서의 가스 공급관(310, 320)이 각각 접속되어 있다. 이와 같이, 처리 용기(매니폴드(209))에는 2개의 노즐(410, 420)과, 2개의 가스 공급관(310, 320)이 접속되어 있어, 처리실(201) 내에 복수 종류의 가스를 공급하는 것이 가능하게 되어 있다.
가스 공급관(310, 320)에는, 상류 방향에서부터 순서대로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(312, 322) 및 개폐 밸브인 밸브(314, 324)가 각각 설치되어 있다. 가스 공급관(310, 320)의 밸브(314, 324)보다도 하류측에는, 불활성 가스를 공급하는 가스 공급 라인으로서의 가스 공급관(510, 520)이 각각 접속되어 있다. 가스 공급관(510, 520)에는, 상류 방향에서부터 순서대로, 유량 제어기(유량 제어부)인 MFC(512, 522) 및 개폐 밸브인 밸브(514, 524)가 각각 설치되어 있다.
가스 공급관(310, 320)의 선단부에는, 노즐(410, 420)이 각각 접속되어 있다. 노즐(410, 420)은, 도 2, 3에 도시한 바와 같이, 반응관(203)의 내벽과 웨이퍼(200)와의 사이에서의 평면에서 보아 원환 형상의 공간에, 반응관(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 적재 방향 상방을 향해서 상승되어, 연장되도록 각각 설치되어 있다. 즉, 노즐(410, 420)은, 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 각각 설치되어 있다. 즉, 노즐(410, 420)은, 처리실(201) 내에 반입된 각 웨이퍼(200)의 단부(주연부)의 측방에 웨이퍼(200)의 표면(평탄면)과 수직으로 각각 설치되어 있다. 노즐(410, 420)은, L자형의 롱 노즐로서 각각 구성되어 있고, 그러한 각 수평부는 매니폴드(209)의 측벽을 관통하도록 설치되어 있고, 그러한 각 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해서 상승되도록 설치되어 있다.
노즐(410, 420)의 측면의 웨이퍼(200)와 대응하는 높이(웨이퍼(200)으로서의 기판의 적재 영역에 대응하는 높이)에는, 가스를 공급하는 복수의 공급 구멍(410a(제1 가스 공급 구멍, 제1 원료 가스 공급 구멍)), 420a(제2 가스 공급 구멍))이 각각 형성되어 있다. 공급 구멍(410a, 420a)은, 반응관(203)의 중심을 향하도록 개구되어 있어, 웨이퍼(200)를 향해서 가스를 공급하는 것이 가능하게 되어 있다. 공급 구멍(410a, 420a)은, 반응관(203)의 웨이퍼(200)가 존재하는 영역, 즉, 기판 지지구로서의 보트(217)와 대향하는 위치, 환언하면, 히터(207)의 하단부로부터 상부에 걸쳐 복수 형성되어 있다.
노즐(410)의 공급 구멍(410a)보다 하부이며, 예를 들어 후술하는 도시하지 않은 단열판이나 단열 통(218) 등에 대향하는 위치에는, 노즐 내의 압력을 저감하기 위한 감압 구멍(압력 저감용 구멍, 제2 원료 가스 공급 구멍)(410b)이 형성되어 있다. 감압 구멍(410b)의 위치는, 보트(217)보다 하방이며, 히터(207)의 하단인 파선 L보다 대략 하방에 형성되어 있다. 이 파선 L은, 히터(207)로부터의 열이 영향을 미치기 시작하는 부분(균열장의 경계)이며, 예를 들어 히터(207)를 550℃로 가열하는 경우에는, 350℃ 내지 550℃ 정도로 상승하기 시작하는 위치 부근이다. 파선 L보다 하측으로 감에 따라서, 노즐(410) 내의 온도는 낮아져, 가스가 자기분해하는 소정 온도보다 낮아져 간다(균열 영역외). 또한, 히터(207)의 하단보다 위의 위치, 즉, 보트(217)의 위치로부터 위에는, 예를 들어 550℃로 되어 있다(균열 영역내). 감압 구멍(410b)의 개구 면적은, 공급 구멍(410a)의 개구 면적보다 크게 구성되어 있다. 도 2에서는 감압 구멍(410b)을 5개 형성하는 예를 나타내고 있지만, 구멍을 추가해도 되고 감소시켜도 된다. 필요한 개구 면적을 갖고 있으면, 감압 구멍은 1개이어도 된다. 또한, 둥근 구멍 형상이어도 되고, 타원 형상이어도 되고, 슬릿 형상이어도 된다.
도 4에, 노즐(410)의 제1 실시 형태를 나타낸다. 히터(207) 및 보트(217)의 하단인 파선 L보다 상측 부근에 공급 구멍(410a)이 형성되어 있다. 공급 구멍(410a)의 구멍 직경(개구 면적)은, 노즐(410)의 상류측으로부터 하류측을 향해서 서서히 커지도록 형성된다. 이에 의해, 공급 구멍(410a)으로부터 공급되는 가스의 유량을 보다 균일화하는 것이 가능하게 된다. 공급 구멍(410a)보다 하부에는, 감압 구멍(410b)이 복수(여기서는 3개), 인접하는 공급 구멍(410a)의 개구 면적보다 큰 개구 면적이 되는 구멍 직경으로 개구되도록 형성된다.
공급 구멍(410a) 및 감압 구멍(410b)의 개구 면적, 즉 각 구멍의 구멍 직경, 수는, 도 1에 도시하는 지수화된 흑색화 리스크에 기초하여, 노즐(410) 내의 온도 및 압력을 고려해서, 바람직하게는 온도 및 압력 외에도 가스 밀도를 고려하여, 공급 구멍과 감압 구멍과의 밸런스(총 개구 면적 값의 비율, 유량 밸런스 등)가 노즐(410)의 내벽이 흑색화하지 않는 범위에 들어가도록 최적화해서 형성한다.
노즐(420)에 형성되는 복수의 공급 구멍(420a)은, 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다. 단, 공급 구멍(420a)은, 상술한 형태에 한정되지 않는다. 예를 들어, 노즐(420)의 하부(상류측)로부터 상부(하류측)를 향해서 개구 면적을 서서히 크게 해도 된다. 이에 의해, 공급 구멍(420a)으로부터 공급되는 가스의 유량을 보다 균일화하는 것이 가능하게 된다.
이와 같이, 본 실시 형태에서는, 반응관(203)의 측벽의 내벽과, 반응관(203) 내에 배열된 복수매의 웨이퍼(200)의 단부(주연부)로 정의되는 평면에서 보아 원환 형상의 세로로 긴 공간 내, 즉, 원통 형상의 공간 내에 배치한 노즐(410, 420)을 경유해서 가스를 반송하고 있다. 그리고, 노즐(410, 420)에 각각 개구된 공급 구멍(410a, 420a)으로부터, 웨이퍼(200)의 근방에서 반응관(203) 내에 가스를 분출시키고 있다. 그리고, 반응관(203) 내에서의 가스의 주된 흐름을, 웨이퍼(200)의 표면과 평행한 방향, 즉, 수평 방향으로 하고 있다. 감압 구멍(410b)으로부터 웨이퍼(200)의 영역보다 하방에 있어서, 처리실(201) 내에 가스를 공급하고 있다. 이 감압 구멍(410b)이 있음으로써, 노즐(410) 내의 압력을 낮출 수 있다.
이러한 구성으로 함으로써, 각 웨이퍼(200)에 균일하게 가스를 공급할 수 있어, 각 웨이퍼(200)에 형성되는 막의 막 두께의 면간 균일성을 향상시키는 것이 가능하게 됨과 함께, 노즐 내에서의 가스의 자기분해를 억제할 수 있어, 노즐 내벽에의 퇴적물 부착을 억제하고, 성막 처리 중에 부착물이 박리되어 파티클로 되어서 막 중에 불순물로서 도입되어버리는 것을 억제하여, 막질을 향상시키는 것이 가능하게 된다. 웨이퍼(200)의 표면 상을 흐른 가스, 즉, 반응 후의 잔류 가스는, 배기구, 즉, 후술하는 배기관(231)의 방향을 향해서 흐른다. 단, 이 잔류 가스의 흐름의 방향은, 배기구의 위치에 따라 적절히 특정되며, 수직 방향에 한한 것은 아니다.
가스 공급관(310)으로부터는, 처리 가스(원료 가스)가 MFC(312), 밸브(314), 노즐(410)을 통해서 처리실(201) 내에 공급된다. 원료 가스로서는, 예를 들어 금속 원소인 알루미늄(Al)을 포함하는 금속 함유 가스인 알루미늄 함유 원료(Al 함유 원료 가스, Al 함유 가스)로서의 트리메틸알루미늄(Al(CH3)3, 약칭: TMA)이 사용된다. TMA는 유기계 원료이며, 알루미늄에 리간드로서 알킬기가 결합한 알킬알루미늄이다. 노즐(410)로부터 원료 가스를 흘리는 경우, 노즐(410)을 원료 가스 노즐이라고 칭해도 된다.
원료 가스란, 기체 상태의 원료, 예를 들어 상온 상압 하에서 기체 상태인 기체 원료나, 상온 상압 하에서 액체 상태인 액체 원료를 기화함으로써 얻어지는 가스 등을 말한다. 본 명세서에서 「원료」라는 말을 사용한 경우에는, 「액체 상태인 원료」를 의미하는 경우, 「기체 상태인 원료(원료 가스)」를 의미하는 경우, 또는 그들의 양쪽을 의미하는 경우가 있다.
가스 공급관(320)으로부터는, 처리 가스(반응 가스)로서, 예를 들어 산소(O)를 포함하고, Al과 반응하는 반응 가스(리액턴트)로서의 산소 함유 가스(산화 가스, 산화제)가, MFC(322), 밸브(324), 노즐(420)을 통해서 처리실(201) 내에 공급된다. O 함유 가스로서는, 예를 들어 오존(O3) 가스를 사용할 수 있다.
가스 공급관(510, 520)으로부터는, 불활성 가스로서, 예를 들어 N2 가스가, 각각 MFC(512, 522), 밸브(514, 524), 가스 공급관(310, 320), 노즐(410, 420)을 통해서 처리실(201) 내에 공급된다.
가스 공급관(310)으로부터 소정 온도에서 자기분해하는 원료 가스를 공급하는 경우, 주로, 가스 공급관(310), MFC(312), 밸브(314)에 의해, 원료 가스 공급계가 구성된다. 노즐(410)을 원료 가스 공급계에 포함해서 생각해도 된다. 원료 가스 공급계를 원료 공급계라고 칭할 수도 있다. 가스 공급관(310)으로부터 금속 함유 가스를 공급하는 경우, 원료 가스 공급계를 금속 함유 가스 공급계라고 칭할 수도 있다. 금속 함유 가스로서 알루미늄 함유 원료(Al 함유 원료 가스, Al 함유 가스)를 사용하는 경우, 금속 함유 가스 공급계를 알루미늄 함유 원료(Al 함유 원료 가스, Al 함유 가스) 공급계라고 칭할 수도 있다. 알루미늄 함유 원료로서 TMA를 사용하는 경우, 알루미늄 함유 원료 공급계를 TMA 공급계라고 칭할 수도 있다.
가스 공급관(320)으로부터 반응 가스(리액턴트)를 공급하는 경우, 주로, 가스 공급관(320), MFC(322), 밸브(324)에 의해, 반응 가스 공급계(리액턴트 공급계)가 구성된다. 노즐(420)을 반응 가스 공급계에 포함해서 생각해도 된다. 반응 가스로서 산소 함유 가스(산화 가스, 산화제)를 공급하는 경우, 반응 가스 공급계를, 산소 함유 가스(산화 가스, 산화제) 공급계라고 칭할 수도 있다. 산소 함유 가스로서 O3을 사용하는 경우, 산소 함유 가스 공급계를 O3 공급계라고 칭할 수도 있다. 노즐(420)로부터 반응 가스를 흘리는 경우, 노즐(420)을 반응 가스 노즐이라고 칭해도 된다.
주로, 가스 공급관(510, 520), MFC(512, 522), 밸브(514, 524)에 의해, 불활성 가스 공급계가 구성된다.
원료 가스 공급계, 반응 가스 공급계를 합쳐서 가스 공급계라고 칭할 수도 있다. 불활성 가스 공급계를 가스 공급계에 포함해서 생각해도 된다.
반응관(203)에는, 처리실(201) 내의 분위기를 배기하는 배기 유로로서의 배기관(231)이 설치되어 있다. 배기관(231)에는, 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 배기 밸브(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(243)를 통해서, 진공 배기 장치로서의 진공 펌프(246)가 접속되어 있다. APC 밸브(243)는, 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐함으로써, 처리실(201) 내의 진공 배기 및 진공 배기 정지를 행할 수 있고, 또한 진공 펌프(246)를 작동시킨 상태에서, 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 밸브 개방도를 조절함으로써, 처리실(201) 내의 압력을 조정할 수 있도록 구성되어 있는 밸브이다. 주로, 배기관(231), APC 밸브(243), 압력 센서(245)에 의해 배기계가 구성된다. 진공 펌프(246)를 배기계에 포함해서 생각해도 된다. 배기관(231)은, 반응관(203)에 설치하는 경우에 한하지 않고, 노즐(410, 420)과 마찬가지로 매니폴드(209)에 설치해도 된다.
매니폴드(209)의 하방에는, 매니폴드(209)의 하단 개구를 기밀하게 폐색 가능한 노구 덮개로서의 시일 캡(219)이 설치되어 있다. 시일 캡(219)은, 매니폴드(209)의 하단에 수직 방향 하측으로부터 맞닿아지도록 구성되어 있다. 시일 캡(219)은, 예를 들어 SUS 등의 금속으로 이루어지고, 원반 형상으로 형성되어 있다. 시일 캡(219)의 상면에는, 매니폴드(209)의 하단과 맞닿는 시일 부재로서의 O링(220)이 설치되어 있다. 시일 캡(219)의 처리실(201)과 반대측에는, 후술하는 보트(217)를 회전시키는 회전 기구(267)가 설치되어 있다. 회전 기구(267)의 회전축(255)은, 시일 캡(219)을 관통해서 보트(217)에 접속되어 있다. 회전 기구(267)는, 보트(217)를 회전시킴으로써 웨이퍼(200)를 회전시키도록 구성되어 있다. 시일 캡(219)은, 반응관(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성되어 있다. 보트 엘리베이터(115)는, 시일 캡(219)을 승강시킴으로써, 보트(217)를 처리실(201) 내외로 반입 및 반출하는 것이 가능하도록 구성되어 있다. 보트 엘리베이터(115)는, 보트(217), 즉 웨이퍼(200)를 처리실(201) 내외로 반송하는 반송 장치(반송 기구)로서 구성되어 있다. 또한, 매니폴드(209)의 하방에는, 보트 엘리베이터(115)에 의해 시일 캡(219)을 강하시키고 있는 동안에, 매니폴드(209)의 하단 개구를 기밀하게 폐색 가능한 노구 덮개로서의 셔터(219s)가 설치되어 있다. 셔터(219s)는, 예를 들어 SUS 등의 금속에 의해 구성되고, 원반 형상으로 형성되어 있다. 셔터(219s)의 상면에는, 매니폴드(209)의 하단과 맞닿는 시일 부재로서의 O링(220c)이 설치되어 있다. 셔터(219s)의 개폐 동작(승강 동작이나 회동 동작 등)은, 셔터 개폐 기구(115s)에 의해 제어된다.
기판 지지구로서의 보트(217)는, 복수매, 예를 들어 25 내지 200매의 웨이퍼(200)를 수평 자세이면서 또한 서로 중심을 맞춘 상태에서 수직 방향으로 정렬시켜서 다단으로 지지하도록, 즉, 간격을 두고 배열시키도록 구성되어 있다. 보트(217)는, 예를 들어 석영이나 SiC 등의 내열성 재료로 이루어진다. 보트(217)의 하부에는, 예를 들어 석영이나 SiC 등의 내열성 재료로 이루어지는 도시하지 않은 단열판이 다단으로 지지되어 있다. 이 구성에 의해, 히터(207)로부터의 열이 시일 캡(219)측에 전해지기 어렵게 되어 있다. 단, 본 실시 형태는 이와 같은 형태에 한정되지 않는다. 예를 들어, 보트(217)의 하부에 단열판을 설치하지 않고, 석영이나 SiC 등의 내열성 재료로 이루어지는 통 형상의 부재로서 구성된 단열 통(218)을 설치해도 된다.
반응관(203) 내에는, 온도 검출기로서의 온도 센서(263)가 설치되어 있다. 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)에의 통전 상태를 조정함으로써, 처리실(201) 내의 온도가 원하는 온도 분포로 된다. 온도 센서(263)는, 노즐(410, 420)과 마찬가지로 L자형으로 구성되어 있고, 반응관(203)의 내벽을 따라 설치되어 있다.
도 5에 도시한 바와 같이, 제어부(제어 수단)인 컨트롤러(121)는, CPU(Central Processing Unit)(121a), RAM(Random Access Memory)(121b), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성되어 있다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는 내부 버스(121e)를 통해서, CPU(121a)와 데이터 교환 가능하도록 구성되어 있다. 컨트롤러(121)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(122)가 접속되어 있다.
기억 장치(121c)는, 예를 들어 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성되어 있다. 기억 장치(121c) 내에는, 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 수순이나 조건 등이 기재된 프로세스 레시피나, 후술하는 클리닝 처리의 수순이나 조건 등이 기재된 클리닝 레시피 등이, 판독 가능하게 저장되어 있다. 프로세스 레시피는, 후술하는 성막 처리에 있어서의 각 수순을 컨트롤러(121)에 실행시켜, 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 클리닝 레시피는, 후술하는 클리닝 처리에 있어서의 각 수순을, 컨트롤러(121)에 실행시켜, 소정의 결과를 얻을 수 있게 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 클리닝 레시피나 제어 프로그램 등을 총칭하여, 간단히, 프로그램이라고도 한다. 또한, 프로세스 레시피, 클리닝 레시피를, 간단히, 레시피라고도 한다. 본 명세서에서 프로그램이라는 말을 사용한 경우에는, 프로세스 레시피 단체만을 포함하는 경우, 클리닝 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그들 중 임의의 조합을 포함하는 경우가 있다. RAM(121b)은, CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 유지되는 메모리 영역(워크 에리어)으로서 구성되어 있다.
I/O 포트(121d)는, 상술한 MFC(512, 522, 312, 322), 밸브(514, 524, 314, 324), 압력 센서(245), APC 밸브(243), 진공 펌프(246), 온도 센서(263), 히터(207), 회전 기구(267), 보트 엘리베이터(115), 셔터 개폐 기구(115s) 등에 접속되어 있다.
CPU(121a)는, 기억 장치(121c)로부터 제어 프로그램을 판독해서 실행함과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라서 기억 장치(121c)로부터 레시피를 판독하도록 구성되어 있다. CPU(121a)는, 판독한 레시피의 내용을 따르도록, MFC(512, 522, 312, 322)에 의한 각종 가스의 유량 조정 동작, 밸브(514, 524, 314, 324)의 개폐 동작, APC 밸브(243)의 개폐 동작 및 압력 센서(245)에 기초하는 APC 밸브(243)에 의한 압력 조정 동작, 진공 펌프(246)의 기동 및 정지, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작, 셔터 개폐 기구(115s)에 의한 셔터(219s)의 개폐 동작 등을 제어하도록 구성되어 있다.
컨트롤러(121)는, 외부 기억 장치(예를 들어, 자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)(123)에 저장된 상술한 프로그램을, 컴퓨터에 인스톨함으로써 구성할 수 있다. 기억 장치(121c)나 외부 기억 장치(123)는 컴퓨터 판독 가능한 기록 매체로서 구성되어 있다. 이하, 이들을 총칭하여, 간단히, 기록 매체라고도 한다. 본 명세서에서 기록 매체라는 말을 사용한 경우에는, 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는 그들의 양쪽을 포함하는 경우가 있다. 또한, 컴퓨터에의 프로그램의 제공은, 외부 기억 장치(123)를 사용하지 않고, 인터넷이나 전용 회선 등의 통신 수단을 사용해서 행해도 된다.
(2) 성막 처리
상술한 기판 처리 장치(10)를 사용하여, 반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 기판 상에 막을 형성하는 시퀀스 예에 대해서, 도 6을 사용해서 설명한다. 이하의 설명에서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
본 실시 형태에서는, 기판으로서의 복수의 웨이퍼(200)가 적재된 상태에서 수용된 처리실(201)을 소정 온도에서 가열하면서, 처리실(201)에, 노즐(410)에 개구되는 복수의 공급 구멍(410a) 및 감압 구멍(410b)으로부터 원료 가스로서 TMA 가스를 공급하는 공정과, 노즐(420)에 개구되는 복수의 공급 구멍(420a)으로부터 반응 가스로서 O3 가스를 공급하는 공정을 소정 횟수(n회) 행함으로써, 웨이퍼(200) 상에 Al 및 O를 포함하는 막으로서 알루미늄 산화막(AlO막)을 형성한다.
본 명세서에서 「웨이퍼」라는 용어는, 「웨이퍼 그 자체」를 의미하는 경우나, 「웨이퍼와 그 표면에 형성된 소정의 층, 막 등과의 적층체(집합체)」를 의미하는 경우(즉, 표면에 형성된 소정의 층, 막 등을 포함해서 웨이퍼라 칭하는 경우)가 있다. 또한, 본 명세서에서 「웨이퍼의 표면」이라는 용어는, 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우나, 「웨이퍼 상에 형성된 소정의 층, 막 등의 표면, 즉, 적층체로서의 웨이퍼의 최표면」을 의미하는 경우가 있다.
따라서, 본 명세서에서 「웨이퍼에 대하여 소정의 가스를 공급한다」라고 기재한 경우에는, 「웨이퍼 그 자체의 표면(노출면)에 대하여 소정의 가스를 직접 공급한다」는 것을 의미하는 경우나, 「웨이퍼 상에 형성되어 있는 층이나 막 등에 대하여, 즉, 적층체로서의 웨이퍼의 최표면에 대하여 소정의 가스를 공급한다」는 것을 의미하는 경우가 있다. 또한, 본 명세서에서 「웨이퍼 상에 소정의 층(또는 막)을 형성한다」라고 기재한 경우에는, 「웨이퍼 그 자체의 표면(노출면) 상에 소정의 층(또는 막)을 직접 형성한다」는 것을 의미하는 경우나, 「웨이퍼 상에 형성되어 있는 층이나 막 등의 위, 즉, 적층체로서의 웨이퍼의 최표면 상에 소정의 층(또는 막)을 형성한다」는 것을 의미하는 경우가 있다.
또한, 본 명세서에서 「웨이퍼」는 「기판」의 일례이다. 이하, 본 실시 형태에 따른 반도체 장치의 제조 방법에 대해서, 상세하게 설명한다.
(웨이퍼 차지·보트 로드)
복수매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차지)되면, 셔터 개폐 기구(115s)에 의해 셔터(219s)가 이동되고, 매니폴드(209)의 하단 개구가 개방된다(셔터 오픈). 그 후, 도 2에 도시한 바와 같이, 복수매의 웨이퍼(200)가 수용된 보트(217)는, 보트 엘리베이터(115)에 의해 들어 올려져서 처리실(201) 내에 반입(보트 로드)된다. 이 상태에서, 시일 캡(219)은 O링(220b)을 개재해서 매니폴드(209)의 하단을 시일한 상태가 된다.
(압력·온도 조정)
처리실(201) 내, 즉, 웨이퍼(200)가 존재하는 공간이 원하는 압력(진공도)으로 되도록 진공 펌프(246)에 의해 진공 배기된다. 이때, 처리실(201) 내의 압력은, 압력 센서(245)로 측정되고, 이 측정된 압력 정보에 기초하여, APC 밸브(243)가 피드백 제어된다(압력 조정). 진공 펌프(246)는, 적어도 웨이퍼(200)에 대한 처리가 완료될 때까지의 동안은 항상 작동시킨 상태를 유지한다. 또한, 처리실(201) 내가 원하는 온도로 되도록 히터(207)에 의해 가열된다. 이때, 처리실(201) 내가 원하는 온도 분포로 되도록, 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)에의 통전량이 피드백 제어된다(온도 조정). 히터(207)에 의한 처리실(201) 내의 가열은, 적어도 웨이퍼(200)에 대한 처리가 완료될 때까지의 동안은 계속해서 행하여진다. 계속해서, 회전 기구(267)에 의해 보트(217) 및 웨이퍼(200)의 회전을 개시한다. 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은, 적어도, 웨이퍼(200)에 대한 처리가 완료될 때까지의 동안은 계속해서 행하여진다.
(성막 스텝)
그 후, 원료 가스 공급 스텝, 잔류 가스 제거 스텝, 반응 가스 공급 스텝, 잔류 가스 제거 스텝을 이 순서대로 소정 횟수 행한다.
〔원료 가스 공급 스텝〕
밸브(314)를 개방하고, 가스 공급관(310)에 TMA 가스를 흘린다. TMA 가스는, MFC(312)에 의해 유량 조정되어, 노즐(410)에 개구되는 감압 구멍(410b)으로부터 처리실(201) 내에 공급된 후, 감압된 상태에서 공급 구멍(410a)으로부터 웨이퍼(200)에 대하여 공급된다. 즉, 웨이퍼(200)는 TMA 가스에 폭로된다. 공급 구멍(410a) 및 감압 구멍(410b)으로부터 공급된 TMA 가스는, 배기관(231)으로부터 배기된다. 이때 동시에, 밸브(514)를 개방하고, 가스 공급관(510) 내에 캐리어 가스로서 N2 가스를 흘린다. N2 가스는, MFC(512)에 의해 유량 조정되어, TMA 가스와 함께 노즐(410)의 공급 구멍(410a) 및 감압 구멍(410b)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한, 노즐(420)에의 TMA 가스의 침입을 방지(역류를 방지)하기 위해서, 밸브(524)를 개방하고, 가스 공급관(520) 내에 N2 가스를 흘린다. N2 가스는, 가스 공급관(520), 노즐(420)을 통해서 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이때, APC 밸브(243)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 1000Pa, 바람직하게는 1 내지 100Pa, 보다 바람직하게는 10 내지 50Pa의 범위 내의 압력으로 한다. 처리실(201) 내의 압력을 1000Pa 이하로 함으로써, 후술하는 잔류 가스 제거를 적합하게 행할 수 있음과 함께, 노즐(410) 내에서 TMA 가스가 자기분해해서 노즐(410)의 내벽에 퇴적되어버리는 것을 억제할 수 있다. 처리실(201) 내의 압력을 1Pa 이상으로 함으로써 웨이퍼(200) 표면에서의 TMA 가스의 반응 속도를 높일 수 있어, 실용적인 성막 속도를 얻는 것이 가능하게 된다. 또한, 본 명세서에서는, 수치의 범위로서, 예를 들어 1 내지 1000Pa이라 기재한 경우에는, 1Pa 이상 1000Pa 이하를 의미한다. 즉, 수치의 범위 내에는 1Pa 및 1000Pa이 포함된다. 압력뿐만 아니라, 유량, 시간, 온도 등, 본 명세서에 기재되는 모든 수치에 대해서 마찬가지이다.
MFC(312)로 제어하는 TMA 가스의 공급 유량은, 예를 들어 10 내지 2000sccm, 바람직하게는 50 내지 1000sccm, 보다 바람직하게는 100 내지 500sccm의 범위 내의 유량으로 한다. 유량을 2000sccm 이하로 함으로써, 후술하는 잔류 가스 제거를 적합하게 행할 수 있음과 함께, 노즐(410) 내에서 TMA 가스가 자기분해해서 노즐(410)의 내벽에 퇴적되어버리는 것을 억제할 수 있다. 유량을 10sccm 이상으로 함으로써 웨이퍼(200) 표면에서의 TMA 가스의 반응 속도를 높일 수 있는, 실용적인 성막 속도를 얻는 것이 가능하게 된다.
MFC(512)로 제어하는 N2 가스의 공급 유량은, 예를 들어 1 내지 30slm, 바람직하게는 1 내지 20slm, 보다 바람직하게는 1 내지 10slm의 범위 내의 유량으로 한다.
TMA 가스를 웨이퍼(200)에 대하여 공급하는 시간은, 예를 들어 1 내지 60초, 바람직하게 1 내지 20초, 보다 바람직하게는 2 내지 15초의 범위 내로 한다.
히터(207)는, 웨이퍼(200)의 온도가, 예를 들어 400 내지 600℃, 바람직하게는 400 내지 550℃, 보다 바람직하게는 450 내지 550℃의 범위 내가 되도록 가열한다. 온도를 600℃ 이하로 함으로써, TMA 가스의 과잉의 열분해를 억제하면서 성막 속도를 적절하게 얻을 수 있고, 불순물이 막내에 도입되어 저항률이 높아지는 것이 억제된다. 또한, TMA 가스의 열분해는, 당해 처리에 가까운 조건 하에서는 450℃ 정도에서 개시하기 때문에, 550℃ 이하의 온도로 가열된 처리실(201) 내에서 본 발명을 사용하면 더욱 유효하다. 한편, 온도가 400℃ 이상임으로써, 반응성이 높고, 효율적인 막 형성이 가능하다.
상술한 조건 하에서 처리실(201) 내에 TMA 가스를 공급함으로써, 웨이퍼(200)의 최표면 상에, 예를 들어 1 원자층 미만 내지 수 원자층 정도의 두께의 C 및 H를 포함하는 Al 함유층이 형성된다. C 및 H를 포함하는 Al 함유층은, C 및 H를 포함하는 Al층이어도 되고, TMA의 흡착층이어도 되고, 그것들의 양쪽을 포함하고 있어도 된다. TMA의 흡착층은, TMA의 물리 흡착층이어도 되고, TMA의 화학 흡착층이어도 되고, 그것들의 양쪽을 포함하고 있어도 된다. 여기서, 1 원자층 미만의 두께의 층이란 불연속으로 형성되는 원자층을 의미하고 있고, 1 원자층의 두께의 층이란 연속적으로 형성되는 원자층을 의미하고 있다.
〔잔류 가스 제거 스텝〕
Al 함유층이 형성된 후, 밸브(314)를 폐쇄하고, TMA 가스의 공급을 정지한다. 이때, APC 밸브(243)는 개방한 채 그대로 두고, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 Al 함유층 형성에 기여한 후의 TMA 가스를 처리실(201) 내로부터 배제한다. 밸브(514, 524)는, 개방한 상태에서 N2 가스의 처리실(201) 내에의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하여, 처리실(201) 내에 잔류하는 미반응 또는 Al 함유층 형성에 기여한 후의 TMA 가스를 처리실(201) 내로부터 배제하는 효과를 높일 수 있다. 또한, 밸브(514, 524)로부터의 N2 가스는 잔류 가스 제거 스텝 동안에, 항상 계속해서 흘려도 되고, 단속적(펄스식)으로 공급해도 된다.
이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행하여지는 스텝에서 악영향은 거의 발생하지 않는다. 처리실(201) 내에 공급하는 불활성 가스의 유량도 대유량으로 할 필요는 없으며, 예를 들어 반응관(203)(처리실(201))의 용적과 동일 정도의 양을 공급함으로써, 그 후의 스텝에서 악영향이 거의 발생하지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하고, 스루풋을 향상시킬 수 있다. 또한, 불활성 가스의 소비도 필요 최소한으로 억제하는 것이 가능하게 된다.
〔반응 가스 공급 스텝〕
처리실(201) 내의 잔류 가스를 제거한 후, 밸브(324)를 개방하고, 가스 공급관(320) 내에 반응 가스인 O3 가스를 흘린다. O3 가스는, MFC(322)에 의해 유량 조정되어, 노즐(420)의 공급 구멍(420a)으로부터 처리실(201) 내의 웨이퍼(200)에 대하여 공급되고, 배기관(231)으로부터 배기된다. 즉, 웨이퍼(200)는 O3 가스에 폭로된다. 이때, 밸브(524)를 개방하고, 가스 공급관(520) 내에 N2 가스를 흘린다. N2 가스는, MFC(522)에 의해 유량 조정되어, O3 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 노즐(410) 내에의 O3 가스의 침입을 방지(역류를 방지)하기 위해서, 밸브(514)를 개방하고, 가스 공급관(510) 내에 N2 가스를 흘린다. N2 가스는, 가스 공급관(510), 노즐(410)을 통해서 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이때, APC 밸브(243)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 1000Pa, 바람직하게는 1 내지 100Pa, 보다 바람직하게는 10 내지 40Pa의 범위 내의 압력으로 한다. MFC(322)로 제어하는 O3 가스의 공급 유량은, 예를 들어 5 내지 40slm, 바람직하게는 5 내지 30slm, 보다 바람직하게는 10 내지 20slm의 범위 내의 유량으로 한다. O3 가스를 웨이퍼(200)에 대하여 공급하는 시간은, 예를 들어 1 내지 60초, 바람직하게는 1 내지 30초, 보다 바람직하게는 5 내지 25초의 범위 내로 한다. 그 밖의 처리 조건은, 상술한 원료 가스 공급 스텝과 마찬가지의 처리 조건으로 한다.
이때 처리실(201) 내에 흘리고 있는 가스는, O3 가스와 불활성 가스(N2 가스)만이다. O3 가스는, 원료 가스 공급 스텝에서 웨이퍼(200) 상에 형성된 Al 함유층의 적어도 일부와 반응한다. Al 함유층은 산화되어, 금속 산화층으로서 Al과 O를 포함하는 알루미늄 산화층(AlO층)이 형성된다. 즉 Al 함유층은 AlO층으로 개질된다.
〔잔류 가스 제거 스텝〕
AlO층이 형성된 후, 밸브(324)를 폐쇄하고, O3 가스의 공급을 정지한다. 그리고, 원료 가스 공급 스텝 후의 잔류 가스 제거 스텝과 마찬가지의 처리 수순에 의해, 처리실(201) 내에 잔류하는 미반응 또는 AlO층의 형성에 기여한 후의 O3 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 이때, 처리실(201) 내에 잔류하는 가스 등을 완전히 배제하지 않아도 되는 점은, 원료 가스 공급 스텝 후의 잔류 가스 제거 스텝과 마찬가지이다.
〔소정 횟수 실시〕
상술한 원료 가스 공급 스텝, 잔류 가스 제거 스텝, 반응 가스 공급 스텝, 잔류 가스 제거 스텝을 순서대로 행하는 사이클을 1회 이상(소정 횟수) 행함으로써, 웨이퍼(200) 상에 AlO막이 형성된다. 이 사이클의 횟수는, 최종적으로 형성하는 AlO막에 있어서 필요해지는 막 두께에 따라서 적절히 선택되지만, 이 사이클은, 복수회 반복하는 것이 바람직하다. AlO막의 두께(막 두께)는, 예를 들어 10 내지 150nm, 바람직하게는 40 내지 100nm, 보다 바람직하게는 60 내지 80nm로 한다. 150nm 이하로 함으로써 표면 조도를 작게 할 수 있고, 10nm 이상으로 함으로써 하지막과의 응력 차에 기인하는 막 박리의 발생을 억제할 수 있다.
(애프터 퍼지·대기압 복귀)
성막 스텝이 종료되면, 밸브(514, 524)를 개방하고, 가스 공급관(310, 320) 각각으로부터 N2 가스를 처리실(201) 내에 공급하여, 배기관(231)으로부터 배기한다. N2 가스는 퍼지 가스로서 작용하여, 처리실(201) 내에 잔류하는 가스나 부생성물이 처리실(201) 내로부터 제거된다(애프터 퍼지). 그 후, 처리실(201) 내의 분위기가 N2 가스로 치환되고(N2 가스 치환), 처리실(201) 내의 압력은 상압으로 복귀된다(대기압 복귀).
(보트 언로드·웨이퍼 디스차지)
그 후, 보트 엘리베이터(115)에 의해 시일 캡(219)이 하강되고, 매니폴드(209)의 하단이 개구됨과 함께, 처리가 끝난 웨이퍼(200)가 보트(217)에 지지된 상태에서 매니폴드(209)의 하단으로부터 반응관(203)의 외부로 반출(보트 언로드)된다. 보트 언로드 후에는 셔터(219s)가 이동되고, 매니폴드(209)의 하단 개구가 O링(220c)을 개재해서 셔터(219s)에 의해 시일된다(셔터 클로즈). 처리가 끝난 웨이퍼(200)는, 반응관(203)의 외부로 반출된 후, 보트(217)로부터 취출된다(웨이퍼 디스차지).
(4) 본 실시 형태에 의한 효과
상술한 실시 형태에 따르면, 이하에 나타내는 하나 또는 복수의 효과가 얻어진다.
(a) 상술한 바와 같이, TMA 가스를 공급하는 노즐(410)의 상부(웨이퍼(200)의 적재 영역에 대응하는 높이)에 복수의 공급 구멍(410a)을 형성하고, 하부(히터(207)의 균열장의 경계 부근, 노즐(410) 내가 소정 온도보다 낮아지는 위치, 제품 기판보다 아래의 부분, 감압 구멍(410b)을 형성하지 않은 경우에 퇴적물이 부착되는 부분)에 감압 구멍(410b)을 형성함으로써, 노즐(410) 내의 압력을 낮출 수 있고, 노즐(410) 내에서의 가스의 열분해를 억제하여, 노즐(410) 내벽의 흑색화의 원인이라 생각되는 퇴적물의 발생을 억제하는 것이 가능하게 된다. 나아가, 노즐(410) 내벽의 흑색화에 수반하는 파티클의 발생을 억제하는 것이 가능하게 된다.
(b) 노즐(410) 내의 온도, 압력, 가스 밀도의 곱을 비율로 나타내어 흑색화 리스크를 지수화하고, 기판에 가스를 공급하는 공급 구멍과 감압 구멍과의 밸런스(총 개구 면적 값의 비율, 유량 밸런스 등)를 흑색화하지 않는 범위에 들어가도록(노즐(410) 내에 TMA 가스가 부착되지 않는 값이 되도록) 최적화함으로써, 노즐(410) 내벽에의 퇴적물 부착의 억제뿐만 아니라, 적재된 복수의 기판에 형성되는 막의 면간 균일성을 향상시키는 것이 가능하게 된다.
(c) 복수의 공급 구멍(410a)의 구멍 직경(개구 면적)이, 노즐(410)의 상류측으로부터 하류측을 향해서 서서히 커지도록 형성됨으로써, 공급 구멍(420a)으로부터 공급되는 가스의 유량을 보다 균일화하는 것이 가능하게 되고, 복수의 웨이퍼(200)에 형성되는 AlO막의 면간의 막 두께 균일성을 향상시키는 것이 가능하게 된다.
(d) 공급 구멍과 감압 구멍과의 밸런스를 최적화함으로써, 적재된 웨이퍼(200)의 각 적재 영역(각 존)에서의 TMA 가스의 공급 유량을 단일의 노즐에서 조정(컨트롤, 튜닝)하는 것이 가능하게 된다. 그 때문에, 예를 들어 예비 노즐을 설치하거나, 다른 가스를 공급하는 노즐을 더 추가하는 등, 빈 스페이스를 유효 활용해서 생산성을 향상시키는 것이 가능하게 된다.
(5) 그 밖의 실시 형태
노즐(410)의 형상, 공급 구멍 및 감압 구멍의 위치, 구멍 직경, 개구 면적 등은, 제1 실시 형태에서 설명한 도 4에 도시하는 형태에 한정되지 않고, 도 1에 도시하는 흑색화하지 않는 범위에 들어가도록 공급 구멍과 감압 구멍과의 밸런스(총 개구 면적 값의 비율 등)를 최적화한 형태라면, 예를 들어 이하에 기재하는 실시 형태와 같이 변경하는 것도 가능하다. 이하에서는, 주로, 제1 실시 형태와 상이한 개소에 대해서 기재한다. 이하의 실시 형태에 의해서도, 적어도 상술한 하나 또는 복수의 효과가 얻어진다.
(제2 실시 형태)
도 7에 도시한 바와 같이, 노즐(410)의 최상부는 상향으로 개구되도록 해도 된다. 노즐(410)의 최상부를 상향으로 개구시킴으로써, 상부에서의 개구 면적을 크게 할 수 있어, 보다 적재된 웨이퍼(200)의 상층 부분에 다량의 원료 가스를 공급할 수 있다.
(제3 실시 형태)
도 8에 도시한 바와 같이, 노즐(410)의 하부이며, 예를 들어 히터(207)의 하단인 파선 L보다 더 하측에 감압 구멍(410b)을 형성하고, 노즐(410)의 상부이며, 예를 들어 파선 L보다 위에 공급 구멍(410a)을 형성해도 된다. 공급 구멍(410a)과 감압 구멍(410b)간의 거리는, 적어도 최하단의 공급 구멍(410a)의 구멍 직경보다 크게 이격시킨다. 바람직하게는 최하단의 공급 구멍(410a)의 구멍 직경의 몇배의 거리를 이격시킨다.
(제4 실시 형태)
도 9에 도시한 바와 같이, 노즐(410)의 하부이며, 예를 들어 히터(207)의 하단인 파선 L보다 더 하측인, 노즐(410)의 수직 부분의 최상류측에 감압 구멍(410b)을 형성하고, 노즐(410)의 상부이며, 예를 들어 파선 L보다 상측에 공급 구멍(410a)을 형성해도 된다. 공급 구멍(410a)과 감압 구멍(410b)간의 거리는, 적어도 최하단의 공급 구멍(410a)의 구멍 직경의 몇 배의 거리를 이격시킨다. 바람직하게는, 도시하지 않은 단열판 또는 단열 통(218)에 대응하는 높이에 감압 구멍(410b)을 형성한다.
(제5 실시 형태)
도 10에 도시한 바와 같이, 노즐(410)의 하부이며, 예를 들어 히터(207)의 하단인 파선 L보다 더 하측인, 노즐의 수직 부분의 최상류측 보다 상측에 감압 구멍(410b)을 형성하고, 노즐(410)의 상부이며, 예를 들어 파선 L보다 상측에 공급 구멍(410a)을 형성해도 된다. 공급 구멍(410a)과 감압 구멍(410b)간의 거리는, 적어도 최하단의 공급 구멍(410a)의 구멍 직경의 몇 배의 거리를 이격시킨다.
(제6 실시 형태)
도 11에 도시한 바와 같이, 노즐(410)의 하부이며, 예를 들어 히터(207)의 하단인 파선 L보다 하측에 감압 구멍(410b)을 형성하고, 노즐(410)의 상부이며, 예를 들어 파선 L보다 상측부에 공급 구멍(410a)을 형성해도 된다.
(제7 실시 형태)
도 12에 도시한 바와 같이, 노즐(410)의 최상부는 비스듬히 개구되어도 된다. 비스듬히 개구되는 방향에 대해서는, 웨이퍼(200)에의 영향(성막에의 영향)을 고려하여, 최적화를 행한다. 노즐(410)의 최상부를 비스듬히 개구함으로써, 상부에서의 개구 면적을 크게 할 수 있어, 보다 적재된 웨이퍼(200)의 상층 부분에 다량의 원료 가스를 공급할 수 있다. 또한, 개구 각도를 바꿈으로써 요구되는 원료 가스의 유량에 따라서 개구 면적(노즐(410)의 단면적)을 조정할 수 있다.
(제8 실시 형태)
도 13에 도시한 바와 같이, 노즐(410)을 노즐의 도중에 유턴하는(꺾는) 형상으로 해도 된다. 노즐(410)의 하부(상류측)이며, 예를 들어 히터(207)의 하단인 파선 L보다 더 하측인, 노즐(410)의 수직 부분의 최상류측 보다 상측에 감압 구멍(410b)을 형성하고, 노즐(410)의 상부이며, 예를 들어 파선 L보다 상측(하류측)에 공급 구멍(410a)을 형성한다. 공급 구멍(410a)의 구멍 직경은, 노즐(410)의 상부를 향해서 서서히 커지는 개구 면적을 갖고 있다. 즉, 노즐(410)의 상류측에서부터 유턴 부분까지는 서서히 크게 개구되고, 유턴 부분에서부터 노즐(410)의 선단까지(하류측)는 서서히 작게 개구되도록 한다.
(제9 실시 형태)
도 14에 도시한 바와 같이, 노즐(410)보다 짧은 노즐(저두 노즐)(410')을 병용하여, TMA 가스를 길이가 상이한 2개의 노즐(410, 410')로부터 공급하도록 해도 된다. 노즐(410, 410')의 하부이며, 예를 들어 히터(207)의 하단인 파선 L보다 하측에 감압 구멍(410b, 410b')을 각각 형성하고, 노즐(410, 410')의 상부이며, 예를 들어 파선 L보다 상측에 공급 구멍(410a, 410a')을 각각 형성한다. 공급 구멍(410a, 410a')의 구멍 직경은, 노즐(410, 410')의 상류로부터 하류를 향해서 서서히 커지는 개구 면적을 갖는다. 여기에서는, 감압 구멍(410b, 410b')을 각각 동일한 높이에, 동일한 구멍 직경으로, 동일 수만큼 형성하는 예를 나타낸다. 즉, 감압 구멍(410, 410b')의 총 개구 면적은 각 노즐간에서 동일하게 하고 있다. 단, 이에 한정하지 않고, 감압 구멍(410, 410b')의 총 개구 면적은, 각 노즐(410)의 길이에 따라 최적화하는 것이 바람직하다. 또한, 도 14에서는 노즐(410')의 최상부가 폐색되는 형상을 예로서 나타냈지만, 이에 한정하지 않고, 상향으로 개구되어 있어도 되고, 노즐(410)과의 원료 가스의 공급 밸런스에 따라, 공급 구멍(410a')은 노즐(410)의 측벽에는 형성하지 않고 상향으로 개구되는 1개의 구멍으로 해도 된다.
(제10 실시 형태)
도 15에, 비교예로서의 제2 실시 형태에서의 노즐(410)((a))과 본 실시 형태에서의 노즐(410')((b))을 나타낸다. 도 15의 (b)와 같이, 공급 구멍(410a')의 구멍 직경을 감압 구멍(410b')의 구멍 직경보다 작고, 또한 복수의 공급 구멍(410a')의 구멍 직경이 모두 동일하도록 형성하고, 구멍수의 변경에 의해, 각 높이에서의 공급 구멍(410a')으로부터 공급되는 원료 가스의 유량을, 노즐(410)의 공급 구멍(410a)으로부터 공급되는 원료 가스의 유량과, 각각 동등해지도록 해도 된다. 공급 구멍(410a)은, 노즐(410')의 상류로부터 하류를 향해서 서서히 커지는 개구 면적을 갖고, 공급 구멍(410a')은, 대응하는 높이에서의 개구 면적(공급되는 원료 가스의 유량)이 공급 구멍(410a)과 동등해지도록, 구멍수를, 노즐(410')의 상류로부터 하류를 향해서 서서히 많게 한다. 또한, 웨이퍼(200) 상에 성막한 후, 처리실(201) 내를 가스 클리닝하는 경우가 있는데, 클리닝의 관점에서는 노즐의 구멍 직경은 작은 것이 더 바람직하다. 본 실시 형태에서는, 비교예로서 제2 실시 형태를 나타냈지만, 이에 한정하지 않고, 그 밖의 실시 형태에 대해서도 마찬가지의 관계이다. 감압 구멍(410b')은, 제2 실시 형태와 마찬가지의 형상을 예로서 나타냈지만, 이에 한정하지 않고, 다른 실시 형태의 형상으로 해도 된다.
(제11 실시 형태)
도 16에 도시한 바와 같이, 적재된 웨이퍼(200)의 중앙부(센터부)에 많은 원료 가스를 공급하는 경우에는, 노즐(410((a)), 410'((b)))의 중앙 부근에서의 공급 구멍(410a, 410a')의 개구 면적을 크게 해도 된다. 공급 구멍(410a, 410a')의 개구 면적은, 노즐(410, 410')의 상류로부터 하류를 향해서 중앙 부근까지 서서히 커지고, 중앙 부근부터 더 하류를 향해서 서서히 작아진다. 개구 면적의 상하 방향의 밸런스는, 각 노즐의 높이에서 요구되는 원료 가스의 유량에 따라 적절히 변경한다. 노즐(410)은, 상하 방향으로 1개씩 동일한 구멍수로 공급 구멍(410a)이 개구되고, 구멍 직경을 변경함으로써 각 높이에서의 개구 면적을 조정한다. 공급 구멍(410a')은, 구멍 직경을 감압 구멍(410b')의 구멍 직경보다 작고, 또한 복수의 공급 구멍(410a')의 구멍 직경이 모두 동일하도록 형성하고, 구멍수를 변경함으로써 각 높이에서의 개구 면적을 조정한다. 감압 구멍(410b, 410b')은, 제2 실시 형태와 마찬가지의 형상을 예로서 나타냈지만, 이에 한정하지 않고, 다른 실시 형태의 형상으로 해도 된다.
(제12 실시 형태)
도 17에 도시한 바와 같이, 적재된 웨이퍼(200)의 하부(보텀부)에 많은 원료 가스를 공급하는 경우에는, 노즐(410((a)), 410'((b)))의 하부 부근에서의 공급 구멍(410a, 410a')의 개구 면적을 크게 해도 된다. 공급 구멍(410a, 410a')의 개구 면적은, 노즐(410, 410')의 상류로부터 하류를 향해서 서서히 작아진다. 개구 면적의 상하 방향의 밸런스는, 각 노즐의 높이에서 요구되는 원료 가스의 유량에 따라 적절히 변경한다. 노즐(410)은, 상하 방향으로 1개씩 동일한 구멍수로 공급 구멍(410a)이 개구되고, 구멍 직경을 변경함으로써 각 높이에서의 개구 면적을 조정한다. 공급 구멍(410a')은, 구멍 직경을 감압 구멍(410b')의 구멍 직경보다 작고, 또한 복수의 공급 구멍(410a')의 구멍 직경이 모두 동일하도록 형성하고, 구멍수를 변경함으로써 각 높이에서의 개구 면적을 조정한다.
이상에서 설명한 바와 같이, 가스 유량 밸런스를 고려하여, 원하는 가스 유량이 되도록, 공급 구멍(410a, 410a')은, 적절히 구멍 직경이나 구멍수의 조정을 행한다.
이상, 본 발명의 실시 형태에 대해서 구체적으로 설명하였다. 그러나, 본 발명은 상술한 실시 형태에 한정되는 것은 아니며, 그 요지를 일탈하지 않는 범위에서 다양하게 변경 가능하다.
예를 들어, 상술한 실시 형태에서는, Al 함유 가스로서 TMA 가스를 사용하는 예에 대해서 설명했지만, 이에 한정하지 않고, 예를 들어 염화알루미늄(AlCl3) 등을 사용해도 된다. O 함유 가스로서는, O3 가스를 사용하는 예에 대해서 설명했지만, 이에 한정하지 않고, 예를 들어 산소(O2), 물(H2O), 과산화수소(H2O2), O2 플라즈마와 수소(H2) 플라즈마의 조합 등도 적용 가능하다. 불활성 가스로서는, N2 가스를 사용하는 예에 대해서 설명했지만, 이에 한정하지 않고, 예를 들어 Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 사용해도 된다.
또한, 상술한 실시 형태에서는, 기판 상에 AlO막을 형성하는 예에 대해서 설명하였다. 그러나, 본 발명은 이 형태에 한정되지 않는다. 예를 들어, 처리 온도에서 노즐 내에서 자기분해하여 노즐 내벽에 퇴적물로서 부착되고, 또한 퇴적물이 성막 사이클 내에서 박리되어버리는 밀착성을 갖는 막종에 대하여 유효하다. 또한, 원료 가스를 공급할 때, 동시에 불활성 가스 등으로 희석하는 원료 가스를 사용해서 막을 형성하는 막종에 대해서도 사용되며, 예를 들어 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈륨(Ta), 니오븀(Nb), 몰리브덴(Mo), 텅스텐(W), 이트륨(Y), La(란탄), 스트론튬(Sr), 실리콘(Si)을 포함하는 막이며, 이들 원소 중 적어도 1개를 포함하는 질화막, 탄질화막, 산화막, 산탄화막, 산질화막, 산탄질화막, 붕질화막, 붕탄질화막, 금속 원소 단체 막 등에도 적용 가능하다.
성막 처리에 사용되는 레시피(처리 수순이나 처리 조건 등이 기재된 프로그램)는, 처리 내용(형성, 또는, 제거하는 막의 종류, 조성비, 막질, 막 두께, 처리 수순, 처리 조건 등)에 따라서 개별로 준비하여, 전기 통신 회선이나 외부 기억 장치(123)를 통해서 기억 장치(121c) 내에 저장해 두는 것이 바람직하다. 그리고, 처리를 개시할 때, CPU(121a)가, 기억 장치(121c) 내에 저장된 복수의 레시피 중에서, 처리 내용에 따라 적정한 레시피를 적절히 선택하는 것이 바람직하다. 이에 의해, 1대의 기판 처리 장치에서 다양한 막종, 조성비, 막질, 막 두께의 막을, 재현성 좋게 형성할 수 있게 되고, 각각의 경우에 적정한 처리를 행할 수 있게 된다. 또한, 오퍼레이터의 부담(처리 수순이나 처리 조건 등의 입력 부담 등)을 저감할 수 있어, 조작 미스를 회피하면서, 처리를 신속하게 개시할 수 있게 된다.
상술한 레시피는, 새롭게 작성하는 경우에 한하지 않고, 예를 들어 기판 처리 장치에 이미 인스톨되어 있던 기존의 레시피를 변경함으로써 준비해도 된다. 레시피를 변경하는 경우에는, 변경 후의 레시피를, 전기 통신 회선이나 당해 레시피를 기록한 기록 매체를 통해서, 기판 처리 장치에 인스톨해도 된다. 또한, 기존의 기판 처리 장치가 구비하는 입출력 장치(122)를 조작하여, 기판 처리 장치에 이미 인스톨되어 있던 기존의 레시피를 직접 변경하도록 해도 된다.
또한, 상술한 실시 형태는, 적절히 조합해서 사용할 수 있다. 또한, 이때의 처리 수순, 처리 조건은, 상술한 실시 형태의 처리 수순, 처리 조건과 마찬가지로 할 수 있다.
121 : 컨트롤러(제어부) 200 : 웨이퍼(기판)
201 : 처리실 202 : 처리 로
207 : 히터 231 : 배기관
410 : 가스 공급 노즐 410a : 공급 구멍
410b : 감압 구멍 420 : 가스 공급 노즐
420a : 공급 구멍

Claims (11)

  1. 복수의 기판을 적재해서 수용하는 처리실과,
    상기 처리실을 미리 정해진 온도에서 가열하는 가열계와,
    상기 처리실의 상기 기판의 적재 방향으로 연장되는 원료 가스 노즐이며, 상기 기판의 적재 영역에 대응하는 높이에 개구되는 복수의 공급 구멍과, 상기 복수의 공급 구멍보다 하부이며 상기 원료 가스 노즐 내가 상기 미리 정해진 온도보다 낮아지는 위치에 개구되어, 상기 원료 가스 노즐 내의 압력을 저감하는 복수의 감압 구멍을 갖는 원료 가스 노즐을 포함하고, 상기 원료 가스 노즐로부터 상기 처리실에, 원료 가스를 공급하는 원료 가스 공급계와,
    상기 처리실에, 상기 원료 가스와 반응하는 반응 가스를 공급하는 반응 가스 공급계와,
    상기 가열계, 상기 원료 가스 공급계, 상기 반응 가스 공급계를 제어하여, 복수의 기판이 적재된 상태에서 수용된 상기 처리실을 상기 미리 정해진 온도에서 가열하면서, 상기 처리실에 상기 원료 가스 노즐로부터 상기 원료 가스를 공급하는 처리와, 상기 처리실에 상기 반응 가스를 공급하는 처리를 교대로 행하여, 상기 기판 상에 막을 형성하도록 구성되는 제어부,
    를 포함하는 기판 처리 장치.
  2. 제1항에 있어서,
    상기 복수의 공급 구멍 및 상기 복수의 감압 구멍은, 상기 원료 가스 노즐 내의 온도 및 압력의 곱이, 상기 원료 가스 노즐 내에서 상기 원료 가스가 자기분해하지 않는 값이 되도록, 각각의 총 개구 면적 값 및 상기 복수의 공급 구멍의 총 개구 면적과 상기 복수의 감압 구멍의 총 개구 면적과의 비율이, 설정되어 있는 총 개구 면적을 각각 갖는, 기판 처리 장치.
  3. 제1항에 있어서,
    상기 복수의 공급 구멍은, 상기 원료 가스 노즐의 상류로부터 하류를 향해서 서서히 커지는 개구 면적을 갖는, 기판 처리 장치.
  4. 제1항에 있어서,
    상기 복수의 감압 구멍은, 각각 동일한 개구 면적을 갖는, 기판 처리 장치.
  5. 제1항에 있어서,
    상기 복수의 공급 구멍 중 최하단의 공급 구멍과, 상기 복수의 감압 구멍 중 최상단의 감압 구멍과의 사이의 거리가, 상기 최하단의 공급 구멍의 구멍 직경보다 큰, 기판 처리 장치.
  6. 제1항에 있어서,
    상기 원료 가스 노즐은, 최상부가 상향으로 개구되도록 구성되는, 기판 처리 장치.
  7. 제6항에 있어서,
    상기 원료 가스 노즐은, 최상부가 비스듬히 개구되도록 구성되는, 기판 처리 장치.
  8. 제1항에 있어서,
    상기 원료 가스는 유기계 원료이며, 상기 미리 정해진 온도는 400℃ 이상 600℃ 이하인, 기판 처리 장치.
  9. 복수의 기판이 적재된 상태에서 수용된 처리실을 미리 정해진 온도에서 가열하면서, 상기 처리실에, 상기 기판의 적재 방향으로 연장되는 원료 가스 노즐이며, 상기 기판의 적재 영역에 대응하는 높이에 개구되는 복수의 공급 구멍과, 상기 복수의 공급 구멍보다 하부이며 상기 원료 가스 노즐 내가 상기 미리 정해진 온도보다 낮아지는 위치에 개구되어, 상기 원료 가스 노즐 내의 압력을 저감하는 복수의 감압 구멍을 갖는 원료 가스 노즐로부터, 원료 가스를 공급하는 공정과,
    상기 처리실에, 상기 원료 가스와 반응하는 반응 가스를 공급하는 공정,
    을 포함하고,
    상기 원료 가스를 공급하는 공정과 상기 반응 가스를 공급하는 공정을 교대로 행하여, 상기 기판 상에 막을 형성하는 반도체 장치의 제조 방법.
  10. 복수의 기판이 적재된 상태에서 수용된 기판 처리 장치의 처리실을 미리 정해진 온도에서 가열하면서, 상기 처리실에, 상기 기판의 적재 방향으로 연장되는 원료 가스 노즐이며, 상기 기판의 적재 영역에 대응하는 높이에 개구되는 복수의 공급 구멍과, 상기 복수의 공급 구멍보다 하부이며 상기 원료 가스 노즐 내가 상기 미리 정해진 온도보다 낮아지는 위치에 개구되어, 상기 원료 가스 노즐 내의 압력을 저감하는 복수의 감압 구멍을 갖는 원료 가스 노즐로부터, 원료 가스를 공급하는 단계와,
    상기 처리실에, 상기 원료 가스와 반응하는 반응 가스를 공급하는 단계,
    를 포함하고,
    상기 원료 가스를 공급하는 단계와 상기 반응 가스를 공급하는 단계를 교대로 행하여, 상기 기판 상에 막을 형성하는 단계를 컴퓨터에 의해 상기 기판 처리 장치에 실행시키는 기록 매체에 기록된 프로그램.
  11. 처리실의 복수의 기판의 적재 방향으로 연장되는 원료 가스 노즐로서,
    상기 복수의 기판의 적재 영역에 대응하는 높이에 개구되는 복수의 공급 구멍과,
    상기 복수의 공급 구멍보다 하부이며 상기 원료 가스 노즐 내가 미리 정해진 온도보다 낮아지는 위치에 개구되어, 상기 원료 가스 노즐 내의 압력을 저감하는 복수의 감압 구멍을 포함하는, 원료 가스 노즐.
KR1020170020091A 2016-02-15 2017-02-14 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램 및 원료 가스 노즐 KR102046219B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2016-025886 2016-02-15
JP2016025886A JP6538582B2 (ja) 2016-02-15 2016-02-15 基板処理装置、半導体装置の製造方法およびプログラム

Publications (2)

Publication Number Publication Date
KR20170095749A true KR20170095749A (ko) 2017-08-23
KR102046219B1 KR102046219B1 (ko) 2019-11-18

Family

ID=59560189

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170020091A KR102046219B1 (ko) 2016-02-15 2017-02-14 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램 및 원료 가스 노즐

Country Status (4)

Country Link
US (1) US11020760B2 (ko)
JP (1) JP6538582B2 (ko)
KR (1) KR102046219B1 (ko)
CN (1) CN107086189B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210030847A (ko) * 2019-09-10 2021-03-18 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
WO2023081008A1 (en) * 2021-11-03 2023-05-11 Applied Materials, Inc. Injection module for a process chamber

Families Citing this family (314)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6759137B2 (ja) * 2017-03-24 2020-09-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6647260B2 (ja) * 2017-09-25 2020-02-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
WO2019188037A1 (ja) * 2018-03-30 2019-10-03 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102474847B1 (ko) 2018-04-25 2022-12-06 삼성전자주식회사 가스 인젝터 및 웨이퍼 처리 장치
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP6856576B2 (ja) * 2018-05-25 2021-04-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6920262B2 (ja) 2018-09-20 2021-08-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP6994483B2 (ja) * 2018-09-26 2022-01-14 株式会社Kokusai Electric 半導体装置の製造方法、プログラム、及び基板処理装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
WO2020194433A1 (ja) * 2019-03-25 2020-10-01 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN112410518A (zh) * 2019-08-23 2021-02-26 盛美半导体设备(上海)股份有限公司 退火腔进气装置
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6894482B2 (ja) 2019-09-12 2021-06-30 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
KR20210043810A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 반도체 제조 장비
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7098677B2 (ja) 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7365973B2 (ja) * 2020-06-19 2023-10-20 東京エレクトロン株式会社 ガスノズル、基板処理装置及び基板処理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US20220122856A1 (en) * 2020-10-15 2022-04-21 Changxin Memory Technologies, Inc. Diffusion furnace
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022134272A1 (zh) * 2020-12-24 2022-06-30 广东黑金钢渗层纳米技术发展有限公司 一种提升铁制品材料性能的设备与技术
JP7273079B2 (ja) * 2021-02-15 2023-05-12 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラムおよび基板処理方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080027199A (ko) * 2006-09-22 2008-03-26 도쿄 엘렉트론 가부시키가이샤 반도체 처리용 산화 장치 및 방법
JP2009295729A (ja) * 2008-06-04 2009-12-17 Hitachi Kokusai Electric Inc 基板処理装置
KR20100098337A (ko) * 2009-02-27 2010-09-06 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치
JP2011054590A (ja) * 2009-08-31 2011-03-17 Hitachi Kokusai Electric Inc 基板処理装置
KR20130043574A (ko) * 2011-10-20 2013-04-30 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 클리닝 방법, 기판 처리 장치 및 기록 매체
JP2014063959A (ja) * 2012-09-24 2014-04-10 Tokyo Electron Ltd 縦型熱処理装置
JP2014067877A (ja) 2012-09-26 2014-04-17 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置、プログラムおよび半導体装置
KR20140142160A (ko) * 2013-06-03 2014-12-11 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0448721A (ja) * 1990-06-15 1992-02-18 Nec Corp 気相成長装置
JPH06349761A (ja) * 1993-06-03 1994-12-22 Kokusai Electric Co Ltd 半導体製造装置用ガス供給ノズル及び半導体製造装置
KR100237822B1 (ko) * 1996-06-07 2000-01-15 윤종용 반도체 제조용 화학기상증착장치
TWI264758B (en) * 2004-03-11 2006-10-21 Hitachi Int Electric Inc A substrate processing apparatus and a semiconductor device manufacturing method use the same
JP2007027425A (ja) * 2005-07-15 2007-02-01 Hitachi Kokusai Electric Inc 基板処理装置
JP2008078448A (ja) * 2006-09-22 2008-04-03 Hitachi Kokusai Electric Inc 基板処理装置
US8270378B2 (en) * 2008-10-03 2012-09-18 Texas Instruments Incorporated Adaptive transmissions in wireless networks
JP2012023221A (ja) * 2010-07-15 2012-02-02 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法
US9196473B2 (en) * 2010-12-27 2015-11-24 Hitachi Kokusai Electric Inc. Method of manufacturing an oxynitride film for a semiconductor device

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080027199A (ko) * 2006-09-22 2008-03-26 도쿄 엘렉트론 가부시키가이샤 반도체 처리용 산화 장치 및 방법
JP2009295729A (ja) * 2008-06-04 2009-12-17 Hitachi Kokusai Electric Inc 基板処理装置
KR20100098337A (ko) * 2009-02-27 2010-09-06 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치
JP2011054590A (ja) * 2009-08-31 2011-03-17 Hitachi Kokusai Electric Inc 基板処理装置
KR20130043574A (ko) * 2011-10-20 2013-04-30 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 클리닝 방법, 기판 처리 장치 및 기록 매체
JP2014063959A (ja) * 2012-09-24 2014-04-10 Tokyo Electron Ltd 縦型熱処理装置
JP2014067877A (ja) 2012-09-26 2014-04-17 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置、プログラムおよび半導体装置
KR20140142160A (ko) * 2013-06-03 2014-12-11 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210030847A (ko) * 2019-09-10 2021-03-18 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
WO2023081008A1 (en) * 2021-11-03 2023-05-11 Applied Materials, Inc. Injection module for a process chamber

Also Published As

Publication number Publication date
US20170232457A1 (en) 2017-08-17
US11020760B2 (en) 2021-06-01
JP2017147262A (ja) 2017-08-24
JP6538582B2 (ja) 2019-07-03
CN107086189A (zh) 2017-08-22
KR102046219B1 (ko) 2019-11-18
CN107086189B (zh) 2020-08-18

Similar Documents

Publication Publication Date Title
KR102046219B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램 및 원료 가스 노즐
TWI543339B (zh) 製造半導體裝置之方法、處理基板之方法、基板處理設備及記錄媒體
KR101786889B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 컴퓨터 프로그램
KR102331046B1 (ko) 반도체 장치의 제조 방법, 기록 매체 및 기판 처리 장치
KR102204507B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
WO2020189205A1 (ja) 基板処理装置、半導体装置の製造方法およびノズル
CN113496918A (zh) 气化装置、衬底处理装置、清洁方法及半导体器件的制造方法
JP7064577B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
WO2020188857A1 (ja) 基板処理装置、反応容器、半導体装置の製造方法および記録媒体
US20200411330A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP7079340B2 (ja) 半導体装置の製造方法、基板処理装置、及びプログラム
KR20220040993A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
CN112530836A (zh) 气化装置、衬底处理装置、清洁方法、半导体器件的制造方法及记录介质
CN113206001A (zh) 半导体装置的制造方法、记录介质和基板处理装置
CN113574640B (zh) 半导体装置的制造方法、基板处理装置和记录介质
WO2018061109A1 (ja) 半導体装置の製造方法
JP7175375B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム。
WO2023042386A1 (ja) 半導体装置の製造方法、基板処理装置、プログラム及びコーティング方法
US20220165565A1 (en) Method of processing substrate, recording medium, and substrate processing apparatus
WO2020066701A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
KR20230021615A (ko) 기판 처리 방법, 기판 처리 장치, 프로그램 및 반도체 장치의 제조 방법
KR20220045037A (ko) 기판 처리 방법, 반도체 장치의 제조 방법, 프로그램 및 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant