CN106814556A - 用于光刻胶晶片的曝光后处理的方法和装置 - Google Patents

用于光刻胶晶片的曝光后处理的方法和装置 Download PDF

Info

Publication number
CN106814556A
CN106814556A CN201611076231.6A CN201611076231A CN106814556A CN 106814556 A CN106814556 A CN 106814556A CN 201611076231 A CN201611076231 A CN 201611076231A CN 106814556 A CN106814556 A CN 106814556A
Authority
CN
China
Prior art keywords
electrode
couple
pedestal
fluid
processing volume
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201611076231.6A
Other languages
English (en)
Other versions
CN106814556B (zh
Inventor
V·巴巴扬
D·A·小布齐伯格
梁奇伟
L·戈代
S·D·耐马尼
D·J·伍德洛夫
R·哈里斯
R·B·摩尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202111180980.4A priority Critical patent/CN113835299A/zh
Publication of CN106814556A publication Critical patent/CN106814556A/zh
Application granted granted Critical
Publication of CN106814556B publication Critical patent/CN106814556B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2035Exposure; Apparatus therefor simultaneous coating and exposure; using a belt mask, e.g. endless
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70991Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus; Shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate; Utilities, e.g. cable, pipe or wireless arrangements for data, power, fluids or vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本文所述的实施方式涉及用于执行浸没场引导的曝光后烘烤工艺的方法和装置。本文所述的装置的实施方式包括腔室主体,所述腔室主体限定处理容积。基座可设置在所述处理容积内,并且第一电极可耦接到所述基座。可移动杆可延伸穿过所述腔室主体且与所述基座相对,并且第二电极可耦接到所述可移动杆。在某些实施方式中,流体容纳环可耦接到所述基座,并且电介质容纳环可耦接到所述第二电极。

Description

用于光刻胶晶片的曝光后处理的方法和装置
背景
技术领域
本公开大体涉及用于处理基板的方法和装置,并且更具体地涉及用于改进光刻工艺的方法和装置。
背景技术
集成电路已演进成可在单个芯片上包括数百万个部件(例如,晶体管、电容器和电阻器)的复杂器件。光刻是可以用来在芯片上形成部件的工艺。一般来说,光刻工艺涉及几个基础阶段。首先,在基板上形成光刻胶层。化学增强的光刻胶可包括抗蚀树脂和光致酸发生剂。当在后续曝光阶段中暴露于电磁辐射后,光致酸发生剂在显影过程中改变光刻胶的溶解度。电磁辐射可以具有任何合适波长,例如193nm ArF激光、电子束、离子束、或其他合适的来源。
在曝光阶段中,光掩模或光罩(reticle)可以用来选择性地将基板的某些区域暴露于电磁辐射下。其他曝光方法可为无掩模式曝光方法。暴露于光可使光致酸发生剂分解,从而产生酸并且在抗蚀树脂中产生了潜在的酸图像(latent acid image)。在曝光后,可在曝光后烘烤工艺中对基板进行加热。在曝光后烘烤工艺期间,光致酸发生剂产生的酸与抗蚀树脂反应,以在后续显影过程期间改变抗蚀剂的溶解度。
在曝光后烘烤后,可显影并冲洗基板,尤其是光刻胶层。根据所使用的光刻胶的类型,基板的暴露于电磁辐射的区域可能对移除有抗性或更易于移除。在显影和冲洗后,使用湿法蚀刻工艺或干法蚀刻工艺将掩模的图案转印到基板。
芯片设计的演进不断要求更快电路以及更大电路密度。对更大电路密度的需求要求集成电路部件的尺寸减小。随着集成电路部件的尺寸减小,需要更多元件放置在半导体集成电路上的给定区域中。因此,光刻工艺必须将甚至更小的特征转印到基板上,并且光刻法必须非常精确、准确并且无损地进行。为将特征精确且准确地传送到基板上,高分辨率光刻可使用以小波长提供辐射的光源。小波长有助于减小基板或晶片上的最小可印刷的尺寸。然而,小波长的光刻遭受以下问题,诸如低产率、增大的线边缘粗糙度和/或降低的抗蚀剂敏感度。
在最近发展中,电极组件用于在曝光工艺之前或之后向设置在基板上的光刻胶层产生电场,以便修改电子辐射透射到光刻胶层的部分的化学性质,从而改进光刻曝光/显影分辨率。然而,实现此类系统方面的挑战尚未克服。
因此,需要用于改进光刻工艺的改进的方法和装置。
发明内容
在一个实施方式中,提供一种基板处理装置。所述装置包括:腔室主体,所述腔室主体限定处理容积;以及基座,所述基座设置在所述处理容积内。一或多个流体源可以通过所述基座来耦接到所述处理容积,并且排放口可以通过所述基座来耦接到所述处理容积。第一电极耦接到所述基座,并且所述流体容纳环在所述第一电极的径向外部被耦接到所述基座。可移动杆可以与所述基座相对地设置并延伸穿过所述腔室主体,并且第二电极可耦接到所述杆。
在另一实施方式中,提供一种基板处理装置。所述装置包括:腔室主体,所述腔室主体限定处理容积;以及基座,所述基座设置在所述处理容积中。排放口可通过所述基座耦接到所述处理容积,第一电极可耦接到所述基座,并且流体容纳环可以在所述第一电极的径向外部耦接到所述基座。可移动杆可与所述基座相对地设置并延伸穿过所述腔室主体。第二电极可耦接到所述杆,并且电介质容纳环可耦接到所述第二电极。一或多个流体源可以通过所述电介质容纳环来耦接到所述处理容积。
在又一实施方式中,提供一种基板处理装置。所述装置包括腔室主体,所述腔室主体限定处理容积,基座可以设置在所述处理容中,并且第一电极可耦接到所述基座。可移动杆可与所述基座相对设置并延伸穿过所述腔室主体。第二电极可耦接到所述杆,并且电介质容纳环可耦接到所述第二电极。弹性体O形环可耦接到所述电介质容纳环、与所述第二电极相对。一或多个流体源、排放口和净化气体源各自可以通过所述电介质容纳环来耦接到所述处理容积。
附图说明
因此,为了能够详细理解本公开的上述特征结构所用方式,上文所简要概述的本公开的更具体的描述可以参考各个实施方式进行,一些实施方式例示在附图中。然而,应当注意,附图仅仅示出示例性的实施方式,并且因此不应视为限制它的范围,也可允许其他等效实施方式。
图1示意性地示出根据本文所述的一个实施方式的浸没场引导的曝光后烘烤腔室的横截面图。
图2示意性地示出根据本文所述的一个实施方式的在处理位置中的图1的腔室的横截面图。
图3示意性地示出根据本文所述的一个实施方式的浸没场引导的曝光后烘烤腔室的横截面图。
图4示意性地示出根据本文所述的一个实施方式的浸没场引导的曝光后烘烤腔室的横截面图。
图5示意性地示出根据本文所述的一个实施方式的浸没场引导的曝光后烘烤腔室的横截面图。
图6示意性地示出根据本文所述的一个实施方式的在处理位置中的图5的腔室的横截面图。
图7示意性地示出根据本文所述的一个实施方式的浸没场引导的曝光后烘烤腔室的横截面图。
图8示意性地示出根据本文所述的一个实施方式的浸没场引导的曝光后烘烤腔室的横截面图。
图9示出根据本文所述的一个实施方式的用于执行浸没式曝光后烘烤工艺的方法的操作。
为了促进理解,已尽可能使用相同附图标记指定各图所共有的相同元件。应预见到,一个实施方式的要素和特征可有利地并入其他实施方式,而无需进一步叙述。
具体实施方式
图1示意性地示出根据本文所述的一个实施方式的处理腔室100的横截面图。处理腔室100包括腔室主体102,所述腔室主体102限定处理容积104。泵172可以通过腔室主体102来流体耦接到处理容积104,并且可配置为在处理容积104内产生真空,或者将流体和其他材料从处理容积104中排放出去。狭缝阀148可形成在腔室主体102中以提供基板的进出以便进行处理。狭缝阀门150可耦接到腔室主体102、与狭缝阀148相邻。一般来说,腔室主体102可由适于在其中执行浸没场引导的曝光后烘烤(iFGPEB)工艺的材料形成,诸如铝、不锈钢和它们的合金。腔室主体102还可以由各种其他材料形成,诸如例如聚四氟乙烯(PTFE)的聚合物和诸如聚醚醚酮(PEEK)之类的高温塑料。
基座106可设置在处理容积104之中,并且可耦接到腔室主体102。在一个实施方式中,基座106可固定地耦接到腔室主体102。在另一实施方式中,基座106可旋转地耦接到腔室主体102。在这个实施方式中,电机(未示出)可耦接到基座106,并且电机可配置为赋予基座106旋转移动。可预见的是,基座106的旋转可用来使基板在基板的处理后旋干。
第一电极108可耦接到基座106。第一电极108可固定地耦接到基座106,或者可旋转地耦接到基座106。在第一电极108被可旋转地耦接到基座106的实施方式中,第一电极108的旋转可用来使基板在处理后旋干。第一电极108可由导电金属材料形成。另外,用于第一电极108的材料可为非氧化性材料。为第一电极108选择的材料可以跨第一电极108的表面提供期望的电流均匀度和低电阻。在某些实施方式中,第一电极108可为分段电极,所述分段电极被配置为跨第一电极108的表面引入电压非均匀性。在这个实施方式中,多个电源可用来为第一电极108的不同区段供电。
流体容纳环112可在第一电极108的径向外部耦接到基座106。流体容纳环112可由非导电材料(诸如陶瓷材料或高温塑料材料)制成。基座106和流体容纳环112可以具有基本类似的直径,并且从流体容纳环112至第一电极108径向向内的距离可为约0.1cm与约3.0cm之间,诸如在约0.5cm和约2.0cm之间,例如约1.0cm。流体容纳环112可从基座106延伸,以进一步限定处理容积104。一般来说,流体容纳环112的顶部可与狭缝阀148所占据的平面共面或设置在其下方。
基座106可以包括设置成从中穿过的一或多个导管,并且整体设置的加热装置(未示出)可以设置在基座106内以预热行进穿过导管的流体。工艺流体源116可经由导管114流体耦接到处理容积104。导管114可从工艺流体源116穿过腔室主体102和基座106延伸至处理容积104。在一个实施方式中,流体出口124可以形成在基座106中,在第一电极108径向外部和流体容纳环112径向内部。阀118可以设置在导管114上,在流体出口124与工艺流体源116之间。冲洗流体源120还可经由流体导管114来流体耦接到处理容积104。阀122可以设置在导管114上,在流体出口124与冲洗流体源120之间。工艺流体源116可配置为输送在iFGPDB工艺过程中施加电场期间所利用的流体。冲洗流体源120可配置为在iFGPEB工艺已经执行后来输送流体以冲洗基板。
排放口128可经由导管126流体耦接到处理容积104。导管126可从排放口128延伸穿过腔室主体102和基座106。在一个实施方式中,流体入口132可以形成在基座106中,在第一电极108径向外部和流体容纳环112径向内部。阀130可以设置在导管126上,在流体入口132与排放口128之间。流体,诸如来自工艺流体源116和冲洗流体源120的流体,可经由流体入口132和排放口128从处理容积104移除。
真空源136可经由导管134耦接到第一电极108的顶表面。导管134可以延伸穿过腔室主体102、基座106和第一电极108。如图所示,基板110可以设置在第一电极108上。当基板110定位在第一电极108上时,导管134可定位在基板110所覆盖的区域下方。真空源136可配置为抽真空以便将基板110固定到第一电极108。在某些实施方式中,如果基板通过其他手段(诸如静电卡紧或机械装置(即环、销等))紧固到第一电极108上,则真空源136和导管134可以是任选的。
热源140可经由导管138流体耦接到第一电极108。热源140可向设置在第一电极108内的一或多个加热元件(诸如电阻式加热器)提供动力。还可预见的是,热源140可向设置在基座106内的加热元件提供动力。热源140一般被配置为在iFGPEB工艺过程中加热第一电极108和/或基座106,以便帮助对流体的预热。在一个实施方式中,热源140可配置为将第一电极108加热到在约70℃与约130℃之间的温度,诸如约110℃。在其他实施方式中,热源可耦接到导管114,以将从工艺流体源116和/或冲洗流体源120进入处理容积104内的流体预热。温度感测装置142也可经由导管138来耦接到第一电极108。温度感测装置142(诸如热电偶等)可通信耦接到热源140,以提供温度反馈并帮助对第一电极108的加热。
电源144也经由导管138耦接到第一电极108。电源144可配置为对第一电极供应例如在约1V与约20kV之间。根据所利用工艺流体的类型,由电源144生成的电流可为大约数十纳安至数百毫安的量级。在一个实施方式中,电源144可配置为生成在约1kV/m至约2MeV/m范围内的电场。在一些实施方式中,电源144可配置为在电压控制模式或电流控制模式下操作。在两种模式下,电源可以输出AC、DC和/或脉冲的DC波形。可按需要使用方波或正弦波。电源144可配置成以在约0.1Hz与约1MHz之间(诸如约5kHz)的频率提供功率。脉冲DC功率或AC功率的占空比可以在约5%与约95%之间,诸如在约20%与约60%之间。
脉冲DC功率或AC功率的上升时间和下降时间可以在约1ns与约1000ns之间,诸如在约10ns与约500ns之间。感测装置146也可经由导管138来耦接到第一电极108。感测装置146(诸如电压表等)可通信耦接到热源144,以提供电学反馈并帮助控制施加到第一电极108的功率。感测装置146还可配置用于感测经由电源144施加到第一电极108的电流。
可移动杆152可设置成穿过腔室主体102、与基座106相对。杆152被配置为在Z方向上(即朝向和远离基座106)移动,并且可以在如图所示的非处理位置与处理位置(如图2所示)之间移动。第二电极154可耦接到杆152。第二电极154可由与第一电极108相同的材料形成。类似于第一电极108,在某些实施方式中,可将第二电极154分段。
净化气体源158可经由导管156流体耦接到处理容积104。导管156可从净化气体源158延伸穿过杆152和第二电极154。在某些实施方式中,导管156可由柔性材料形成,以便适应杆152的移动。虽然并未示出,但是在替代实施方式中,导管可穿过杆152延伸到处理容积104,而非第二电极154。阀160可以设置在导管156上,在杆152与净化气体源158之间。由净化气体源158提供的气体可以包括氮、氢、惰性气体等等,以在iFGPEB处理过程中或之后净化处理容积104。当需要时,净化气体可经由泵172从处理容积104排放出来。
热源170、温度感测装置168、电源166和感测装置164可经由导管162通信耦接到第二电极154。热源170、温度感测装置168、电源166和感测装置164可类似于热源140、温度感测装置142、电源144和感测装置146那样配置。
本文所述的实施方式涉及用于执行浸没场引导的曝光后烘烤工艺的方法和装置。本文中公开的方法和装置可使光刻胶敏感度和光刻工艺的生产率增大。在曝光后烘烤过程中光致酸发生剂产生的酸的随机扩散有助于线边缘/线宽度粗糙度和减小的光刻胶敏感度。电极组件可用于在光刻工艺期间将电场施加到光刻胶层。场施加可控制光致酸发生剂产生的带电荷物质的扩散。
限定在光刻胶层与电极组件之间的气隙可以产生施加到电极组件的压降,由此不利地降低需要产生到光刻胶层的电场的水平。由于压降,在光刻胶层处的电场的水平可能产生不足够或不准确的电压功率来沿某些期望的方向在光刻胶层中驱动或形成带电荷物质。因此,可普遍造成对光刻胶层的线边缘轮廓控制减弱。
中间介质可以设置在光刻胶层与电极组件之间以防止气隙形成,以便将与光刻胶层相互作用的电场的水平维持在某个期望水平。如此,可沿线和间距方向在期望方向上引导由电场产生的带电荷物质,从而防止由于不准确和随机的扩散而导致的线边缘/线宽度粗糙度。因此,所产生电场的受控水平或期望水平可使准确性和光刻胶层对于曝光和/或显影过程的敏感度增大。在一个实例中,中间介质可为非气相介质,诸如浆料、凝胶或液体溶液,所述中间介质当从电极组件传输到基板上设置的光刻胶层时可将所施加的电压电平有效地维持在确定范围内。由电场生成的电荷可以在中间介质与光刻胶之间传输,这可造成电流净流。在某些实施方式中,电流净流可以改进反应特性,诸如改进光刻胶的反应速率。以受控电流操作电源144还有利地实现对在中间介质与光刻胶之间传输的电荷量的控制。
图2示意性地示出根据本文所述的一个实施方式的在处理位置中的图1的腔室100的横截面图。杆152可朝基座106移动到处理位置中。在处理位置中,第二电极154与基板110之间的距离174可在约1mm与约1cm之间,诸如约2mm。可将处理流体输送到由流体容纳环112限定和保持的处理容积104,并且第二电极154可以在杆152位于处理位置时部分或完全地浸没。可施加功率至电极108、154中的一或两者,以便执行iFGPEB工艺。
在一些实施方式中,第一电极108和第二电极154被配置为生成平行于由基板110限定的x-y平面的电场。例如,电极108、154可配置为在x-y平面中的y方向、x方向或另一方向中的一者上生成电场。在一个实施方式中,电极108、154被配置为在x-y平面中和在可图案化在基板110上的潜像线的方向上生成电场。在另一实施方式中,电极108、154被配置为在x-y平面中并垂直于可图案化在基板110上的潜像线的方向生成电场。电极108、154可另外或替代地配置为在z方向上(例如垂直于基板110)生成电场。
图3示意性地示出根据本文所述的一个实施方式的iFGPEB腔室300的横截面图。第三电极302可在某些方面类似于第二电极154。电介质容纳环304可耦接到第三电极302、与杆152相对。电介质容纳环304的直径可类似于第三电极302的直径。电介质容纳环304可由电介质材料(诸如有合适电介质特性的聚合物或陶瓷)形成。O形环308可耦接到电介质容纳环304、与第三电极302相对,并且围绕电介质容纳环304周向地延伸。O形环308可由弹性体材料(诸如聚合物)形成,并且可在杆152被设置在处理位置时是可压缩的。
例如,当杆152被设置在处理位置(如图2所示)时,O形环308可接触第一电极108的区域310或基座106的区域312。第三电极302的直径和电介质容纳环304的直径可根据O形环308接触到的期望区域310、312来选择。可预见的是,当O形环308、以及第三电极304/电介质容纳环304被配置为接触基座106上的区域312时,O形环308接触的点可以在流体入口132的径向向内位置,以便提供到排放口128的不受限的流体接取。在杆152设置在处理位置时,O形环308还可经尺寸设定并定位成接触基板110的排除区。一般来说,基板110的排除区是基板110径向向内区域,与基板110的圆周相距约1mm至约3mm的距离。在这个实施方式中,处理容积104可由基板110、电介质容纳环304和第三电极302限定。有利地,耦接到第一电极308的基板110的背侧可保持不暴露于工艺流体或冲洗流体下,这有助于防止流体进入真空源136。
冲洗流体源120可经由导管156与处理容积104流体耦接,所述导管可以延伸穿过杆152、第三电极302和电介质容纳环304。导管156的流体出口306可设置在电介质容纳环304的内径处。冲洗流体源120和净化气体源158也可以耦接到导管156。或者,流体导管156可穿过杆152延伸到第三电极302上方,并且向第三电极302的径向外部延伸穿过电介质容纳环304到达流体出口306。
图4示意性地示出根据本文所述的一个实施方式的iFGPEB腔室400的横截面图。腔室400在某些方面上类似于腔室300,然而,流体容纳环112不耦接到基座106。排放装置418可经由导管414流体耦接到处理容积104,所述导管可以延伸穿过杆152、第四电极402(其耦接到杆152)和电介质容纳环404。在某些实施方式中,导管414可由柔性材料形成以便适应杆152的移动。导管414的流体出口416可设置在电介质容纳环404的内径处。阀可以设置在导管414上,在排放装置418与杆152之间。或者,导管414可穿过杆152延伸到第四电极402上方,并且向第四电极402的径向外部延伸穿过电介质容纳环404到达流体出口416。
类似于腔室300,当杆152被设置在处理位置(如图2所示)时,第四电极402、电介质容纳环404以及与第四电极402相对且围绕电介质容纳环404周向耦接的O形环408可经尺寸设定成使得O形环408接触第一电极108上的区域410或基座106的区域412。在处理过程中,可将各工艺流体和冲洗流体引入处理容积104中,所述处理容积进一步由电介质容纳环404和第四电极402限定。流体可经由流体出口416从处理容积104排放到排放装置418。
虽然在图1至图4中未示出,升降杆可延伸穿过基座106和/或第一电极108以帮助将基板110定位在第一电极108上。例如,当杆152处于非处理的升高位置时,升降杆可向上延伸并从延伸穿过狭缝阀148的机器人叶片接收基板。接着,升降杆可以回缩并将基板110定位在第一电极108上。
图5示意性地示出根据本文所述的一个实施方式的iFGPEB腔室500的横截面图。腔室500包括:腔室主体502,所述腔室主体限定处理容积504;基座506;第一电极508;以及流体容纳环512,它们可在某些方面类似于腔室主体102、处理容积104、基座106、第一电极108和流体容纳环112,不同之处在于腔室500的部件经尺寸设定成适应可旋转杆516以及耦接到可旋转杆516的第二电极518。可旋转杆516可旋转地耦接到轴承构件514。轴承构件514可耦接到腔室主体502,使得轴承构件514围绕X或Y(水平)轴旋转。
基板110可设置在第二电极518上,处于如图5所示非处理位置。图6示出在处理位置中的图5的腔室500。已经将基板110接收在第二电极518上的可旋转杆516可围绕水平轴旋转到如图所示的处理位置。被供应到进一步由流体容纳环512限定的处理容积504的流体可为适于部分地或完全浸没第二电极518的量。可以执行iFGPEB工艺,并且可旋转杆516可旋转回非处理的位置。轴承构件514还可被配置为绕Z轴(竖直轴)旋转以使可旋转杆516和第二电极518自旋来将基板110上余留的流体排出。
图7示意性地示出根据本文所述的一个实施方式的浸没场引导的曝光后烘烤腔室700的横截面图。腔室700包括腔室主体702,腔室主体可由金属材料(诸如铝、不锈钢和它们的合金)制成。腔室主体702还可以由各种其他材料形成,诸如例如聚四氟乙烯(PTFE)的聚合物和诸如聚醚醚酮(PEEK)之类的高温塑料。主体702包括流体容纳环712,所述流体容纳环可从主体702延伸并且至少部分地限定第一处理容积704。主体702还可包括侧壁794和从侧壁794延伸的盖796。主体702、流体容纳环712、侧壁794和盖796可以限定第二处理容积754,所述第二处理容积在第一处理容积704的径向外部形成。开口792可由盖796限定,并且开口792可经尺寸设定成适应基板710从中穿过。
门706可以可操作地耦接到腔室主体702,并设置成与盖796相邻。门706可由类似于为腔室主体702选择的材料的材料形成,并且轴798可以延伸穿过门706。或者,腔室主体702可由第一材料(诸如聚合物)形成,并且门706可由第二材料(诸如金属材料)形成。门706可耦接到轨道(未示出),并且门可配置为在X轴上沿轨道来平移。电机(未示出)可耦接到门和/或轨道,以便帮助门706沿X轴移动。虽然门706被示出为处于处理位置,但是门706可配置为绕Z轴、绕轴798旋转。在旋转前,门706可沿Z轴移动远离腔室主体702并在旋转前清理盖796。例如,门706可从所示处理位置旋转90°达到装载位置,在装载位置处基板710可从耦接到门706的第一电极708来装载和卸载。
第一电极708,可类似第一电极108,经尺寸设定成适于将基板710附接在其上。第一电极708还可尺寸设定成允许穿过由盖796限定的开口792。在一个实施方式中,第一电极708可固定地耦接到门706。在另一实施方式中,第一电极708可旋转地耦接到门706。在这个实施方式中,电机772可耦接到门706、与第一电极708相对,并且电机772可配置为使第一电极708绕X轴旋转。第一电极708的旋转可用来在iFGPEB处理后旋干基板710。为了执行旋干,门706可沿Z轴平移远离流体容纳环712,使得基板710不会穿过开口792。电机772可经启动以使第一电极708自旋(其中基板710被卡紧到第一电极)以将流体从基板710的表面移除。
真空源736可与第一电极708的基板接收表面流体连通。真空源736可耦接到导管734,所述导管从真空源736延伸穿过门706和第一电极708。一般来说,真空源736被配置为将基板710真空卡紧到第一电极708。热源764、温度感测装置766、电源768和感测装置770还可经由导管762来耦接到第一电极708。热源764、温度感测装置766、电源768和感测装置770可类似于如参考图1更详细地描述的热源140、温度感测装置142、电源144和感测装置146那样配置。
第二电极750可耦接到腔室主体702。流体容纳环712可环绕第二电极750以使得第一处理容积704是由第二电极750、流体容纳环712和基板710限定(当门706处于处理位置时)。O形环752可耦接到流体容纳环712,并且O形环752可由弹性体材料(诸如聚合物等)形成。由O形环752限定的圆周可尺寸设定成适于在基板710处于如图所示处理位置时,接触基板710的排除区。O形环752可同样尺寸设定成接触基板710的边缘。通过接触基板710,可预见的是,O形环752可以防止流体泄露出第一处理容积704并降低或消除流体进入真空源736的可能性。
工艺流体源716可经由导管714流体耦接到第一处理容积704。导管714可从工艺流体源716延伸穿过腔室主体702和流体容纳环712到达与第一处理容积704相邻的入口749。阀可以设置在导管714上,在入口749与工艺流体源716之间,以便控制处理流体至第一处理容积704的输送。第一冲洗流体源720还可经由导管714来流体耦接到第一处理容积704。阀722可以设置在导管714上,在入口749与第一冲洗流体源720之间,以便控制冲洗流体至第一处理容积704的输送。工艺流体源716和第一冲洗流体源720可分别类似于如参考图1描述的工艺流体源116和冲洗流体源120。
第一排放口728可经由导管714与第一处理容积704流体连通。阀730可以设置在导管714上,在入口749与排放口728之间。给定腔室700的竖直取向,则经由流体入口749与第一处理容积704流体连通的排放口728可以在将工艺流体或冲洗流体从第一处理容积704移除时提供改进的效率。排放装置735还可经由导管731来与第一处理容积704流体连通。导管731可延伸穿过腔室主体702和流体容纳环712到达与第一处理容积704相邻的流体出口748。阀733可以设置在导管731上,在出口748与排放装置735之间。
在操作中,工艺流体可以从工艺流体源716提供到第一处理容积704,并且可以执行iFGPEB工艺。在第一处理容积704利用液态工艺流体填充时,第一工艺容积704中的任何气态流体可朝流体出口748上升。因此,气态流体可通过排放装置735从第一处理容积704移除。在iFGPEB处理后,工艺流体可经由流体入口749和排放口728从第一处理容积704移除。任选地,经由第一冲洗流体源720供应到第一处理容积704的冲洗流体随后可被用于在处理位置的基板710。类似于工艺流体,冲洗流体可经由流体入口749和排放口728从第一处理容积704移除。
第二冲洗流体源778可经由导管774与第二处理容积754流体连通。导管774可从第二冲洗流体源778延伸穿过侧壁794到达出口780。阀776可以设置在导管774上,在出口780与第二冲洗流体源778之间,以便控制冲洗流体至第二处理容积754的输送。在一个实施方式中,在处于所示处理位置的基板710的iFGPEB处理后,门706可沿X轴移动远离处理位置,使得基板710定位在与出口780类似的X轴平面(即,冲洗位置)中。一旦基板710定位在冲洗位置,来自第二冲洗流体源778的冲洗流体就可输送到第二处理容积754和基板710。在冲洗期间和/或之后,可用电机772使基板710自旋以将冲洗流体和其他流体/颗粒从基板710排出。
第二排放口788也可与第二处理容积754流体连通。第二排放口788可经由导管784流体耦接到第二处理容积754,所述导管从第二排放口788延伸穿过侧壁794到达入口790。阀786可以设置在导管784上,在入口790与第二排放口788之间,以便控制流体/颗粒从第二处理容积754的移除。在操作中,来自第二冲洗流体源778的冲洗流体可冲洗基板710并经由第二排放口788从第二处理容积754移除。
净化气体源758也可与第二处理容积754流体连通。所述净化气体源758可经由导管756流体耦接到第二处理容积754,所述导管从净化气体源758延伸穿过侧壁794到达出口782。阀760可以设置在导管756上,在出口782与净化气体源758之间,以便控制净化气体至第二处理容积754的输送。在操作中,净化气体可在iFGPEB处理期间和/或基板710的冲洗期间提供,以便防止颗粒积聚在处理容积704、754内。来自净化气体源758的净化气体可经由排放装置735从处理容积704、754移除。
图8示意性地示出根据本文所述的一个实施方式的浸没场引导的曝光后烘烤腔室800的横截面图。腔室800类似于腔室700,然而,腔室800被定向成水平位置,而非竖直位置。具有第一电极708耦接到其上的门802可以可滑动地耦接到升降构件804。门802被示出为处于处理位置,并且可沿Z轴用升降构件804远离盖796竖直移动到非处理位置。在非处理位置中,门802可绕X轴旋转180°,使得第一电极708和基板710被设置在门802上方(即,装载位置)。在装载位置中,可将基板定位在第一电极708上和从其上移除。在操作中,基板710可以在门802处于装载位置时紧固在第一电极708上,并且门可以旋转180°。升降构件804可使门802沿Z轴下降到所示处理位置,并且可以执行iFGPEB处理。
图9示出执行iFGPEB工艺的方法900的操作。在操作910处,可将基板定位在第一电极上。可以在将基板定位在第一电极上前预热第一电极。在操作920处,可将工艺流体引入到含有基板的处理容积。还可将工艺流体在引入处理容积前预热至处理温度。在操作930处,可将第二电极移动到处理位置。对第二电极的定位可以在操作920中引入工艺流体之前、期间或之后执行。
在操作940处,可经由第一电极和/或第二电极将电场施加到基板。在一个实施方式中,该场可在约60秒与约90秒之间的时间量内施加到基板。在施加场后,在操作950处,可将工艺流体排放出去,并且可将冲洗流体引入。冲洗流体可通过使基板自旋来从基板移除,并随后从处理容积排放出去。可将净化气体在冲洗和自旋期间或之后引入到处理容积中。净化气体可在利用工艺流体和冲洗流体后提供改善的颗粒减少。还可使第二电极返回非处理位置,并且可从处理腔室移除基板。在从处理腔室中移除后,可将基板定位在冷却基座上,以在后续处理前将基板冷却到室温。
尽管上述内容针对本公开的实施方式,但也可在不脱离本公开的基本范围的情况下设计本公开的另外和进一步的实施方式,并且本公开的范围是由随附权利要求书来确定。

Claims (20)

1.一种基板处理装置,所述基板处理装置包括:
腔室主体,所述腔室主体限定处理容积;
基座,所述基座设置在所述处理容积内;
一或多个流体源,所述一或多个流体源通过所述基座来耦接到所述处理容积;
排放口,所述排放口通过所述基座来耦接到所述处理容积;
第一电极,所述第一电极耦接到所述基座;
流体容纳环,所述流体容纳环在所述第一电极的径向外部耦接到所述基座;
可移动杆,所述可移动杆与所述基座相对地设置并延伸穿过所述腔室主体;以及
第二电极,所述第二电极耦接到所述杆。
2.根据权利要求1所述的装置,其特征在于,所述一或多个流体源包括工艺流体源和冲洗流体源。
3.根据权利要求1所述的装置,其特征在于,所述流体容纳环是由陶瓷材料形成。
4.根据权利要求1所述的装置,其特征在于,所述第一电极和所述第二电极是由导电金属材料形成。
5.根据权利要求1所述的装置,其特征在于,所述第一电极耦接到真空源。
6.根据权利要求1所述的装置,其特征在于,所述第一电极耦接到热源、电源、温度感测装置和感测装置中的一或多个。
7.根据权利要求6所述的装置,其特征在于,所述第二电极耦接到热源、电源、温度感测装置和感测装置中的一或多个。
8.根据权利要求1所述的装置,其特征在于,净化气体源通过所述杆和所述第二电极来耦接到所述处理容积。
9.一种基板处理装置,所述基板处理装置包括:
腔室主体,所述腔室主体限定处理容积;
基座,所述基座设置在所述处理容积内;
排放口,所述排放口通过所述基座来耦接到所述处理容积;
第一电极,所述第一电极耦接到所述基座;
流体容纳环,所述流体容纳环在所述第一电极的径向外部耦接到所述基座;
可移动杆,所述可移动杆与所述基座相对地设置并延伸穿过所述腔室主体;
第二电极,所述第二电极耦接到所述杆;
电介质容纳环,所述电介质容纳环耦接到所述第二电极;以及
一或多个流体源,所述一或多个流体源通过所述电介质容纳环来耦接到所述处理容积。
10.根据权利要求9所述的装置,其特征在于,所述一或多个流体源包括工艺流体源和冲洗流体源。
11.根据权利要求9所述的装置,其特征在于,所述流体容纳环是由陶瓷材料形成。
12.根据权利要求9所述的装置,其特征在于,所述第一电极和所述第二电极是由导电金属材料形成。
13.根据权利要求9所述的装置,其特征在于,所述第一电极耦接到真空源。
14.根据权利要求9所述的装置,其特征在于,所述第一电极耦接到热源、电源、温度感测装置和感测装置中的一或多个。
15.根据权利要求14所述的装置,其特征在于,所述第二电极耦接到热源、电源、温度感测装置和感测装置中的一或多个。
16.根据权利要求9所述的装置,其特征在于,净化气体源通过所述杆和所述电介质容纳环来耦接到所述处理容积。
17.一种基板处理装置,所述基板处理装置包括:
腔室主体,所述腔室主体限定处理容积;
基座,所述基座设置在所述处理容积内;
第一电极,所述第一电极耦接到所述基座;
可移动杆,所述可移动杆与所述基座相对地设置并延伸穿过所述腔室主体;
第二电极,所述第二电极耦接到所述杆;
电介质容纳环,所述电介质容纳环耦接到所述第二电极;
弹性体O形环,所述弹性体O形环耦接到所述电介质容纳环且与所述第二电极相对;
一或多个流体源,所述一或多个流体源通过所述电介质容纳环来耦接到所述处理容积;
排放口,所述排放口通过所述电介质容纳环来耦接到所述处理容积;以及
净化气体源,所述净化气体源通过所述电介质容纳环来耦接到所述处理容积。
18.根据权利要求17所述的装置,其特征在于,所述第一电极和所述第二电极各自被耦接到热源、电源、温度感测装置和感测装置中的一或多个。
19.根据权利要求17所述的装置,其特征在于,所述第一电极耦接到真空源。
20.根据权利要求17所述的装置,其特征在于,所述弹性体O形环被配置成在处于处理位置时接触所述第一电极或所述基座,并将流体维持在所述电介质容纳环内。
CN201611076231.6A 2015-11-30 2016-11-29 用于光刻胶晶片的曝光后处理的方法和装置 Active CN106814556B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202111180980.4A CN113835299A (zh) 2015-11-30 2016-11-29 用于光刻胶晶片的曝光后处理的方法和装置

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562261171P 2015-11-30 2015-11-30
US62/261,171 2015-11-30
US201562267531P 2015-12-15 2015-12-15
US62/267,531 2015-12-15
US14/989,488 US10203604B2 (en) 2015-11-30 2016-01-06 Method and apparatus for post exposure processing of photoresist wafers
US14/989,488 2016-01-06

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202111180980.4A Division CN113835299A (zh) 2015-11-30 2016-11-29 用于光刻胶晶片的曝光后处理的方法和装置

Publications (2)

Publication Number Publication Date
CN106814556A true CN106814556A (zh) 2017-06-09
CN106814556B CN106814556B (zh) 2021-10-19

Family

ID=59106166

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201611076231.6A Active CN106814556B (zh) 2015-11-30 2016-11-29 用于光刻胶晶片的曝光后处理的方法和装置
CN202111180980.4A Pending CN113835299A (zh) 2015-11-30 2016-11-29 用于光刻胶晶片的曝光后处理的方法和装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202111180980.4A Pending CN113835299A (zh) 2015-11-30 2016-11-29 用于光刻胶晶片的曝光后处理的方法和装置

Country Status (5)

Country Link
US (4) US10203604B2 (zh)
JP (2) JP6791732B2 (zh)
KR (1) KR20170066248A (zh)
CN (2) CN106814556B (zh)
TW (3) TWI762098B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
KR20180000928A (ko) * 2016-06-24 2018-01-04 세메스 주식회사 가열 처리 유닛, 이를 갖는 베이크 장치 및 이를 이용한 기판 처리 방법
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US9964863B1 (en) * 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10615058B2 (en) * 2016-12-29 2020-04-07 Applied Materials, Inc. Apparatus for field guided acid profile control in a photoresist layer
CN113196452A (zh) 2019-01-18 2021-07-30 应用材料公司 用于电场引导的光刻胶图案化工艺的膜结构
CN113994456A (zh) * 2019-07-17 2022-01-28 应用材料公司 用于曝光后处理的方法及设备
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
US20220199414A1 (en) * 2020-12-18 2022-06-23 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
KR20230144083A (ko) * 2021-02-15 2023-10-13 어플라이드 머티어리얼스, 인코포레이티드 포토레지스트의 노광 후 베이크 장치
US11815816B2 (en) 2021-02-15 2023-11-14 Applied Materials, Inc. Apparatus for post exposure bake of photoresist

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1402308A (zh) * 2001-08-28 2003-03-12 日本电气株式会社 在气体环境中执行曝光处理的基片处理系统
JP2003124088A (ja) * 2001-08-08 2003-04-25 Tokyo Electron Ltd 基板処理装置および基板処理方法
JP2006032606A (ja) * 2004-07-15 2006-02-02 Tokyo Electron Ltd 基板加熱装置及び基板加熱方法
JP2006032605A (ja) * 2004-07-15 2006-02-02 Tokyo Electron Ltd 基板加熱装置及び基板加熱方法
JP2008177494A (ja) * 2007-01-22 2008-07-31 Tokyo Electron Ltd 加熱装置、加熱方法及び記憶媒体
CN101667543A (zh) * 2008-09-04 2010-03-10 东京毅力科创株式会社 等离子体处理方法及抗蚀剂图案的改性方法
JP2014096500A (ja) * 2012-11-09 2014-05-22 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4007987A (en) 1976-01-12 1977-02-15 Tamarack Scientific Co. Inc. Vacuum contact printing system and process for electronic circuit photomask replication
US4743570A (en) 1979-12-21 1988-05-10 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
JPS61232612A (ja) 1985-04-08 1986-10-16 Semiconductor Energy Lab Co Ltd 気相反応装置
JPS61124940A (ja) * 1984-11-22 1986-06-12 Fujitsu Ltd レジスト膜の現像方法
JPS633417A (ja) * 1986-06-24 1988-01-08 Nec Corp レジストの現像方法
JPS63182817A (ja) * 1987-01-26 1988-07-28 Hitachi Ltd 現像処理方法
JPH03159114A (ja) 1989-11-16 1991-07-09 Mitsubishi Electric Corp 微細パターンの形成方法
JPH0442917A (ja) * 1990-06-06 1992-02-13 Matsushita Electron Corp フォトレジストの現像方法
JPH0469920A (ja) * 1990-07-10 1992-03-05 Tokyo Electron Ltd 現像装置
JPH0855776A (ja) * 1994-08-11 1996-02-27 Hitachi Ltd パターン形成方法およびパターン形成装置
JPH0864498A (ja) * 1994-08-23 1996-03-08 Victor Co Of Japan Ltd 自動現像方法及び装置
JP3337563B2 (ja) * 1994-08-30 2002-10-21 三菱電機株式会社 現像処理方法
JPH08250488A (ja) * 1995-01-13 1996-09-27 Seiko Epson Corp プラズマ処理装置及びその方法
JP3964475B2 (ja) * 1995-04-19 2007-08-22 東京エレクトロン株式会社 基板の処理方法と装置
US6288174B1 (en) 1995-07-07 2001-09-11 Mitsubishi Rayon Co., Ltd. Powdery material and modifier for cementitious material
JP3583552B2 (ja) * 1996-06-18 2004-11-04 東京エレクトロン株式会社 処理装置及び処理方法
JPH10135127A (ja) * 1996-10-31 1998-05-22 Canon Sales Co Inc 基板現像装置
TW464944B (en) 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
KR100239440B1 (ko) 1997-08-20 2000-01-15 김영환 화학 증폭형 포토레지스트의 패터닝 방법
US5898179A (en) * 1997-09-10 1999-04-27 Orion Equipment, Inc. Method and apparatus for controlling a workpiece in a vacuum chamber
JPH11102855A (ja) * 1997-09-29 1999-04-13 Toshiba Corp レジスト現像装置およびレジスト現像方法
KR100557579B1 (ko) 1997-11-05 2006-05-03 에스케이 주식회사 박막제조장치
US6097402A (en) 1998-02-10 2000-08-01 Intel Corporation System and method for placement of operands in system memory
JPH11345757A (ja) * 1998-06-01 1999-12-14 Toshiba Corp レジストの現像方法
US6187152B1 (en) * 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
JP2000164505A (ja) * 1998-11-24 2000-06-16 Tokyo Electron Ltd 液処理装置
US7537672B1 (en) * 1999-05-06 2009-05-26 Tokyo Electron Limited Apparatus for plasma processing
AU779988B2 (en) * 1999-06-28 2005-02-24 California Institute Of Technology Microfabricated elastomeric valve and pump systems
KR100811964B1 (ko) 2000-09-28 2008-03-10 동경 엘렉트론 주식회사 레지스트 패턴 형성장치 및 그 방법
JP3616748B2 (ja) * 2000-11-07 2005-02-02 東京エレクトロン株式会社 現像処理方法,現像処理装置及び処理装置
JP3696156B2 (ja) 2000-12-26 2005-09-14 株式会社東芝 塗布膜の加熱装置、レジスト膜の処理方法
US6686132B2 (en) 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US6841342B2 (en) 2001-08-08 2005-01-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2003156858A (ja) 2001-11-22 2003-05-30 Tokyo Electron Ltd 基板処理方法及び基板処理システム
JP2003324064A (ja) * 2002-03-01 2003-11-14 Tokyo Electron Ltd 現像処理方法及び現像処理装置
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US6846519B2 (en) 2002-08-08 2005-01-25 Blue29, Llc Method and apparatus for electroless deposition with temperature-controlled chuck
US7584760B2 (en) 2002-09-13 2009-09-08 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
SG129259A1 (en) * 2002-10-03 2007-02-26 Asml Netherlands Bv Radiation source lithographic apparatus, and device manufacturing method
JP3970815B2 (ja) 2002-11-12 2007-09-05 シャープ株式会社 半導体素子製造装置
KR100605788B1 (ko) 2002-12-30 2006-07-31 주식회사 하이닉스반도체 전기장을 이용한 수직한 포토레지스트 패턴의 형성방법
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20040206373A1 (en) 2003-04-18 2004-10-21 Applied Materials, Inc. Spin rinse dry cell
JP2005048259A (ja) 2003-07-31 2005-02-24 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7187796B1 (en) 2003-10-01 2007-03-06 Advanced Micro Devices, Inc. Systems and methods that employ exposure compensation to provide uniform CD control on reticle during fabrication
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
JP4282500B2 (ja) 2004-01-29 2009-06-24 株式会社東芝 構造検査方法及び半導体装置の製造方法
JP4450371B2 (ja) * 2004-04-28 2010-04-14 東京エレクトロン株式会社 基板洗浄装置及び基板洗浄方法
US7214600B2 (en) 2004-06-25 2007-05-08 Applied Materials, Inc. Method to improve transmittance of an encapsulating film
JP4343050B2 (ja) 2004-07-15 2009-10-14 東京エレクトロン株式会社 現像処理装置及びその方法
JP3923057B2 (ja) * 2004-08-19 2007-05-30 東京エレクトロン株式会社 現像処理方法
TWI387667B (zh) * 2004-12-21 2013-03-01 Applied Materials Inc 用於自化學氣相蝕刻處理室移除副產物沉積的原位處理室清潔製程
US7780813B2 (en) 2005-06-09 2010-08-24 Alcatel-Lucent Usa Inc. Electric field mediated chemical reactors
US7972761B2 (en) 2006-08-04 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist materials and photolithography process
US7541137B2 (en) 2005-12-19 2009-06-02 Beach James V Resist resolution using anisotropic acid diffusion
US20070139855A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Lithographic apparatus and method of manufacturing an electrostatic clamp for a lithographic apparatus
JP4854317B2 (ja) * 2006-01-31 2012-01-18 東京エレクトロン株式会社 基板処理方法
US20080050679A1 (en) 2006-02-22 2008-02-28 Sokudo Co., Ltd. Methods and systems for performing immersion processing during lithography
JP2008034779A (ja) * 2006-06-27 2008-02-14 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
US7838205B2 (en) 2006-07-07 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Utilization of electric field with isotropic development in photolithography
KR101163276B1 (ko) 2006-09-29 2012-07-05 도쿄엘렉트론가부시키가이샤 플라즈마 산화 처리 방법 및 플라즈마 처리 장치
JP4859229B2 (ja) 2006-12-08 2012-01-25 東京エレクトロン株式会社 熱処理装置
JP2008153521A (ja) 2006-12-19 2008-07-03 Dainippon Screen Mfg Co Ltd 回収カップ洗浄方法および基板処理装置
DE102006062031B3 (de) 2006-12-29 2008-06-19 Advanced Micro Devices, Inc., Sunnyvale System zum Antreiben und Steuern einer bewegbaren Elektrodenanordnung in einer elektro-chemischen Prozessanlage
US7694688B2 (en) 2007-01-05 2010-04-13 Applied Materials, Inc. Wet clean system design
DE102007022431A1 (de) 2007-05-09 2008-11-13 Leybold Optics Gmbh Behandlungssystem für flache Substrate
US7864502B2 (en) 2007-05-15 2011-01-04 International Business Machines Corporation In situ monitoring of wafer charge distribution in plasma processing
US8636458B2 (en) 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
KR20090044420A (ko) 2007-10-31 2009-05-07 주식회사 하이닉스반도체 반도체 소자 제조용 플라즈마 공정 장치
US8580042B2 (en) 2007-12-10 2013-11-12 Acm Research (Shanghai) Inc. Methods and apparatus for cleaning semiconductor wafers
JP2009277767A (ja) * 2008-05-13 2009-11-26 Fujikura Ltd フォトレジストの現像方法および装置
JP2010087116A (ja) * 2008-09-30 2010-04-15 Sokudo Co Ltd 基板処理装置
JP5401255B2 (ja) 2008-11-05 2014-01-29 東京エレクトロン株式会社 洗浄装置、洗浄方法、および記憶媒体
US8097402B2 (en) 2009-03-31 2012-01-17 Tokyo Electron Limited Using electric-field directed post-exposure bake for double-patterning (D-P)
KR101050463B1 (ko) 2009-05-07 2011-07-20 삼성모바일디스플레이주식회사 플라즈마 처리 장치
US20110061812A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5606063B2 (ja) 2009-12-28 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
US20110269314A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Process chambers having shared resources and methods of use thereof
WO2012057967A2 (en) 2010-10-27 2012-05-03 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness
US8288174B1 (en) 2011-03-24 2012-10-16 Tokyo Electron Limited Electrostatic post exposure bake apparatus and method
JP5375871B2 (ja) 2011-04-18 2013-12-25 東京エレクトロン株式会社 液処理装置、液処理方法、コンピュータプログラムを格納した記憶媒体
US8709706B2 (en) 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
JP5419933B2 (ja) * 2011-07-05 2014-02-19 東京エレクトロン株式会社 基板処理装置、基板処理方法及びその基板処理方法を実行させるためのプログラムを記録した記憶媒体
JP5844681B2 (ja) 2011-07-06 2016-01-20 東京エレクトロン株式会社 基板液処理装置及び基板液処理方法
JP6051919B2 (ja) 2012-04-11 2016-12-27 東京エレクトロン株式会社 液処理装置
US20130333616A1 (en) 2012-06-18 2013-12-19 Tel Solar Ag Plasma processing system with movable chamber housing parts
CN104813440A (zh) * 2012-09-26 2015-07-29 应用材料公司 于基板处理系统中控制温度
JP6026241B2 (ja) * 2012-11-20 2016-11-16 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US8883029B2 (en) 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
JP5602903B2 (ja) 2013-03-14 2014-10-08 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
WO2014159222A1 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Methods and apparatus for processing a substrate using a selectively grounded and movable process kit ring
CN105164788B (zh) 2013-04-30 2020-02-14 应用材料公司 具有空间分布的气体通道的气流控制衬垫
JP2015023048A (ja) 2013-07-16 2015-02-02 株式会社Screenホールディングス 基板処理装置および基板処理方法
WO2015042309A1 (en) 2013-09-20 2015-03-26 Applied Materials, Inc. Substrate carrier with integrated electrostatic chuck
JP6229933B2 (ja) 2013-09-27 2017-11-15 株式会社Screenホールディングス 処理カップ洗浄方法、基板処理方法および基板処理装置
KR101525210B1 (ko) 2013-12-20 2015-06-05 주식회사 유진테크 기판 처리장치
US9377692B2 (en) * 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
US9366966B2 (en) * 2014-07-10 2016-06-14 Applied Materials, Inc. Electric/magnetic field guided acid profile control in a photoresist layer
US9798240B2 (en) * 2014-07-10 2017-10-24 Applied Materials, Inc. Controlling photo acid diffusion in lithography processes
US9280070B2 (en) * 2014-07-10 2016-03-08 Applied Materials, Inc. Field guided exposure and post-exposure bake process
US9733579B2 (en) * 2014-10-15 2017-08-15 Applied Materials, Inc. Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US10332761B2 (en) 2015-02-18 2019-06-25 SCREEN Holdings Co., Ltd. Substrate processing apparatus
US9823570B2 (en) * 2015-04-02 2017-11-21 Applied Materials, Inc. Field guided post exposure bake application for photoresist microbridge defects
US9864276B2 (en) * 2015-04-07 2018-01-09 Applied Materials, Inc. Laser annealing and electric field
US9829790B2 (en) * 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US9927709B2 (en) * 2015-10-02 2018-03-27 Applied Materials, Inc. Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
WO2017059645A1 (zh) 2015-10-09 2017-04-13 北京北方微电子基地设备工艺研究中心有限责任公司 加热装置以及加热腔室
US10203604B2 (en) * 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
JP6614610B2 (ja) 2016-02-12 2019-12-04 株式会社Screenホールディングス 基板処理装置
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US9996006B2 (en) * 2016-10-14 2018-06-12 Applied Materials, Inc. Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
KR101927699B1 (ko) * 2016-10-31 2018-12-13 세메스 주식회사 기판 처리 장치 및 방법
US9964863B1 (en) * 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10615058B2 (en) * 2016-12-29 2020-04-07 Applied Materials, Inc. Apparatus for field guided acid profile control in a photoresist layer
JP2023088160A (ja) * 2021-12-14 2023-06-26 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003124088A (ja) * 2001-08-08 2003-04-25 Tokyo Electron Ltd 基板処理装置および基板処理方法
CN1402308A (zh) * 2001-08-28 2003-03-12 日本电气株式会社 在气体环境中执行曝光处理的基片处理系统
JP2006032606A (ja) * 2004-07-15 2006-02-02 Tokyo Electron Ltd 基板加熱装置及び基板加熱方法
JP2006032605A (ja) * 2004-07-15 2006-02-02 Tokyo Electron Ltd 基板加熱装置及び基板加熱方法
JP2008177494A (ja) * 2007-01-22 2008-07-31 Tokyo Electron Ltd 加熱装置、加熱方法及び記憶媒体
CN101667543A (zh) * 2008-09-04 2010-03-10 东京毅力科创株式会社 等离子体处理方法及抗蚀剂图案的改性方法
JP2014096500A (ja) * 2012-11-09 2014-05-22 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置

Also Published As

Publication number Publication date
US10203604B2 (en) 2019-02-12
JP2021057596A (ja) 2021-04-08
US20220004104A1 (en) 2022-01-06
KR20170066248A (ko) 2017-06-14
CN106814556B (zh) 2021-10-19
TWI762098B (zh) 2022-04-21
CN113835299A (zh) 2021-12-24
TWI717423B (zh) 2021-02-01
TW202119140A (zh) 2021-05-16
US20170154797A1 (en) 2017-06-01
US20170363960A1 (en) 2017-12-21
TW202232686A (zh) 2022-08-16
TWI806503B (zh) 2023-06-21
US11899366B2 (en) 2024-02-13
TW201729014A (zh) 2017-08-16
JP7297727B2 (ja) 2023-06-26
US11112697B2 (en) 2021-09-07
JP6791732B2 (ja) 2020-11-25
JP2017152679A (ja) 2017-08-31
US10474033B2 (en) 2019-11-12
US20190187563A1 (en) 2019-06-20

Similar Documents

Publication Publication Date Title
CN106814556A (zh) 用于光刻胶晶片的曝光后处理的方法和装置
JP7089010B2 (ja) 露光後ベークのための装置
CN110036346B (zh) 曝光后处理设备
CN110114854B (zh) 用于光刻胶层中场引导酸轮廓控制的设备

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant