TW202119140A - 用於光刻膠晶圓的曝光後處理的方法和裝置 - Google Patents

用於光刻膠晶圓的曝光後處理的方法和裝置 Download PDF

Info

Publication number
TW202119140A
TW202119140A TW109145433A TW109145433A TW202119140A TW 202119140 A TW202119140 A TW 202119140A TW 109145433 A TW109145433 A TW 109145433A TW 109145433 A TW109145433 A TW 109145433A TW 202119140 A TW202119140 A TW 202119140A
Authority
TW
Taiwan
Prior art keywords
electrode
substrate
processing volume
fluid
processing
Prior art date
Application number
TW109145433A
Other languages
English (en)
Other versions
TWI762098B (zh
Inventor
維亞契史拉維 芭芭洋
道格拉斯A 布希博格二世
奇偉 梁
魯多維 葛迪
史林尼法斯D 奈馬尼
丹尼爾J 伍德拉夫
蘭迪 哈理斯
羅伯特B 摩爾
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202119140A publication Critical patent/TW202119140A/zh
Application granted granted Critical
Publication of TWI762098B publication Critical patent/TWI762098B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2035Exposure; Apparatus therefor simultaneous coating and exposure; using a belt mask, e.g. endless
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70991Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus; Shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate; Utilities, e.g. cable, pipe or wireless arrangements for data, power, fluids or vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本文所述的實施方式涉及用於執行浸沒場引導的曝光後烘烤製程的方法和裝置。本文所述的裝置的實施方式包括腔室主體,該腔室主體限定處理容積。基座可設置在該處理容積內,並且第一電極可耦接到該基座。可移動桿可延伸穿過該腔室主體且與該基座相對,並且第二電極可耦接到該可移動桿。在某些實施方式中,流體容納環可耦接到該基座,並且介電質容納環可耦接到該第二電極。

Description

用於光刻膠晶圓的曝光後處理的方法和裝置
本案揭露內容大體涉及用於處理基板的方法和裝置,並且更具體地涉及用於改進光刻製程的方法和裝置。
積體電路已演進成可在單個晶圓上包括數百萬個部件(例如,電晶體、電容器和電阻器)的複雜元件。光刻是可以用來在晶圓上形成部件的製程。一般來說,光刻製程涉及幾個基礎階段。首先,在基板上形成光刻膠層。化學增強的光刻膠可包括抗蝕樹脂和光致酸發生劑。當在後續曝光階段中暴露於電磁輻射後,光致酸發生劑在顯影過程中改變光刻膠的溶解度。電磁輻射可以具有任何合適波長,例如193 nm ArF鐳射、電子束、離子束、或其他合適的來源。
在曝光階段中,光罩或光罩(reticle)可以用來選擇性地將基板的某些區域暴露於電磁輻射下。其他曝光方法可為無掩模式曝光方法。暴露於光可使光致酸發生劑分解,從而產生酸並且在抗蝕樹脂中產生了潛在的酸圖像(latent acid image)。在曝光後,可在曝光後烘烤製程中對基板進行加熱。在曝光後烘烤製程期間,光致酸發生劑產生的酸與抗蝕樹脂反應,以在後續顯影過程期間改變抗蝕劑的溶解度。
在曝光後烘烤後,可顯影並沖洗基板,尤其是光刻膠層。根據所使用的光刻膠的類型,基板的暴露於電磁輻射的區域可能對移除有抗性或更易於移除。在顯影和沖洗後,使用濕法蝕刻製程或乾法蝕刻製程將掩模的圖案轉印到基板。
晶圓設計的演進不斷要求更快電路以及更大電路密度。對更大電路密度的需求要求積體電路部件的尺寸減小。隨著積體電路部件的尺寸減小,需要更多元件放置在半導體積體電路上的給定區域中。因此,光刻製程必須將甚至更小的特徵轉印到基板上,並且光刻法必須非常精確、準確並且無損地進行。為將特徵精確且準確地傳送到基板上,高解析度光刻可使用以小波長提供輻射的光源。小波長有助於減小基板或晶圓上的最小可印刷的尺寸。然而,小波長的光刻遭受以下問題,諸如低產率、增大的線邊緣粗糙度和/或降低的抗蝕劑敏感度。
在最近發展中,電極元件用於在曝光製程之前或之後向設置在基板上的光刻膠層產生電場,以便修改電子輻射透射到光刻膠層的部分的化學性質,從而改進光刻曝光/顯影解析度。然而,實現此類系統方面的挑戰尚未克服。
因此,需要用於改進光刻製程的改進的方法和裝置。
在一個實施方式中,提供一種基板處理裝置。該裝置包括:腔室主體,該腔室主體限定處理容積;以及基座,該基座設置在該處理容積內。一或多個流體源可以透過該基座來耦接到該處理容積,並且排放口可以透過該基座來耦接到該處理容積。第一電極耦接到該基座,並且該流體容納環在該第一電極的徑向外部被耦接到該基座。可移動桿可以與該基座相對地設置並延伸穿過該腔室主體,並且第二電極可耦接到該桿。
在另一實施方式中,提供一種基板處理裝置。該裝置包括:腔室主體,該腔室主體限定處理容積;以及基座,該基座設置在該處理容積中。排放口可透過該基座耦接到該處理容積,第一電極可耦接到該基座,並且流體容納環可以在該第一電極的徑向外部耦接到該基座。可移動桿可與該基座相對地設置並延伸穿過該腔室主體。第二電極可耦接到該桿,並且介電質容納環可耦接到該第二電極。一或多個流體源可以透過該介電質容納環來耦接到該處理容積。
在又一實施方式中,提供一種基板處理裝置。該裝置包括腔室主體,該腔室主體限定處理容積,基座可以設置在該處理容中,並且第一電極可耦接到該基座。可移動桿可與該基座相對設置並延伸穿過該腔室主體。第二電極可耦接到該桿,並且介電質容納環可耦接到該第二電極。彈性體O形環可耦接到該介電質容納環、與該第二電極相對。一或多個流體源、排放口和淨化氣體源各自可以透過該介電質容納環來耦接到該處理容積。
圖1示意性地示出根據本文所述的一個實施方式的處理腔室100的橫截面圖。處理腔室100包括腔室主體102,該腔室主體102限定處理容積104。泵172可以透過腔室主體102來流體耦接到處理容積104,並且可配置為在處理容積104內產生真空,或者將流體和其他材料從處理容積104中排放出去。狹縫閥148可形成在腔室主體102中以提供基板的進出以便進行處理。狹縫閥門150可耦接到腔室主體102、與狹縫閥148相鄰。一般來說,腔室主體102可由適於在其中執行浸沒場引導的曝光後烘烤(iFGPEB)製程的材料形成,諸如鋁、不銹鋼和它們的合金。腔室主體102還可以由各種其他材料形成,諸如例如聚四氟乙烯(PTFE)的聚合物和諸如聚醚醚酮(PEEK)之類的高溫塑膠。
基座106可設置在處理容積104之中,並且可耦接到腔室主體102。在一個實施方式中,基座106可固定地耦接到腔室主體102。在另一實施方式中,基座106可旋轉地耦接到腔室主體102。在這個實施方式中,電機(未圖示)可耦接到基座106,並且電機可配置為賦予基座106旋轉移動。可預見的是,基座106的旋轉可用來使基板在基板的處理後旋乾。
第一電極108可耦接到基座106。第一電極108可固定地耦接到基座106,或者可旋轉地耦接到基座106。在第一電極108被可旋轉地耦接到基座106的實施方式中,第一電極108的旋轉可用來使基板在處理後旋乾。第一電極108可由導電金屬材料形成。另外,用於第一電極108的材料可為非氧化性材料。為第一電極108選擇的材料可以跨第一電極108的表面提供期望的電流均勻度和低電阻。在某些實施方式中,第一電極108可為分段電極,該分段電極被配置為跨第一電極108的表面引入電壓非均勻性。在這個實施方式中,多個電源可用來為第一電極108的不同區段供電。
流體容納環112可在第一電極108的徑向外部耦接到基座106。流體容納環112可由非導電材料(諸如陶瓷材料或高溫塑膠材料)製成。基座106和流體容納環112可以具有基本類似的直徑,並且從流體容納環112至第一電極108徑向向內的距離可為約0.1 cm與約3.0 cm之間,諸如在約0.5 cm和約2.0 cm之間,例如約1.0 cm。流體容納環112可從基座106延伸,以進一步限定處理容積104。一般來說,流體容納環112的頂部可與狹縫閥148所佔據的平面共面或設置在其下方。
基座106可以包括設置成從中穿過的一或多個導管,並且整體設置的加熱裝置(未圖示)可以設置在基座106內以預熱行進穿過導管的流體。製程流體源116可經由導管114流體耦接到處理容積104。導管114可從製程流體源116穿過腔室主體102和基座106延伸至處理容積104。在一個實施方式中,流體出口124可以形成在基座106中,在第一電極108徑向外部和流體容納環112徑向內部。閥118可以設置在導管114上,在流體出口124與製程流體源116之間。沖洗流體源120還可經由流體導管114來流體耦接到處理容積104。閥122可以設置在導管114上,在流體出口124與沖洗流體源120之間。製程流體源116可配置為輸送在iFGPDB製程過程中施加電場期間所利用的流體。沖洗流體源120可配置為在iFGPEB製程已經執行後來輸送流體以沖洗基板。
排放口128可經由導管126流體耦接到處理容積104。導管126可從排放口128延伸穿過腔室主體102和基座106。在一個實施方式中,流體入口132可以形成在基座106中,在第一電極108徑向外部和流體容納環112徑向內部。閥130可以設置在導管126上,在流體入口132與排放口128之間。流體,諸如來自製程流體源116和沖洗流體源120的流體,可經由流體入口132和排放口128從處理容積104移除。
真空源136可經由導管134耦接到第一電極108的頂表面。導管134可以延伸穿過腔室主體102、基座106和第一電極108。如圖所示,基板110可以設置在第一電極108上。當基板110定位在第一電極108上時,導管134可定位在基板110所覆蓋的區域下方。真空源136可配置為抽真空以便將基板110固定到第一電極108。在某些實施方式中,若基板透過其他手段(諸如靜電卡緊或機械裝置(即環、銷等))緊固到第一電極108上,則真空源136和導管134可以是任選的。
熱源140可經由導管138流體耦接到第一電極108。熱源140可向設置在第一電極108內的一或多個加熱元件(諸如電阻式加熱器)提供動力。還可預見的是,熱源140可向設置在基座106內的加熱元件提供動力。熱源140一般被配置為在iFGPEB製程過程中加熱第一電極108和/或基座106,以便幫助對流體的預熱。在一個實施方式中,熱源140可配置為將第一電極108加熱到在約70℃與約130℃之間的溫度,諸如約110℃。在其他實施方式中,熱源可耦接到導管114,以將從製程流體源116和/或沖洗流體源120進入處理容積104內的流體預熱。溫度感測裝置142亦可經由導管138來耦接到第一電極108。溫度感測裝置142(諸如熱電偶等)可通信耦接到熱源140,以提供溫度回饋並説明對第一電極108的加熱。
電源144亦經由導管138耦接到第一電極108。電源144可配置為對第一電極供應例如在約1 V與約20 kV之間。根據所利用製程流體的類型,由電源144生成的電流可為大約數十納安至數百毫安培培的量級。在一個實施方式中,電源144可配置為生成在約1 kV/m至約2 MeV/m範圍內的電場。在一些實施方式中,電源144可配置為在電壓控制模式或電流控制模式下操作。在兩種模式下,電源可以輸出AC、DC和/或脈衝的DC波形。可按需要使用方波或正弦波。電源144可配置成以在約0.1 Hz與約1 MHz之間(諸如約5 kHz)的頻率提供電力。脈衝DC電力或AC電力的工作週期可以在約5%與約95%之間,諸如在約20%與約60%之間。
脈衝DC電力或AC電力的上升時間和下降時間可以在約1 ns與約1000 ns之間,諸如在約10 ns與約500 ns之間。感測裝置146亦可經由導管138來耦接到第一電極108。感測裝置146(諸如電壓表等)可通信耦接到熱源144,以提供電學回饋並幫助控制施加到第一電極108的電力。感測裝置146還可配置用於感測經由電源144施加到第一電極108的電流。
可移動桿152可設置成穿過腔室主體102、與基座106相對。桿152被配置為在Z方向上(即朝向和遠離基座106)移動,並且可以在如圖所示的非處理位置與處理位置(如圖2所示)之間移動。第二電極154可耦接到桿152。第二電極154可由與第一電極108相同的材料形成。類似於第一電極108,在某些實施方式中,可將第二電極154分段。
淨化氣體源158可經由導管156流體耦接到處理容積104。導管156可從淨化氣體源158延伸穿過桿152和第二電極154。在某些實施方式中,導管156可由柔性材料形成,以便適應桿152的移動。儘管並未圖示,但是在替代實施方式中,導管可穿過桿152延伸到處理容積104,而非第二電極154。閥160可以設置在導管156上,在桿152與淨化氣體源158之間。由淨化氣體源158提供的氣體可以包括氮、氫、惰性氣體等等,以在iFGPEB處理過程中或之後淨化處理容積104。當需要時,淨化氣體可經由泵172從處理容積104排放出來。
熱源170、溫度感測裝置168、電源166和感測裝置164可經由導管162通信耦接到第二電極154。熱源170、溫度感測裝置168、電源166和感測裝置164可類似於熱源140、溫度感測裝置142、電源144和感測裝置146那樣配置。
本文所述的實施方式涉及用於執行浸沒場引導的曝光後烘烤製程的方法和裝置。本文中公開的方法和裝置可使光刻膠敏感度和光刻製程的生產率增大。在曝光後烘烤過程中光致酸發生劑產生的酸的隨機擴散有助於線邊緣/線寬度粗糙度和減小的光刻膠敏感度。電極組件可用於在光刻製程期間將電場施加到光刻膠層。場施加可控制光致酸發生劑產生的帶電荷物質的擴散。
限定在光刻膠層與電極元件之間的氣隙可以產生施加到電極元件的壓降,由此不利地降低需要產生到光刻膠層的電場的水準。由於壓降,在光刻膠層處的電場的水準可能產生不足夠或不準確的電壓電力來沿某些期望的方向在光刻膠層中驅動或形成帶電荷物質。因此,可普遍造成對光刻膠層的線邊緣輪廓控制減弱。
中間介質可以設置在光刻膠層與電極元件之間以防止氣隙形成,以便將與光刻膠層相互作用的電場的水準維持在某個期望水準。如此,可沿線和間距方向在期望方向上引導由電場產生的帶電荷物質,從而防止由於不準確和隨機的擴散而導致的線邊緣/線寬度粗糙度。因此,所產生電場的受控水準或期望水準可使準確性和光刻膠層對於曝光和/或顯影過程的敏感度增大。在一個實例中,中間介質可為非氣相介質,諸如漿料、凝膠或液體溶液,該中間介質當從電極組件傳輸到基板上設置的光刻膠層時可將所施加的電壓電平有效地維持在確定範圍內。由電場生成的電荷可以在中間介質與光刻膠之間傳輸,這可造成電流淨流。在某些實施方式中,電流淨流可以改進反應特性,諸如改進光刻膠的反應速率。以受控電流操作電源144還有利地實現對在中間介質與光刻膠之間傳輸的電荷量的控制。
圖2示意性地示出根據本文所述的一個實施方式的在處理位置中的圖1的腔室100的橫截面圖。桿152可朝基座106移動到處理位置中。在處理位置中,第二電極154與基板110之間的距離174可在約1 mm與約1 cm之間,諸如約2 mm。可將處理流體輸送到由流體容納環112限定和保持的處理容積104,並且第二電極154可以在桿152位於處理位置時部分或完全地浸沒。可施加電力至電極108、154中的一或兩者,以便執行iFGPEB製程。
在一些實施方式中,第一電極108和第二電極154被配置為生成平行於由基板110限定的x-y平面的電場。例如,電極108、154可配置為在x-y平面中的y方向、x方向或另一方向中的一者上生成電場。在一個實施方式中,電極108、154被配置為在x-y平面中和在可圖案化在基板110上的潛像線的方向上生成電場。在另一實施方式中,電極108、154被配置為在x-y平面中並垂直於可圖案化在基板110上的潛像線的方向生成電場。電極108、154可另外或替代地配置為在z方向上(例如垂直於基板110)生成電場。
圖3示意性地示出根據本文所述的一個實施方式的iFGPEB腔室300的橫截面圖。第三電極302可在某些態樣類似於第二電極154。介電質容納環304可耦接到第三電極302、與桿152相對。介電質容納環304的直徑可類似於第三電極302的直徑。介電質容納環304可由介電質材料(諸如有合適介電質特性的聚合物或陶瓷)形成。O形環308可耦接到介電質容納環304、與第三電極302相對,並且圍繞介電質容納環304周向地延伸。O形環308可由彈性體材料(諸如聚合物)形成,並且可在桿152被設置在處理位置時是可壓縮的。
例如,當桿152被設置在處理位置(如圖2所示)時,O形環308可接觸第一電極108的區域310或基座106的區域312。第三電極302的直徑和介電質容納環304的直徑可根據O形環308接觸到的期望區域310、312來選擇。可預見的是,當O形環308、以及第三電極304/介電質容納環304被配置為接觸基座106上的區域312時,O形環308接觸的點可以在流體入口132的徑向向內位置,以便提供到排放口128的不受限的流體接取。在桿152設置在處理位置時,O形環308還可經尺寸設定並定位成接觸基板110的排除區。一般來說,基板110的排除區是基板110徑向向內區域,與基板110的圓周相距約1 mm至約3 mm的距離。在這個實施方式中,處理容積104可由基板110、介電質容納環304和第三電極302限定。有利地,耦接到第一電極308的基板110的背側可保持不暴露於製程流體或沖洗流體下,這有助於防止流體進入真空源136。
沖洗流體源120可經由導管156與處理容積104流體耦接,該導管可以延伸穿過桿152、第三電極302和介電質容納環304。導管156的流體出口306可設置在介電質容納環304的內徑處。沖洗流體源120和淨化氣體源158亦可以耦接到導管156。或者,流體導管156可穿過桿152延伸到第三電極302上方,並且向第三電極302的徑向外部延伸穿過介電質容納環304到達流體出口306。
圖4示意性地示出根據本文所述的一個實施方式的iFGPEB腔室400的橫截面圖。腔室400在某些態樣上類似於腔室300,然而,流體容納環112不耦接到基座106。排放裝置418可經由導管414流體耦接到處理容積104,該導管可以延伸穿過桿152、第四電極402(其耦接到桿152)和介電質容納環404。在某些實施方式中,導管414可由柔性材料形成以便適應桿152的移動。導管414的流體出口416可設置在介電質容納環404的內徑處。閥可以設置在導管414上,在排放裝置418與桿152之間。或者,導管414可穿過桿152延伸到第四電極402上方,並且向第四電極402的徑向外部延伸穿過介電質容納環404到達流體出口416。
類似於腔室300,當桿152被設置在處理位置(如圖2所示)時,第四電極402、介電質容納環404以及與第四電極402相對且圍繞介電質容納環404周向耦接的O形環408可經尺寸設定成使得O形環408接觸第一電極108上的區域410或基座106的區域412。在處理過程中,可將各製程流體和沖洗流體引入處理容積104中,該處理容積進一步由介電質容納環404和第四電極402限定。流體可經由流體出口416從處理容積104排放到排放裝置418。
儘管在圖1至圖4中未圖示,升降桿可延伸穿過基座106和/或第一電極108以幫助將基板110定位在第一電極108上。例如,當桿152處於非處理的升高位置時,升降桿可向上延伸並從延伸穿過狹縫閥148的機器人葉片接收基板。接著,升降桿可以回縮並將基板110定位在第一電極108上。
圖5示意性地示出根據本文所述的一個實施方式的iFGPEB腔室500的橫截面圖。腔室500包括:腔室主體502,該腔室主體限定處理容積504;基座506;第一電極508;以及流體容納環512,它們可在某些態樣類似於腔室主體102、處理容積104、基座106、第一電極108和流體容納環112,不同之處在於腔室500的部件經尺寸設定成適應可旋轉桿516以及耦接到可旋轉桿516的第二電極518。可旋轉桿516可旋轉地耦接到軸承構件514。軸承構件514可耦接到腔室主體502,使得軸承構件514圍繞X或Y(水平)軸旋轉。
基板110可設置在第二電極518上,處於如圖5所示非處理位置。圖6示出在處理位置中的圖5的腔室500。已經將基板110接收在第二電極518上的可旋轉桿516可圍繞水平軸旋轉到如圖所示的處理位置。被供應到進一步由流體容納環512限定的處理容積504的流體可為適於部分地或完全浸沒第二電極518的量。可以執行iFGPEB製程,並且可旋轉桿516可旋轉回非處理的位置。軸承構件514還可被配置為繞Z軸(豎直軸)旋轉以使可旋轉桿516和第二電極518自旋來將基板110上餘留的流體排出。
圖7示意性地示出根據本文所述的一個實施方式的浸沒場引導的曝光後烘烤腔室700的橫截面圖。腔室700包括腔室主體702,腔室主體可由金屬材料(諸如鋁、不銹鋼和它們的合金)製成。腔室主體702還可以由各種其他材料形成,諸如例如聚四氟乙烯(PTFE)的聚合物和諸如聚醚醚酮(PEEK)之類的高溫塑膠。主體702包括流體容納環712,該流體容納環可從主體702延伸並且至少部分地限定第一處理容積704。主體702還可包括側壁794和從側壁794延伸的蓋796。主體702、流體容納環712、側壁794和蓋796可以限定第二處理容積754,該第二處理容積在第一處理容積704的徑向外部形成。開口792可由蓋796限定,並且開口792可經尺寸設定成適應基板710從中穿過。
門706可以可操作地耦接到腔室主體702,並設置成與蓋796相鄰。門706可由類似於為腔室主體702選擇的材料的材料形成,並且軸798可以延伸穿過門706。或者,腔室主體702可由第一材料(諸如聚合物)形成,並且門706可由第二材料(諸如金屬材料)形成。門706可耦接到軌道(未圖示),並且門可配置為在X軸上沿軌道來平移。電機(未圖示)可耦接到門和/或軌道,以便幫助門706沿X軸移動。儘管門706被示出為處於處理位置,但是門706可配置為繞Z軸、繞軸798旋轉。在旋轉前,門706可沿X軸移動遠離腔室主體702並在旋轉前清理蓋796。例如,門706可從所示處理位置旋轉90°達到裝載位置,在裝載位置處基板710可從耦接到門706的第一電極708來裝載和卸載。
第一電極708,可類似第一電極108,經尺寸設定成適於將基板710附接在其上。第一電極708還可尺寸設定成允許穿過由蓋796限定的開口792。在一個實施方式中,第一電極708可固定地耦接到門706。在另一實施方式中,第一電極708可旋轉地耦接到門706。在這個實施方式中,電機772可耦接到門706、與第一電極708相對,並且電機772可配置為使第一電極708繞X軸旋轉。第一電極708的旋轉可用來在iFGPEB處理後旋乾基板710。為了執行旋乾,門706可沿X軸平移遠離流體容納環712,使得基板710不會穿過開口792。電機772可經啟動以使第一電極708自旋(其中基板710被卡緊到第一電極)以將流體從基板710的表面移除。
真空源736可與第一電極708的基板接收表面流體連通。真空源736可耦接到導管734,該導管從真空源736延伸穿過門706和第一電極708。一般來說,真空源736被配置為將基板710真空卡緊到第一電極708。熱源764、溫度感測裝置766、電源768和感測裝置770還可經由導管762來耦接到第一電極708。熱源764、溫度感測裝置766、電源768和感測裝置770可類似於如參考圖1更詳細地描述的熱源140、溫度感測裝置142、電源144和感測裝置146那樣配置。
第二電極750可耦接到腔室主體702。流體容納環712可環繞第二電極750以使得第一處理容積704是由第二電極750、流體容納環712和基板710限定(當門706處於處理位置時)。O形環752可耦接到流體容納環712,並且O形環752可由彈性體材料(諸如聚合物等)形成。由O形環752限定的圓周可尺寸設定成適於在基板710處於如圖所示處理位置時,接觸基板710的排除區。O形環752可同樣尺寸設定成接觸基板710的邊緣。透過接觸基板710,可預見的是,O形環752可以防止流體洩露出第一處理容積704並降低或減弱流體進入真空源736的可能性。
製程流體源716可經由導管714流體耦接到第一處理容積704。導管714可從製程流體源716延伸穿過腔室主體702和流體容納環712到達與第一處理容積704相鄰的入口749。閥可以設置在導管714上,在入口749與製程流體源716之間,以便控制處理流體至第一處理容積704的輸送。第一沖洗流體源720還可經由導管714來流體耦接到第一處理容積704。閥722可以設置在導管714上,在入口749與第一沖洗流體源720之間,以便控制沖洗流體至第一處理容積704的輸送。製程流體源716和第一沖洗流體源720可分別類似於如參考圖1描述的製程流體源116和沖洗流體源120。
第一排放口728可經由導管714與第一處理容積704流體連通。閥730可以設置在導管714上,在入口749與排放口728之間。給定腔室700的豎直取向,則經由流體入口749與第一處理容積704流體連通的排放口728可以在將製程流體或沖洗流體從第一處理容積704移除時提供改進的效率。排放裝置735還可經由導管731來與第一處理容積704流體連通。導管731可延伸穿過腔室主體702和流體容納環712到達與第一處理容積704相鄰的流體出口748。閥733可以設置在導管731上,在出口748與排放裝置735之間。
在操作中,製程流體可以從製程流體源716提供到第一處理容積704,並且可以執行iFGPEB製程。在第一處理容積704利用液態製程流體填充時,第一製程容積704中的任何氣態流體可朝流體出口748上升。因此,氣態流體可透過排放裝置735從第一處理容積704移除。在iFGPEB處理後,製程流體可經由流體入口749和排放口728從第一處理容積704移除。任選地,經由第一沖洗流體源720供應到第一處理容積704的沖洗流體隨後可被用於在處理位置的基板710。類似於製程流體,沖洗流體可經由流體入口749和排放口728從第一處理容積704移除。
第二沖洗流體源778可經由導管774與第二處理容積754流體連通。導管774可從第二沖洗流體源778延伸穿過側壁794到達出口780。閥776可以設置在導管774上,在出口780與第二沖洗流體源778之間,以便控制沖洗流體至第二處理容積754的輸送。在一個實施方式中,在處於所示處理位置的基板710的iFGPEB處理後,門706可沿X軸移動遠離處理位置,使得基板710定位在與出口780類似的X軸平面(即,沖洗位置)中。一旦基板710定位在沖洗位置,來自第二沖洗流體源778的沖洗流體就可輸送到第二處理容積754和基板710。在沖洗期間和/或之後,可用電機772使基板710自旋以將沖洗流體和其他流體/顆粒從基板710排出。
第二排放口788亦可與第二處理容積754流體連通。第二排放口788可經由導管784流體耦接到第二處理容積754,該導管從第二排放口788延伸穿過側壁794到達入口790。閥786可以設置在導管784上,在入口790與第二排放口788之間,以便控制流體/顆粒從第二處理容積754的移除。在操作中,來自第二沖洗流體源778的沖洗流體可沖洗基板710並經由第二排放口788從第二處理容積754移除。
淨化氣體源758亦可與第二處理容積754流體連通。該淨化氣體源758可經由導管756流體耦接到第二處理容積754,該導管從淨化氣體源758延伸穿過側壁794到達出口782。閥760可以設置在導管756上,在出口782與淨化氣體源758之間,以便控制淨化氣體至第二處理容積754的輸送。在操作中,淨化氣體可在iFGPEB處理期間和/或基板710的沖洗期間提供,以便防止顆粒積聚在處理容積704、754內。來自淨化氣體源758的淨化氣體可經由排放裝置735從處理容積704、754移除。
圖8示意性地示出根據本文所述的一個實施方式的浸沒場引導的曝光後烘烤腔室800的橫截面圖。腔室800類似於腔室700,然而,腔室800被定向成水平位置,而非豎直位置。具有第一電極708耦接到其上的門802可以可滑動地耦接到升降構件804。門802被示出為處於處理位置,並且可沿Z軸用升降構件804遠離蓋796豎直移動到非處理位置。在非處理位置中,門802可繞X軸旋轉180°,使得第一電極708和基板710被設置在門802上方(即,裝載位置)。在裝載位置中,可將基板定位在第一電極708上和從其上移除。在操作中,基板710可以在門802處於裝載位置時緊固在第一電極708上,並且門可以旋轉180°。升降構件804可使門802沿Z軸下降到所示處理位置,並且可以執行iFGPEB處理。
圖9示出執行iFGPEB製程的方法900的操作。在操作910處,可將基板定位在第一電極上。可以在將基板定位在第一電極上前預熱第一電極。在操作920處,可將製程流體引入到含有基板的處理容積。還可將製程流體在引入處理容積前預熱至處理溫度。在操作930處,可將第二電極移動到處理位置。對第二電極的定位可以在操作920中引入製程流體之前、期間或之後執行。
在操作940處,可經由第一電極和/或第二電極將電場施加到基板。在一個實施方式中,該場可在約60秒與約90秒之間的時間量內施加到基板。在施加場後,在操作950處,可將製程流體排放出去,並且可將沖洗流體引入。沖洗流體可透過使基板自旋來從基板移除,並隨後從處理容積排放出去。可將淨化氣體在沖洗和自旋期間或之後引入到處理容積中。淨化氣體可在利用製程流體和沖洗流體後提供改善的顆粒減少。還可使第二電極返回非處理位置,並且可從處理腔室移除基板。在從處理腔室中移除後,可將基板定位在冷卻基座上,以在後續處理前將基板冷卻到室溫。
儘管上述內容針對本案揭露內容的實施方式,但亦可在不脫離本案揭露內容的基本範圍的情況下設計本案揭露內容的另外和進一步的實施方式,並且本案揭露內容的範圍是由隨附申請專利範圍來確定。
100:處理腔室 102:腔室主體 104:處理容積 106:基座 108:第一電極 110:基板 112:流體容納環 114:導管 116:製程流體源 118:閥 120:沖洗流體源 122:閥 124:流體出口 126:導管 128:排放口 130:閥 132:流體入口 134:導管 136:真空源 138:導管 140:熱源 142:溫度感測裝置 144:電源 146:感測裝置 148:狹縫閥 150:狹縫閥門 152:桿 154:第二電極 156:導管 158:淨化氣體源 160:閥 162:導管 164:感測裝置 166:電源 168:溫度感測裝置 170:熱源 172:泵 174:距離 300:腔室 302:第三電極 304:介電質容納環 306:流體出口 308:O形環 310:期望區域 312:期望區域 400:腔室 402:第四電極 404:介電質容納環 408:O形環 410:區域 412:區域 414:導管 416:流體出口 418:排放裝置 500:腔室 502:腔室主體 504:處理容積 506:基座 508:第一電極 512:流體容納環 514:軸承構件 516:桿 518:第二電極 700:腔室 702:腔室主體 704:第一處理容積 706:門 708:第一電極 710:基板 712:流體容納環 714:導管 716:製程流體源 720:第一沖洗流體源 722:閥 728:第一排放口 730:閥 731:導管 733:閥 734:導管 735:排放裝置 736:真空源 748:流體出口 749:入口 750:第二電極 752:O形環 754:第二處理容積 756:導管 758:淨化氣體源 760:閥 762:導管 764:熱源 766:溫度感測裝置 768:電源 770:感測裝置 772:電機 774:導管 776:閥 778:第二沖洗流體源 780:出口 782:出口 784:導管 786:閥 788:第二排放口 790:入口 792:開口 794:側壁 796:蓋 798:軸 800:腔室 802:門 804:升降構件 900:方法 910:操作 920:操作 930:操作 940:操作 950:操作
因此,為了能夠詳細理解本案揭露內容的上述特徵結構所用方式,上文所簡要概述的本案揭露內容的更具體的描述可以參考各個實施方式進行,一些實施方式例示在附圖中。然而,應當注意,附圖僅僅示出示例性的實施方式,並且因此不應視為限制它的範圍,亦可允許其他等效實施方式。
圖1示意性地示出根據本文所述的一個實施方式的浸沒場引導的曝光後烘烤腔室的橫截面圖。
圖2示意性地示出根據本文所述的一個實施方式的在處理位置中的圖1的腔室的橫截面圖。
圖3示意性地示出根據本文所述的一個實施方式的浸沒場引導的曝光後烘烤腔室的橫截面圖。
圖4示意性地示出根據本文所述的一個實施方式的浸沒場引導的曝光後烘烤腔室的橫截面圖。
圖5示意性地示出根據本文所述的一個實施方式的浸沒場引導的曝光後烘烤腔室的橫截面圖。
圖6示意性地示出根據本文所述的一個實施方式的在處理位置中的圖5的腔室的橫截面圖。
圖7示意性地示出根據本文所述的一個實施方式的浸沒場引導的曝光後烘烤腔室的橫截面圖。
圖8示意性地示出根據本文所述的一個實施方式的浸沒場引導的曝光後烘烤腔室的橫截面圖。
圖9示出根據本文所述的一個實施方式的用於執行浸沒式曝光後烘烤製程的方法的操作。
為了促進理解,已儘可能使用相同附圖標記指定各圖所共有的相同元件。應預見到,一個實施方式的要素和特徵可有利地併入其他實施方式,而無需進一步敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
700:腔室
702:腔室主體
704:第一處理容積
706:門
708:第一電極
710:基板
712:流體容納環
714:導管
716:製程流體源
720:第一沖洗流體源
722:閥
728:第一排放口
730:閥
731:導管
733:閥
734:導管
735:排放裝置
736:真空源
748:流體出口
749:入口
750:第二電極
752:O形環
754:第二處理容積
756:導管
758:淨化氣體源
760:閥
762:導管
764:熱源
766:溫度感測裝置
768:電源
770:感測裝置
772:電機
774:導管
776:閥
778:第二沖洗流體源
780:出口
782:出口
784:導管
786:閥
788:第二排放口
790:入口
792:開口
794:側壁
796:蓋
798:軸

Claims (20)

  1. 一種基板處理方法,包含以下步驟: 將一基板定位在一處理容積內的一第一電極上; 將一第二電極移動到與該基板相鄰的一處理位置; 將一製程流體引入到該處理容積; 在該第一電極與該第二電極之間生成一電場,以及經由該製程流體將該電場施加到該基板;以及 從該處理容積移除該製程流體。
  2. 如請求項1所述的方法,進一步包含以下步驟: 將一沖洗流體引入到該處理容積; 旋轉該基板,以從該基板移除該沖洗流體;以及 從該處理容積移除該沖洗流體。
  3. 如請求項2所述的方法,其中在旋轉該基板期間,將一淨化流體引入到該處理容積。
  4. 如請求項2所述的方法,其中在從該處理容積移除該沖洗流體期間,將一淨化流體引入到該處理。
  5. 如請求項1所述的方法,其中在將一基板定位在該第一電極上之前,預熱該第一電極。
  6. 如請求項1所述的方法,其中在將一製程流體引入到該處理容積之前,預熱該處理容積。
  7. 如請求項1所述的方法,其中在將一製程流體引入到該處理容積之前、期間或之後,執行將一第二電極移動到一處理位置之步驟。
  8. 如請求項1所述的方法,其中將該電場施加到該基板之步驟係執行約60秒與約90秒之間的一時間量。
  9. 如請求項1所述的方法,進一步包含以下步驟: 從該處理容積移除該基板;以及 將該基板定位在一冷卻基座上。
  10. 一種基板處理方法,包含以下步驟: 將一基板定位在一處理容積內的一第一電極上; 將該第一電極移動到與設置在該處理容積中的一第二電極相鄰的一處理位置; 將一製程流體引入到該基板與該第二電極之間的該處理容積;以及 在該第一電極與該第二電極之間生成一電場,以及經由該製程流體將該電場施加到該基板。
  11. 如請求項10所述的方法,進一步包含以下步驟: 從該處理容積移除該製程流體; 將一沖洗流體引入到該處理容積; 旋轉該基板,以從該基板移除該沖洗流體;以及 從該處理容積移除該沖洗流體。
  12. 如請求項11所述的方法,其中在旋轉該基板期間或者在從該處理容積移除該沖洗流體期間,將一淨化流體引入到該處理容積。
  13. 如請求項10所述的方法,其中在將一基板定位在該第一電極上之前或者在將一製程流體引入到該處理容積之前,預熱該第一電極。
  14. 如請求項10所述的方法,其中在將一製程流體引入到該處理容積之前、期間或之後,執行將該第一電極移動到一處理位置之步驟。
  15. 一種基板處理方法,包含以下步驟: 將一基板定位在耦接到一腔室門的一第一電極上; 將該腔室門旋轉到一處理位置,其中該基板的一主軸線係為垂直定向; 將一製程流體輸送到一處理容積,其中該處理容積至少部分藉由腔室側壁與一第二電極來限定; 在該第一電極與該第二電極之間生成一電場,以及經由該製程流體將該電場施加到該基板。
  16. 如請求項15所述的方法,其中將一基板定位在一第一電極上之步驟包含以下步驟:將該基板真空卡緊到該第一電極。
  17. 如請求項15所述的方法,其中經由該等腔室側壁中所形成的一流體入口將該製程流體輸送到該處理容積。
  18. 如請求項15所述的方法,進一步包含以下步驟: 從該處理容積移除該製程流體; 將一沖洗流體引入到該處理容積; 旋轉該基板,以從該基板移除該沖洗流體;以及 從該處理容積移除該沖洗流體。
  19. 如請求項18所述的方法,其中在旋轉該基板期間或者在從該處理容積移除該沖洗流體期間,將一淨化流體引入到該處理容積。
  20. 如請求項15所述的方法,其中在將一基板定位在該第一電極上之前或者在將一製程流體引入到該處理容積之前,預熱該第一電極。
TW109145433A 2015-11-30 2016-11-29 用於光刻膠晶圓的曝光後處理的方法和裝置 TWI762098B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562261171P 2015-11-30 2015-11-30
US62/261,171 2015-11-30
US201562267531P 2015-12-15 2015-12-15
US62/267,531 2015-12-15
US14/989,488 US10203604B2 (en) 2015-11-30 2016-01-06 Method and apparatus for post exposure processing of photoresist wafers
US14/989,488 2016-01-06

Publications (2)

Publication Number Publication Date
TW202119140A true TW202119140A (zh) 2021-05-16
TWI762098B TWI762098B (zh) 2022-04-21

Family

ID=59106166

Family Applications (3)

Application Number Title Priority Date Filing Date
TW105139189A TWI717423B (zh) 2015-11-30 2016-11-29 用於光刻膠晶圓的曝光後處理的方法和裝置
TW111110266A TWI806503B (zh) 2015-11-30 2016-11-29 用於光刻膠晶圓的曝光後處理的裝置
TW109145433A TWI762098B (zh) 2015-11-30 2016-11-29 用於光刻膠晶圓的曝光後處理的方法和裝置

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW105139189A TWI717423B (zh) 2015-11-30 2016-11-29 用於光刻膠晶圓的曝光後處理的方法和裝置
TW111110266A TWI806503B (zh) 2015-11-30 2016-11-29 用於光刻膠晶圓的曝光後處理的裝置

Country Status (5)

Country Link
US (4) US10203604B2 (zh)
JP (2) JP6791732B2 (zh)
KR (1) KR20170066248A (zh)
CN (2) CN113835299A (zh)
TW (3) TWI717423B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US10203604B2 (en) * 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
KR20180000928A (ko) * 2016-06-24 2018-01-04 세메스 주식회사 가열 처리 유닛, 이를 갖는 베이크 장치 및 이를 이용한 기판 처리 방법
US9958782B2 (en) 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US9964863B1 (en) * 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10615058B2 (en) * 2016-12-29 2020-04-07 Applied Materials, Inc. Apparatus for field guided acid profile control in a photoresist layer
US11650506B2 (en) 2019-01-18 2023-05-16 Applied Materials Inc. Film structure for electric field guided photoresist patterning process
KR20220020961A (ko) * 2019-07-17 2022-02-21 어플라이드 머티어리얼스, 인코포레이티드 노출 후 프로세싱을 위한 방법들 및 장치
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
US20220199414A1 (en) * 2020-12-18 2022-06-23 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
KR20230144083A (ko) 2021-02-15 2023-10-13 어플라이드 머티어리얼스, 인코포레이티드 포토레지스트의 노광 후 베이크 장치
US11815816B2 (en) 2021-02-15 2023-11-14 Applied Materials, Inc. Apparatus for post exposure bake of photoresist

Family Cites Families (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4007987A (en) 1976-01-12 1977-02-15 Tamarack Scientific Co. Inc. Vacuum contact printing system and process for electronic circuit photomask replication
US4743570A (en) 1979-12-21 1988-05-10 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
JPS61232612A (ja) 1985-04-08 1986-10-16 Semiconductor Energy Lab Co Ltd 気相反応装置
JPS61124940A (ja) * 1984-11-22 1986-06-12 Fujitsu Ltd レジスト膜の現像方法
JPS633417A (ja) * 1986-06-24 1988-01-08 Nec Corp レジストの現像方法
JPS63182817A (ja) * 1987-01-26 1988-07-28 Hitachi Ltd 現像処理方法
JPH03159114A (ja) 1989-11-16 1991-07-09 Mitsubishi Electric Corp 微細パターンの形成方法
JPH0442917A (ja) * 1990-06-06 1992-02-13 Matsushita Electron Corp フォトレジストの現像方法
JPH0469920A (ja) * 1990-07-10 1992-03-05 Tokyo Electron Ltd 現像装置
JPH0855776A (ja) * 1994-08-11 1996-02-27 Hitachi Ltd パターン形成方法およびパターン形成装置
JPH0864498A (ja) * 1994-08-23 1996-03-08 Victor Co Of Japan Ltd 自動現像方法及び装置
JP3337563B2 (ja) * 1994-08-30 2002-10-21 三菱電機株式会社 現像処理方法
JPH08250488A (ja) * 1995-01-13 1996-09-27 Seiko Epson Corp プラズマ処理装置及びその方法
JP3964475B2 (ja) * 1995-04-19 2007-08-22 東京エレクトロン株式会社 基板の処理方法と装置
US6288174B1 (en) 1995-07-07 2001-09-11 Mitsubishi Rayon Co., Ltd. Powdery material and modifier for cementitious material
JP3583552B2 (ja) * 1996-06-18 2004-11-04 東京エレクトロン株式会社 処理装置及び処理方法
JPH10135127A (ja) * 1996-10-31 1998-05-22 Canon Sales Co Inc 基板現像装置
TW464944B (en) 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
KR100239440B1 (ko) 1997-08-20 2000-01-15 김영환 화학 증폭형 포토레지스트의 패터닝 방법
US5898179A (en) * 1997-09-10 1999-04-27 Orion Equipment, Inc. Method and apparatus for controlling a workpiece in a vacuum chamber
JPH11102855A (ja) * 1997-09-29 1999-04-13 Toshiba Corp レジスト現像装置およびレジスト現像方法
KR100557579B1 (ko) 1997-11-05 2006-05-03 에스케이 주식회사 박막제조장치
US6097402A (en) 1998-02-10 2000-08-01 Intel Corporation System and method for placement of operands in system memory
JPH11345757A (ja) * 1998-06-01 1999-12-14 Toshiba Corp レジストの現像方法
US6187152B1 (en) * 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
JP2000164505A (ja) * 1998-11-24 2000-06-16 Tokyo Electron Ltd 液処理装置
KR100748798B1 (ko) * 1999-05-06 2007-08-13 동경 엘렉트론 주식회사 플라즈마 에칭 장치
DE60031540T2 (de) * 1999-06-28 2007-05-16 California Institute Of Technology, Pasadena Mikromechanische pump- und ventilsysteme
KR100811964B1 (ko) 2000-09-28 2008-03-10 동경 엘렉트론 주식회사 레지스트 패턴 형성장치 및 그 방법
JP3616748B2 (ja) * 2000-11-07 2005-02-02 東京エレクトロン株式会社 現像処理方法,現像処理装置及び処理装置
JP3696156B2 (ja) 2000-12-26 2005-09-14 株式会社東芝 塗布膜の加熱装置、レジスト膜の処理方法
US6686132B2 (en) 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US6841342B2 (en) 2001-08-08 2005-01-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP4251830B2 (ja) * 2001-08-08 2009-04-08 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
JP2003156858A (ja) 2001-11-22 2003-05-30 Tokyo Electron Ltd 基板処理方法及び基板処理システム
JP2003324064A (ja) * 2002-03-01 2003-11-14 Tokyo Electron Ltd 現像処理方法及び現像処理装置
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US6846519B2 (en) 2002-08-08 2005-01-25 Blue29, Llc Method and apparatus for electroless deposition with temperature-controlled chuck
US7584760B2 (en) 2002-09-13 2009-09-08 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
SG129259A1 (en) * 2002-10-03 2007-02-26 Asml Netherlands Bv Radiation source lithographic apparatus, and device manufacturing method
JP3970815B2 (ja) 2002-11-12 2007-09-05 シャープ株式会社 半導体素子製造装置
KR100605788B1 (ko) 2002-12-30 2006-07-31 주식회사 하이닉스반도체 전기장을 이용한 수직한 포토레지스트 패턴의 형성방법
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20040206373A1 (en) 2003-04-18 2004-10-21 Applied Materials, Inc. Spin rinse dry cell
JP2005048259A (ja) 2003-07-31 2005-02-24 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7187796B1 (en) 2003-10-01 2007-03-06 Advanced Micro Devices, Inc. Systems and methods that employ exposure compensation to provide uniform CD control on reticle during fabrication
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
JP4282500B2 (ja) 2004-01-29 2009-06-24 株式会社東芝 構造検査方法及び半導体装置の製造方法
JP4450371B2 (ja) * 2004-04-28 2010-04-14 東京エレクトロン株式会社 基板洗浄装置及び基板洗浄方法
US7214600B2 (en) 2004-06-25 2007-05-08 Applied Materials, Inc. Method to improve transmittance of an encapsulating film
JP4209819B2 (ja) * 2004-07-15 2009-01-14 東京エレクトロン株式会社 基板加熱装置及び基板加熱方法
JP4343050B2 (ja) 2004-07-15 2009-10-14 東京エレクトロン株式会社 現像処理装置及びその方法
JP4271095B2 (ja) * 2004-07-15 2009-06-03 東京エレクトロン株式会社 基板加熱装置及び基板加熱方法
JP3923057B2 (ja) * 2004-08-19 2007-05-30 東京エレクトロン株式会社 現像処理方法
JP5184890B2 (ja) * 2004-12-21 2013-04-17 アプライド マテリアルズ インコーポレイテッド 基板のための処理チャンバ
US7780813B2 (en) 2005-06-09 2010-08-24 Alcatel-Lucent Usa Inc. Electric field mediated chemical reactors
US7972761B2 (en) 2006-08-04 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist materials and photolithography process
US7541137B2 (en) 2005-12-19 2009-06-02 Beach James V Resist resolution using anisotropic acid diffusion
US20070139855A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Lithographic apparatus and method of manufacturing an electrostatic clamp for a lithographic apparatus
JP4854317B2 (ja) * 2006-01-31 2012-01-18 東京エレクトロン株式会社 基板処理方法
US20080050679A1 (en) 2006-02-22 2008-02-28 Sokudo Co., Ltd. Methods and systems for performing immersion processing during lithography
JP2008034779A (ja) * 2006-06-27 2008-02-14 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
US7838205B2 (en) 2006-07-07 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Utilization of electric field with isotropic development in photolithography
WO2008041601A1 (fr) 2006-09-29 2008-04-10 Tokyo Electron Limited Procédé d'oxydation par plasma, appareil d'oxydation par plasma et support de stockage
JP4859229B2 (ja) 2006-12-08 2012-01-25 東京エレクトロン株式会社 熱処理装置
JP2008153521A (ja) 2006-12-19 2008-07-03 Dainippon Screen Mfg Co Ltd 回収カップ洗浄方法および基板処理装置
DE102006062031B3 (de) 2006-12-29 2008-06-19 Advanced Micro Devices, Inc., Sunnyvale System zum Antreiben und Steuern einer bewegbaren Elektrodenanordnung in einer elektro-chemischen Prozessanlage
US7694688B2 (en) 2007-01-05 2010-04-13 Applied Materials, Inc. Wet clean system design
JP5109376B2 (ja) * 2007-01-22 2012-12-26 東京エレクトロン株式会社 加熱装置、加熱方法及び記憶媒体
DE102007022431A1 (de) 2007-05-09 2008-11-13 Leybold Optics Gmbh Behandlungssystem für flache Substrate
US7864502B2 (en) 2007-05-15 2011-01-04 International Business Machines Corporation In situ monitoring of wafer charge distribution in plasma processing
US8636458B2 (en) 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
KR20090044420A (ko) 2007-10-31 2009-05-07 주식회사 하이닉스반도체 반도체 소자 제조용 플라즈마 공정 장치
WO2009079874A1 (en) 2007-12-10 2009-07-02 Acm Research (Shanghai) Inc. Methods and apparatus for cleaning semiconductor wafers
JP2009277767A (ja) * 2008-05-13 2009-11-26 Fujikura Ltd フォトレジストの現像方法および装置
JP5128421B2 (ja) * 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
JP2010087116A (ja) * 2008-09-30 2010-04-15 Sokudo Co Ltd 基板処理装置
JP5401255B2 (ja) 2008-11-05 2014-01-29 東京エレクトロン株式会社 洗浄装置、洗浄方法、および記憶媒体
US8097402B2 (en) 2009-03-31 2012-01-17 Tokyo Electron Limited Using electric-field directed post-exposure bake for double-patterning (D-P)
KR101050463B1 (ko) 2009-05-07 2011-07-20 삼성모바일디스플레이주식회사 플라즈마 처리 장치
US20110061812A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5606063B2 (ja) 2009-12-28 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
US20110269314A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Process chambers having shared resources and methods of use thereof
KR20130141550A (ko) 2010-10-27 2013-12-26 어플라이드 머티어리얼스, 인코포레이티드 포토레지스트 선폭 거칠기를 조절하기 위한 방법들 및 장치
US8288174B1 (en) 2011-03-24 2012-10-16 Tokyo Electron Limited Electrostatic post exposure bake apparatus and method
JP5375871B2 (ja) 2011-04-18 2013-12-25 東京エレクトロン株式会社 液処理装置、液処理方法、コンピュータプログラムを格納した記憶媒体
WO2012173699A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
JP5419933B2 (ja) * 2011-07-05 2014-02-19 東京エレクトロン株式会社 基板処理装置、基板処理方法及びその基板処理方法を実行させるためのプログラムを記録した記憶媒体
JP5844681B2 (ja) 2011-07-06 2016-01-20 東京エレクトロン株式会社 基板液処理装置及び基板液処理方法
JP6051919B2 (ja) 2012-04-11 2016-12-27 東京エレクトロン株式会社 液処理装置
US20130333616A1 (en) 2012-06-18 2013-12-19 Tel Solar Ag Plasma processing system with movable chamber housing parts
CN104813440A (zh) * 2012-09-26 2015-07-29 应用材料公司 于基板处理系统中控制温度
JP6017928B2 (ja) * 2012-11-09 2016-11-02 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP6026241B2 (ja) * 2012-11-20 2016-11-16 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US8883029B2 (en) 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
WO2014159222A1 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Methods and apparatus for processing a substrate using a selectively grounded and movable process kit ring
JP5602903B2 (ja) 2013-03-14 2014-10-08 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
CN107833848B (zh) 2013-04-30 2021-12-07 应用材料公司 具有空间分布的气体通道的气流控制衬垫
JP2015023048A (ja) 2013-07-16 2015-02-02 株式会社Screenホールディングス 基板処理装置および基板処理方法
WO2015042302A1 (en) 2013-09-20 2015-03-26 Applied Materials, Inc. Substrate carrier with integrated electrostatic chuck
JP6229933B2 (ja) 2013-09-27 2017-11-15 株式会社Screenホールディングス 処理カップ洗浄方法、基板処理方法および基板処理装置
KR101525210B1 (ko) 2013-12-20 2015-06-05 주식회사 유진테크 기판 처리장치
US9377692B2 (en) * 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
US9280070B2 (en) * 2014-07-10 2016-03-08 Applied Materials, Inc. Field guided exposure and post-exposure bake process
US9798240B2 (en) * 2014-07-10 2017-10-24 Applied Materials, Inc. Controlling photo acid diffusion in lithography processes
US9366966B2 (en) * 2014-07-10 2016-06-14 Applied Materials, Inc. Electric/magnetic field guided acid profile control in a photoresist layer
US9733579B2 (en) * 2014-10-15 2017-08-15 Applied Materials, Inc. Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US10332761B2 (en) 2015-02-18 2019-06-25 SCREEN Holdings Co., Ltd. Substrate processing apparatus
US9823570B2 (en) * 2015-04-02 2017-11-21 Applied Materials, Inc. Field guided post exposure bake application for photoresist microbridge defects
US9864276B2 (en) * 2015-04-07 2018-01-09 Applied Materials, Inc. Laser annealing and electric field
US9829790B2 (en) * 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US9927709B2 (en) * 2015-10-02 2018-03-27 Applied Materials, Inc. Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
WO2017059645A1 (zh) 2015-10-09 2017-04-13 北京北方微电子基地设备工艺研究中心有限责任公司 加热装置以及加热腔室
US10203604B2 (en) * 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
JP6614610B2 (ja) 2016-02-12 2019-12-04 株式会社Screenホールディングス 基板処理装置
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US9996006B2 (en) * 2016-10-14 2018-06-12 Applied Materials, Inc. Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
KR101927699B1 (ko) * 2016-10-31 2018-12-13 세메스 주식회사 기판 처리 장치 및 방법
US9964863B1 (en) * 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10615058B2 (en) * 2016-12-29 2020-04-07 Applied Materials, Inc. Apparatus for field guided acid profile control in a photoresist layer
JP2023088160A (ja) * 2021-12-14 2023-06-26 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Also Published As

Publication number Publication date
US20170154797A1 (en) 2017-06-01
US10203604B2 (en) 2019-02-12
US11112697B2 (en) 2021-09-07
TW202232686A (zh) 2022-08-16
CN113835299A (zh) 2021-12-24
US11899366B2 (en) 2024-02-13
US20190187563A1 (en) 2019-06-20
TWI762098B (zh) 2022-04-21
JP2021057596A (ja) 2021-04-08
US20170363960A1 (en) 2017-12-21
JP7297727B2 (ja) 2023-06-26
TWI717423B (zh) 2021-02-01
TW201729014A (zh) 2017-08-16
JP6791732B2 (ja) 2020-11-25
JP2017152679A (ja) 2017-08-31
US10474033B2 (en) 2019-11-12
CN106814556A (zh) 2017-06-09
TWI806503B (zh) 2023-06-21
US20220004104A1 (en) 2022-01-06
CN106814556B (zh) 2021-10-19
KR20170066248A (ko) 2017-06-14

Similar Documents

Publication Publication Date Title
TWI717423B (zh) 用於光刻膠晶圓的曝光後處理的方法和裝置
US11550224B2 (en) Apparatus for post exposure bake
CN110036346B (zh) 曝光后处理设备