CN105719952A - 用于电介质蚀刻应用的集成蚀刻/清洁 - Google Patents

用于电介质蚀刻应用的集成蚀刻/清洁 Download PDF

Info

Publication number
CN105719952A
CN105719952A CN201510974810.1A CN201510974810A CN105719952A CN 105719952 A CN105719952 A CN 105719952A CN 201510974810 A CN201510974810 A CN 201510974810A CN 105719952 A CN105719952 A CN 105719952A
Authority
CN
China
Prior art keywords
plasma
etch
substrate
etching operation
exposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510974810.1A
Other languages
English (en)
Other versions
CN105719952B (zh
Inventor
雷扎·阿尔加瓦尼
沙尚克·C·德希穆克
埃里克·A·赫德森
汤姆·坎普
萨曼莎·坦
格拉尔多·阿德里安·德尔加迪诺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105719952A publication Critical patent/CN105719952A/zh
Application granted granted Critical
Publication of CN105719952B publication Critical patent/CN105719952B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

本发明涉及于电介质蚀刻应用的集成蚀刻/清洁。本发明的实施方式涉及用于在电介质材料中蚀刻凹入特征的方法和装置。在各种实施方式中,凹入特征在两个蚀刻操作中形成。第一蚀刻操作部分地蚀刻特征,并且可在配置成产生电容耦合等离子体反应器中进行。第一蚀刻操作可以在由于离子穿透通过半导体材料之上的电介质而导致下伏的半导体材料经历实质性损害之前结束。第二蚀刻操作可以在配置成产生电感耦合等离子体反应器中进行。第一和第二蚀刻操作本身可以是多步骤的、循环的工艺。

Description

用于电介质蚀刻应用的集成蚀刻/清洁
技术领域
本发明总体上涉及半导体领域,更具体涉及用于电介质蚀刻应用的集成蚀刻/清洁。
背景技术
各种半导体器件的制造涉及执行接触蚀刻,其中电介质材料被蚀刻以形成凹部,随后在该凹部中形成电触点。随着器件尺寸持续缩小,此接触蚀刻越来越难以以足够的选择性和可接受的对设备的低损坏程度来执行。
发明内容
某些实施方式涉及用于在电介质材料中蚀刻凹入特征的方法和装置。通常情况下,电介质材料位于半导体材料上,该半导体材料对来自离子的损害是灵敏的。可以使用两个基本的蚀刻操作来形成凹入特征,第一蚀刻操作用电容耦合等离子体执行,而第二蚀刻操作用感应耦合等离子体执行。第一蚀刻操作通常在来自电容耦合等离子体的离子可以基本上穿透通过剩余的电介质材料从而损坏下伏的半导体材料之前停止。第二蚀刻操作在不太可能损坏下伏的半导体材料的较温和的条件下完成对凹入特征的蚀刻。以这种方式,对下伏的半导体材料的损坏被避免,并且既不需要去除或也不需要修复受损的半导体材料。
在本文的实施方式的一个方面,提供了一种在半导体衬底中蚀刻凹入特征的方法,该方法包括:提供包括在半导体材料上的电介质材料的衬底到第一反应室中,其中,所述凹入特征将在所述电介质材料中形成;在所述第一反应室中执行第一蚀刻操作以将所述电介质材料中的所述凹入特征蚀刻到第一深度,所述第一蚀刻操作包括使所述衬底暴露于包括在所述电介质材料中具有平均自由程的第一组离子的第一等离子体,所述第一等离子体是电容耦合等离子体,其中在所述第一蚀刻操作之后,在所述第一蚀刻深度下且在所述半导体材料上方的剩余电介质材料具有至少是约所述电介质材料中的所述第一组离子的平均自由程的厚度;将所述衬底从所述第一反应室传送到第二反应室;在传送所述衬底之后,在第二反应室中执行第二蚀刻操作以将所述凹入特征蚀刻至最终深度,其中所述第二蚀刻操作是包括使衬底暴露于第二等离子体的原子层蚀刻操作,所述第二等离子体是感应耦合等离子体。
在一些实施方式中,所述第二蚀刻操作包括:使所述衬底暴露于蚀刻反应物,并使所述蚀刻反应物能吸附到所述衬底的表面上,从所述第二反应室去除未被吸附的蚀刻反应物,使所述衬底暴露于所述第二等离子体以驱动在被吸附的蚀刻反应物中的物质和在所述凹入特征中的电介质材料之间的反应,从而去除所述电介质材料的一部分,以及重复使所述衬底暴露于蚀刻反应物、去除所述未被吸附的蚀刻反应物,以及使所述衬底暴露于所述第二等离子体这些步骤,直到所述凹入特征达到最终深度。所述蚀刻反应物可以包括碳氟化合物。在多种情形下,当所述凹入特征达到最终蚀刻深度时,所述半导体材料暴露。
在一些情形下,所述第一蚀刻操作包括:使所述衬底暴露于碳氟化合物等离子体以在所述衬底上沉积碳氟聚合物层,以及使所述衬底暴露于所述第一等离子体以蚀刻所述碳氟聚合物和电介质。在一些实施方式中,使所述衬底暴露于所述第一等离子体包括执行碳氟聚合物溅射阶段以及执行激活的蚀刻阶段。使所述衬底暴露于所述第一等离子体还可以包括在所述碳氟聚合物基本上在所述激活的蚀刻阶段去除后执行纯溅射阶段。在某些实施方式中,在所述碳氟聚合物溅射阶段和激活的蚀刻阶段期间,用于产生所述第一等离子体的总的RF功率为约900瓦或小于900瓦,在所述第一反应室中的压强是介于约10-40毫托之间,并且所述衬底保持介于约60-110℃之间。在碳氟聚合物溅射阶段期间,所述第一等离子体可以从以介于约20-2000sccm之间的速率流动的惰性气体产生,以及,在所述激活的蚀刻阶段期间,所述第一等离子体可以从以介于约40-1000sccm之间的速率流动的惰性气体产生。在一些实施方式中,用于生成所述碳氟化合物等离子体的气体包括碳氟化合物和惰性气体,并且,其中用于产生所述第一等离子体的气体包括惰性气体。例如,在一些情形下,用于产生所述第一等离子体的气体基本上不包括非惰性物质。
通常当在所述第一蚀刻深度下且在所述半导体材料上方剩余的所述电介质材料至少与所述电介质材料中的所述第一组离子的平均自由程一样厚时,使所述第一蚀刻操作停止。在一些情形下,在所述电介质材料中的第一组离子的平均自由程介于约1-10纳米之间。在一些情形下,在所述电介质材料中的第一组离子的平均自由程介于约2-5纳米之间。
在多种实施方式中,所述电介质材料是氧化硅。所述凹入特征可以是接触孔,随后在所述接触孔中形成触点。在某些情形中,所述凹入特征为用于FinFET的接触孔。经蚀刻的所述特征可以具有特定的尺寸。在一些情形下,例如,当所述凹入特征处于最终深度时,经蚀刻的所述特征具有介于约7-30纳米之间的宽度。在一些情形下,所述最终深度可以是介于约40-150纳米之间。进一步,当所述凹入特征处于最终深度时,所述蚀刻特征可以具有介于约4:1和12:1之间的高度:宽度的高宽比。
在一特定的实施方式中,所述第一组离子具有在所述电介质材料中的最大穿透深度,并且在所述第一蚀刻操作之后,在所述第一蚀刻深度下且在所述半导体材料上方的所述剩余电介质材料的厚度至少是约为所述电介质材料中的所述第一组离子的最大穿透深度。
在所公开的实施方式的另一个方面,提供了一种在半导体衬底上的电介质材料中蚀刻凹入特征的装置,该装置包括:第一反应室,其包括用于产生电容耦合等离子体的第一等离子体发生器;第二反应室,其包括用于产生感应耦合等离子体的第二等离子体发生器,其中所述第一和第二反应室在群集工具中被一起提供;以及一个或多个控制器,其用于控制在所述第一和第二反应室中的工艺,所述一个或多个控制器包括用于下述操作的指令:在所述第一反应室中执行第一蚀刻操作以将所述电介质材料中的所述凹入特征蚀刻到第一深度,所述第一蚀刻操作包括:使所述衬底暴露于包括在所述电介质材料中具有平均自由程的第一组离子的第一等离子体,以及在所述第一蚀刻深度下且在所述半导体材料上方剩余的所述电介质材料达到将小于所述电介质材料中的所述第一组离子的平均自由程的厚度前,使所述第一蚀刻操作停止;将所述衬底从所述第一反应室传送到所述第二反应室;以及在所述第二反应室中执行第二蚀刻操作以将所述电介质材料中的所述凹入特征蚀刻至最终深度,所述第二蚀刻操作是原子层蚀刻处理,所述原子层蚀刻处理包括:使所述衬底暴露于第二等离子体,所述第二等离子体是感应耦合等离子体。
在一些实施方式中,用于执行所述第二蚀刻操作的所述指令进一步包括用于下述操作的指令:使所述衬底暴露于蚀刻反应物,并使所述蚀刻反应物能吸附到所述衬底的表面上,从所述第二反应室去除未被吸附的蚀刻反应物,使所述衬底暴露于所述第二等离子体以驱动在所述被吸附的反应物中的物质和在所述凹入特征中的电介质材料之间的反应,从而去除所述电介质材料的一部分,以及重复使所述衬底暴露于蚀刻反应物、去除所述未被吸附的蚀刻反应物,以及使所述衬底暴露于所述第二等离子体这些步骤,直到所述凹入特征达到最终深度。
用于执行所述第一蚀刻操作的所述指令可以在不同的情形下包括用于下述操作的指令:使所述衬底暴露于碳氟化合物等离子体以在所述衬底上沉积碳氟聚合物层,以及使所述衬底暴露于所述第一等离子体以蚀刻所述碳氟聚合物和电介质。用于使所述衬底暴露于所述第一等离子体的指令可以包括用于执行碳氟聚合物溅射阶段以及激活的蚀刻阶段的指令。用于使所述衬底暴露于所述第一等离子体的指令可以进一步包括用于在所述碳氟聚合物基本上在所述激活的蚀刻阶段去除后执行纯溅射阶段的指令。
在一些实现方式中,用于执行所述碳氟聚合物溅射阶段的指令包括用以进行下述操作的指令:使惰性气体以介于约20-2000sccm之间的速率流动以产生所述第一等离子体,供应约900瓦或小于900瓦的总的RF功率以产生所述第一等离子体,在所述第一反应室中将压强保持在介于约10-40毫托之间,以及将所述衬底保持在介于约60-110℃之间的温度下,并且用于执行所述激活的蚀刻阶段的指令包括用以执行以下操作的指令:使惰性气体以介于约40-1000sccm之间的速率流动以产生所述第一等离子体,供应约900瓦或小于900瓦的总的RF功率以产生所述第一等离子体,在所述第一反应室中将压强保持在介于约10-40毫托之间,以及将所述衬底保持在介于约60-110℃之间的温度下。在一些情形下,用于执行所述第一蚀刻操作的所述指令进一步包括用以在所述第一蚀刻深度介于约40-150纳米之间时停止所述第一蚀刻操作的指令。
这些和其它特征将在下面参照附图进行描述。
附图说明
图1A-1C描绘了在如本文所述的蚀刻工艺期间在不同阶段的部分制造的半导体器件。
图2是根据各种公开的实施方式的蚀刻凹入特征的方法的流程图。
图3A示出了用于根据某些实施方式执行第一蚀刻操作的时序图。
图3B描绘了在一些实施方式中的第一蚀刻操作期间部分制造的半导体装置简化视图。
图3C是根据各种实施方式执行第一蚀刻操作的流程图。
图4A-4E示出了如本文所公开的第二蚀刻操作期间部分制造的半导体器件的简化图。
图4F是说明在某些实施方式中执行第二蚀刻操作的方法的流程图。
图5A-5C描绘了用于在某些实施方式中执行第一蚀刻操作的反应室的横截面图。
图6描绘了用于在某些实施方式中执行第二蚀刻操作的反应室的横截面图。
图7示出了用于执行本文的各种方法的群集工具。
具体实施方式
在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域的普通技术人员将会理解,术语“部分制造的集成电路”可以指在其上面进行集成电路制造的许多阶段中的任何阶段期间的硅晶片。在半导体器件工业中使用的晶片或衬底典型地具有200毫米或300毫米或450毫米的直径。下面的详细描述假设本发明是在晶片上实现的。然而,本发明并不限于此。工件可以具有各种形状、尺寸和材料。除半导体晶片外,可利用本发明的优点的其它工件包括诸如印刷电路板、磁记录介质、磁记录传感器、镜子、光学元件、微型机械装置等的各种制品。
在下面的说明中,许多具体细节被阐述,以便提供对所呈现的实施方式的彻底理解。公开的实施方式可以在没有部分或全部这些具体细节下实施。在其它情况下,公知的处理操作没有进行详细说明,以避免不必要地使本公开的实施例模糊化。虽然所公开的实施例将结合具体的实施例进行说明,但应理解,并不意在限制本公开的实施方式。
背景和工艺流程
用于制造半导体器件的一个重要工艺包括在垂直的分开区域之间形成电连接。可以执行接触蚀刻以将凹入特征(也称为接触孔)蚀刻到电介质材料(往往为氧化硅(SiO2))中。该接触孔随后可填充导电材料,以电连接垂直地分开的区域。本文的某些实施方式涉及用于蚀刻接触孔的方法和装置。在一些其他实施方式中,本文描述的方法和装置可用于蚀刻通孔、沟槽或其他凹陷结构。
用于蚀刻接触孔的一些常规工艺涉及配备有电容耦合等离子体发生器的反应室。在接触蚀刻期间,碳氟化合物等离子体被用于选择性地蚀刻二氧化硅。在理想的情况下,氧化硅被去除,同时硅(或硅锗)和氮化硅(例如,Si3N4)仅被最低限度地蚀刻或根本没被蚀刻。清除剂气体(如氢和/或氟欠缺型碳氟化合物(例如,C4F8))可被用于调节各种材料的蚀刻速率。这些清除剂气体也可能会影响会在蚀刻期间形成碳氟聚合物的形成速率。
此外,在某些常规的接触蚀刻工艺中,先进的混合模式脉冲(AMMP)被用于使得能给RF和气体输送两者施加脉冲。因此,循环多步骤蚀刻工艺可以发生,其中包括沉积和激活的基本步骤。更具体地,这些步骤可以包括:(a)碳氟聚合物沉积,(b)碳氟聚合物溅射/使碳氟聚合物变薄,(c)激活的蚀刻,以及(d)纯溅射。步骤(b)-(d)可以在激活步骤中进行。这个多步工艺导致相关材料(如,SiO2,Si,Si3N4)的高度的选择性。与电介质蚀刻工艺相关的细节在以下文献中作进一步的讨论:2013年7月9日提交的、名称为“FLUOROCARBONBASEDASPECT-RATIOINDEPENDENTETCHING,”的美国专利申请No.13/937,930,以及Metzler,等人的“FluorocarbonassistedatomiclayeretchingofSiO2usingcyclicAr/C4F8plasma”,JournalofVacuumScience&TechnologyA,32,020603(2014),这些文献通过引用整体并入本文。
虽然上述多步骤电容耦合工艺在蚀刻期间导致非常良好的选择性,但由于所使用的高离子能量,因而导致对下伏层的一定程度的破坏。例如,由于过度的离子轰击,位于被刻蚀的电介质材料下方的半导体材料的晶格(例如,硅或其它衬底半导体材料)可能被损坏。在蚀刻期间,一些离子穿透该电介质材料且进入下伏的半导体材料中。这些离子造成显著的损害并导致大量的设备劣化。通常情况下,损坏的半导体材料被氧化,并通过单独的处理步骤(例如,湿式清洗步骤)去除。因为相对较高的离子能量被用来蚀刻高深宽比的窄特征,所以这个问题在10-20纳米的技术节点及更高级的技术节点特别显著。低离子能量会导致对下伏的衬底的损伤较小,但往往是不可行的,因为所涉及的处理时间长,从而导致特征打开到比所需尺寸较宽的宽度。
可用于某些半导体制造工艺的另一种类型的反应室是配备有用于形成感应耦合等离子体(也称为变压器耦合电容调谐等离子体)的等离子体发生器的反应室。在感应耦合等离子体反应器中,变压器耦合电容调谐(TCCT)参数可以改变,以指定施加到线圈中心的功率部分与施加到线圈的外段的功率部分。在较高的TCCT设置下,更多的功率被导向TCP线圈的中心段。这种类型的等离子体/反应室是有益于促进高度的等离子体均匀性的,并且是常用来蚀刻导电材料。如偏置脉冲之类的某些技术会导致卓越的微加载。等离子体均匀性、微加载和其它因素使得能够(在许多情况下甚至从沟槽的底部)精确去除少量的材料(例如,每个周期的单个材料层)。通过经由AMMP给RF和气体输送施加脉冲,能够进行原子层清洁和原子层蚀刻工艺。
在本发明的多种实施方式中,通过使用电容耦合等离子体来执行蚀刻工艺的初始部分,并利用感应耦合等离子体执行蚀刻工艺的最后部分。蚀刻工艺的每一部分可以发生在不同的反应室中。两个反应室可以定位在单一的群集工具上。群集工具可以包括加载锁或其它机构以将衬底从一个反应室转移到下一个反应室,同时保护衬底(例如,同时保持在衬底上的真空)。
该蚀刻的第一部分利用电容耦合等离子体选择性地在电介质材料中蚀刻沟槽。可被配置为执行此蚀刻工艺的第一部分的反应室的一个例子是FlexTM产品系列,其可购自LamResearchCorporation(Fremont,CA)。该蚀刻的第一部分可以按照上述和下面更详细讨论的传统的多步骤蚀刻工艺进行。然而,该蚀刻的第一部分在蚀刻完成之前(即,到达下伏的半导体材料之前)停止。蚀刻的第一部分在早期被停止,以防止离子穿透通过剩余的电介质材料并损坏下伏的半导体材料。
图1A-1C根据各种实施方式提供了在接触蚀刻过程中部分制造的半导体装置100的剖面图。图2根据多种实施方式提供了进行接触蚀刻的流程图。图2的方法将关联于图1A-1C所示的部分地制造的装置进行描述。该方法开始于操作151,其中将衬底提供到第一反应室,其配备有用于产生电容耦合等离子体的等离子体发生器。衬底如图1A所示开始。金属栅结构130被定位在下伏的半导体衬底层101顶上。金属栅结构130可以包括栅电介质105(例如,HfO2或其它高k电介质材料)、金属栅120、覆盖层122和间隔件110。在金属栅结构130之间,存在电介质材料114。附加的电介质材料124(其可以是与电介质材料114相同的或不同的材料)在金属栅结构130和电介质材料114上面提供。附加的接触蚀刻停止层(未示出)可以设置在间隔件110和电介质材料114之间。覆盖层122和间隔件110(以及接触蚀刻停止层,假如存在)帮助在蚀刻期间保护金属栅120。
在操作153中,在第一反应室中进行第一蚀刻操作。第一蚀刻操作是电容耦合等离子体蚀刻操作,如下文进一步描述的。接下来,在操作155中,第一蚀刻操作在所述凹入特征达到特定深度时停止。
图1B示出了在第一蚀刻操作153完成后的部分制造的半导体装置100。在操作155,第一蚀刻操作153在经蚀刻的凹部的底部和下伏的半导体材料101之间为距离140的情况下停止。为了防止损坏下伏的半导体材料101,该距离140应至少与穿过电介质的离子的平均自由程一样大(在某些情况下,距离140至少与电介质中的离子的最大穿透距离一样大)。离子会渗透到电介质材料到达渗透距离的范围。在电介质中/穿过电介质的离子的平均自由程是在电介质中的离子在与电介质中的某些东西碰撞前该离子行进的平均距离。在电介质中/穿过电介质的离子的最大渗透距离是指在电介质中的离子在与电介质中的某些东西碰撞前该离子行进的最长距离。距离140应足够大,以使下伏的衬底101基本上防止所述第一蚀刻操作153的离子的影响。在很多情况下,这一点在距离140与电介质中的离子的平均自由程至少一样大时实现。在电介质中的离子的平均自由程以及在电介质中的离子的最大穿透距离将取决于,例如,离子能量、离子种类和电介质材料的性能。在一些情况下,在电介质中的离子的平均自由程(和/或最大穿透距离)为介于约1-10纳米之间,或更具体地介于约2-5纳米之间,例如约3纳米。
接下来,在操作157中,衬底被从第一反应室转移至第二反应室中。第二反应室配备有被配置为产生感应耦合等离子体(例如,变压器耦合电容调谐等离子体)的等离子体发生器。在操作159中,衬底经受在第二反应室中的第二蚀刻操作以完成蚀刻凹入特征。图1C示出了第二蚀刻操作159完成后的部分制造的装置100。第二蚀刻操作159在许多实施方式中以循环的方式进行。通常,使用原子层清洁工艺,如下面进一步描述的。第二蚀刻操作159继续以逐层的方式蚀刻凹入特征以便温和地暴露下伏的半导体材料101。第二蚀刻操作159不依赖于方向性的高能量离子(与第一蚀刻操作153相反),并且因此可以被用于完成蚀刻特征而不损坏下伏的半导体材料101。在多种实施方式中,该下伏的半导体材料101包括源区和漏区。在某些实施方式中,源区和漏区可相对于衬底的其它部分略微升高或凹进。在一些这样的情况下,源区和漏区是由硅、碳化硅或硅锗制成的。在一个特定实现方式中,升高的源区和漏区由硅锗制成。
在某些实现方式中,所公开的实施方式在制造场效应晶体管(FET)(例如FinFET)的背景中被实施。随着设备的设计和制造方法的发展,FET的尺寸持续缩小。例如,使用应变工程和使用金属栅已经各自使得制造能在越来越小的技术节点下进行。在制造过程中,在最新的技术节点中使用的小尺寸提出了各种挑战。
在FET中的一个相对较新的发展是从平面晶体管到三维晶体管的发展。一个示例的设计是FinFET,其中栅围绕导电沟道包裹,使得栅在三面接触沟道。这种围绕式包裹栅提供了对沟道的较好的静电控制,并且因此有助于降低设备的漏电流,并克服其他短沟道效应。在多种实施方式中,所公开的蚀刻工艺可在制造FinFET器件的背景中使用。在某些实例中,所公开的蚀刻工艺可在蚀刻用于FinFET器件的接触孔的特定背景中使用。在这些或其它情况下,该蚀刻工艺可以是在蚀刻处于14纳米(或以下)的技术节点的装置中的接触孔或其他凹入特征的背景中。作为一般的例子,在一些情况下,通过所公开的方法形成的凹入特征具有约20nm或更小的开口/宽度(通常被称为关键尺寸),具有至少约7的深宽比(在特征被充分蚀刻后)。
在一些实施方式中,存在于衬底上的各种结构/层/材料可以具有特定的尺寸。参考图1A,相邻栅结构130之间的中心到中心的距离161可以是介于约40-100纳米之间,例如介于约60-80纳米之间。此中心到中心的距离161也可被称为栅节距161。相邻的金属栅120的侧面之间的距离162(包括间隔件的厚度)可以为介于约20-80纳米之间,例如介于约40-60纳米之间。相邻间隔件110的侧面之间的距离163(不包括间隔件的厚度)可介于约10-60纳米之间,或介于约20-40纳米之间。在金属栅120周围提供的间隔件110可具有介于约5-20纳米之间的厚度,例如介于约7-13纳米之间。在图1A中沿左右方向测量间隔件厚度。示例尺寸是相关于按14纳米节点制造的装置的,并且可以根据需要针对按其它节点制造的装置缩放。
此外,在多种实施方式中,所制造的装置包括特定的材料。举例来说,在一些情况下,电介质材料114和/或附加的电介质材料124是氧化硅(例如,SiO2)或SiOCN。间隔件110在某些情况下可以由氮氧化硅制成。在这些或其它实施方案中,间隔件是由氮化硅(SiN)或掺杂的氧化硅(例如,C掺杂的氧化硅)制成。在半导体衬底水平101内,可以存在FET(例如,FinFET(鳍式场效应晶体管))的源区和漏区。如果是这种情况,那么源区可以是凹陷/凸起的SiGe、Si或SiC,并且漏区可以是这些相同的材料。在一些具体实例中,金属栅120可以由W、Al、Ta和Ti基金属和/或合金以及这些金属的组合制成,而所述栅电介质105可以是高k电介质,如氮氧化物,或铪基材料,如HfSiON、HfO2和HfSiO、或Al基氧化物,或Zr基氧化物。
第一蚀刻操作
进行第一蚀刻操作以蚀刻凹入特征的初始部分/上部。这个过程通常涉及使用电容耦合等离子体,并导致各向异性蚀刻。在各种实施方式中,该蚀刻操作以循环工艺发生。一般而言,循环工艺可包括沉积步骤和激活/蚀刻步骤。在沉积步骤期间,碳氟聚合物薄层可被沉积在衬底的表面上。在激活/蚀刻步骤期间,三种不同的工艺可能发生,包括碳氟聚合物溅射/减薄,激活蚀刻和纯溅射。纯溅射步骤在某些情况下可以省略。
图3A示出了图解根据某些实施方式的第一蚀刻操作的时序图。被蚀刻的氧化物量示于x轴上面,而存在的碳氟聚合物的量显示在x-轴下面(但这两个值都是正的)。图3B示出在图3A中所示的蚀刻操作过程中的在不同时间的部分制造的半导体器件。图3C示出描述在图3A和图3B中所示的工艺的流程图。图3A-3C将一起描述。
第一蚀刻操作开始于操作301,其中将上面具有氧化硅的衬底341提供到第一反应室。衬底通常包括为了清楚和简明的目的而被省略的许多附加的特征/结构/层(例如,栅,掩模等)。技术人员应理解,图3B所示的蚀刻在未被掩模层保护的区域选择性地发生。
在操作303中,碳氟聚合物薄层342沉积在衬底的表面上。在一些情况下,碳氟聚合物342沉积到数量级为埃的厚度。为了沉积该碳氟聚合物,从碳氟反应物产生碳氟化合物等离子体。通常提供惰性载气,例如氩。在一些情况下,碳氟反应物(CxFy)包括C4F8、C4F6、或它们的组合。碳氟反应物的流速可以是介于约0.1-20sccm之间,例如介于约0.5-5sccm之间。载气的流速可以是介于约20-2000sccm之间。用于产生等离子体的频率可以是1-200兆赫。用来产生等离子体的RF功率可以介于约10-1000瓦之间,例如介于约30-300瓦之间。两个不同频率的功率的组合也可以与类似的单一频率的范围状态的总功率一起使用。通常,低偏置是用来促进沉积并在该步骤期间避免蚀刻。在沉积步骤期间的衬底温度可为介于约20-200℃之间,例如介于约50-140℃之间。在这个步骤中的反应室压强可为介于约3-100毫托之间。沉积步骤可具有介于约1-30秒之间的持续时间。在多种实施方式中,在每个循环期间,碳氟聚合物可以沉积至介于约0.2-8纳米之间的厚度,例如介于约1-4纳米之间的厚度。碳氟化合物沉积工艺可以使用各种添加剂,包括但不限于N2、O2、CO、CO2、CF4、CH2F2、NF3、以及它们的组合。如图3A所示,当碳氟反应物被引入到反应室中时,少量氧化物会被蚀刻。然而,由于低偏置被使用,因而保持小的被蚀刻的量,并有利于碳氟聚合物的沉积。如图3A所示,碳氟聚合物的量在整个操作303增加。
接下来,在操作305中,碳氟聚合物层被溅射。该溅射可通过利用惰性等离子体(例如,氩气,但也可使用其他惰性气体)的离子溅射进行。如图3A所示,碳氟聚合物厚度在碳氟聚合物沉积操作303的结束处最大,并在碳氟化合物溅射/减薄操作305开始时开始朝零减少。在此操作期间,被蚀刻的氧化物的量基本上保持恒定。操作305、307和309可以一起被称为激活步骤或蚀刻步骤,但在各操作过程中,可在衬底上进行不同的处理。在碳氟聚合物溅射/减薄步骤305中,用于产生等离子体的气体的流动速率可以是介于约20-2000sccm之间,例如介于约50-400sccm之间。这种气体通常是惰性气体,如氩气。用于产生等离子体的频率可以是,例如,2兆赫和60兆赫。用来产生等离子体的2MHz的RF功率可为介于约0-300瓦之间,例如介于约30-100瓦之间。用来产生等离子体的60MHz的RF功率可为介于约30-600瓦之间,例如介于约50-200瓦之间。通常,使用中等偏置(比在碳氟化合物沉积步骤303过程中使用的偏置更高),这可以帮助离子激活在碳氟化合物聚合物的层下面的氧化物表面。在碳氟化合物溅射/减薄步骤期间衬底温度可为介于约60-100℃之间,或介于约80-110℃之间。在这个步骤中的反应室压强可为介于约10-40毫托之间。碳氟化合物溅射/减薄步骤可具有介于约2-10秒之间的持续时间。在一些实施方式中,沉积条件(偏置以外的)在操作303和305之间不改变。
在操作307,进行激活的蚀刻。离子穿透碳氟化合物膜以激活碳氟聚合物层和氧化物之间的界面。这种激活可以在操作305期间开始。在这一步骤期间,碳氟聚合物和氧化物都被去除,如图3A中所示。在激活的蚀刻步骤307期间,用于产生等离子体的气体的流动速率可以是介于约40-1000sccm之间,例如介于约40-100sccm之间。这种气体通常是惰性气体,如氩气。用于产生等离子体的频率可以是,例如,2兆赫和60兆赫。用于产生等离子体的2兆赫的RF功率可介于约0-300瓦之间,例如介于约30-100瓦之间,而60兆赫的RF功率可介于约30-600瓦之间,例如介于约50-200瓦之间。在激活的蚀刻步骤307期间的衬底温度可介于约60-100℃之间,或介于约80-110℃。在这个步骤中的反应室压强可为介于约10-40毫托之间。激活的蚀刻操作307可具有介于约2-10秒之间的持续时间。激活的蚀刻操作307可以使用添加剂,例如N2、O2、CO,CO2、CF4、C4F8、CH2F2、NF3和它们的组合,等等。在激活的蚀刻操作307的结束处,碳氟聚合物基本上被完全去除,如图3A所示。
接下来,在操作309中,可进行纯溅射操作。在某些情况下,这个步骤可以省略,并且碳氟聚合物被去除后,蚀刻基本上停止。是否发生纯物理溅射在很大程度上取决于撞击衬底的离子的能量。如图3A所示,被蚀刻的氧化物的量在纯溅射操作过程中继续增加。然而,在该纯溅射操作309期间去除氧化物的速率可以小于在激活的蚀刻操作307期间去除氧化物的速率。在纯溅射步骤309期间,用于产生等离子体的气体的流动速率可为介于约20-2000sccm之间,例如约50-400sccm之间。这种气体通常是惰性气体,如氩气。用于产生等离子体的频率可以是,例如,2兆赫和60兆赫。用于产生等离子体的2兆赫的RF功率可为介于约0-300瓦之间,例如介于约30-100瓦之间。用来产生等离子体的60兆赫的射频功率可以介于约30-600瓦之间,例如介于约50-200瓦之间。在纯溅射操作309期间,衬底温度可为介于约60-100℃之间,或介于约80-110℃。在这个步骤中的反应室压强可介于约10-40毫托之间。纯溅射操作309可具有介于约2-10秒之间的持续时间。
在操作309完成之后,在操作311确定是否有足够数量的氧化物材料已被去除(即,蚀刻深度是否足够深)。如果是这样,则第一蚀刻操作完成。如果没有,则蚀刻工艺循环回到操作303,并进行附加的蚀刻。通常,在第一蚀刻工艺过程中使用许多次循环。在一些实施方式中,循环的数量为介于约5-250之间,例如介于约30-80之间。在第一蚀刻操作中获得的蚀刻深度可为介于约10-200纳米之间,例如约60-120纳米之间。
在每个循环中获得的蚀刻深度取决于所用的反应条件,特别是,在每个周期期间碳氟聚合物是否被完全去除。在许多实施方式中,碳氟聚合物在每个循环期间被完全去除或基本上完全去除。碳氟聚合物应当足够薄,以使得能够基于离子激活碳氟聚合物下面的氧化物。
关联于图3A-3C描述的蚀刻工艺可用于相对于氮化物材料选择性地蚀刻氧化物材料,在蚀刻接触孔时,通常是这种情况。在这种情况下,选择所使用的蚀刻化学品(例如,为CxFy,例如C4F6),使得形成在氮化物上的碳氟聚合物比形成在氧化物上的碳氟聚合物较慢地蚀刻。在某些实现方式中,蚀刻的选择比大致介于约5-15之间。通过选择激活/蚀刻步骤(即,操作303-309)的适当的持续时间,蚀刻工艺可导致从氧化物去除所有的碳氟聚合物以及从氮化物不完全去除碳氟聚合物。在多个周期中,氧化物材料被蚀刻,而碳氟聚合物积聚并保护氮化物材料。
如上所述,在第一蚀刻操作继续直至达到所希望的蚀刻深度。在本文的多种实施方式中,第一蚀刻在剩余的氧化物材料达到对应于在电介质中的离子的平均自由程的厚度的时刻时或之前停止。参考图1B,距离140可以大于或约等于在电介质中的离子的平均自由程。如果在电介质114和下伏的半导体衬底水平101之间提供了额外的蚀刻停止层,则距离140包括该额外的蚀刻停止层的厚度。通过在这一点上停止第一蚀刻操作,可以防止对下伏的半导体层的损坏。在一些实施方案中,在第一蚀刻操作之后剩余的电介质的厚度(由图1B的距离140表示)为介于约2-15纳米之间,或介于约5-10纳米之间。在这些或其它情况下,该厚度可以为至少约2纳米,例如至少约5纳米。
何时停止第一蚀刻操作的确定可以基于若干因素,包括,但不限于,被刻蚀的电介质材料、蚀刻化学品、等离子体条件、偏置条件、流率、压力、温度等。在一些实现方式中,该蚀刻在特定的持续时间或循环数后停止。适当的停止时间/循环数可以基于利用被应用的特定的处理条件获得的经验结果。例如,对于特定的持续时间/循环数,可以使用第一蚀刻操作蚀刻一系列的测试晶片以达到不同的深度。然后可进一步检查这些晶片,以确定是否存在对各晶片的下面的半导体晶格的损坏。在某些情况下,所使用的蚀刻持续时间/循环数对应于不导致对下伏的衬底的损坏(或其导致对下伏的衬底的可接受的少量的损坏)的最长的持续时间和/或最大的循环数。在一些实施方式中,可接受的少量的损坏为约10纳米或更小的衬底厚度被损坏,例如小于约5纳米或更小,或约3nm或更小的衬底厚度被损坏。
在这些或其它实施方式中,在第一蚀刻操作的结束点可以在原位被实时检测。这样的方法经常被称为原位终点测量。在一些实施方式中,光学技术用来检测何时停止第一蚀刻操作。
第二蚀刻操作
在第一反应室中的第一蚀刻操作之后,衬底可被转移到第二反应室,第二反应室装有用于产生感应耦合等离子体(例如,变压器耦合电容调谐等离子体)的等离子体发生器。相对于第二反应室(利用感应耦合等离子体),离子能量和离子密度被不同于第一反应室(利用电容耦合等离子体)地控制。在电容耦合等离子体中,离子能量由施加到衬底的偏置至少部分地控制。该衬底偏置以定向的方式吸引离子朝向衬底。通常情况下,基于以上讨论的原因,通过施加高程度的偏置(例如,相比于喷头)到衬底上,提供高的衬底偏置/离子能量。另一方面,如果使用感应耦合等离子体,则通过被提供给产生等离子体的线圈的电流的速率来控制离子能量。有利的是,可以在不施加强大的偏置至衬底来产生感应耦合等离子体。在没有施加强大的偏置到衬底上的情况下,离子穿透电介质而损坏下伏的半导体层的风险显著地降低。
第二蚀刻操作通常是原子层清洁工艺,其导致在每个循环中自限地去除约单层材料。原子层清洁工艺类似于原子层沉积工艺,其中表面反应可以用原子层的精度控制。原子层清洁方法在下述专利中有进一步的讨论和描述:于2014年7月29日提交的、名称为“METHODSANDAPPARATUSESFORATOMICLAYERCLEANINGOFCONTACTSANDVIAS,”的美国专利申请No.14/446,203,在此通过引用将其整体并入。
图4A-4E示出了根据多种实施方式的在经历第二蚀刻操作(有时被称为清洁操作)时的部分制造的半导体器件。类似于图3B,只有氧化物材料410被示出,但可以理解的是,通常存在附加的特征/结构/层。
如图4A所示,在一些实施方式中,第二蚀刻操作可以通过下列步骤开始:引入用于蚀刻/清洁的化学物质420(即清洁反应剂物质)到处理室中,以使得清洁物质420被输送到衬底的表面,特别是到任何暴露的氧化物410(由在图中向下的箭头所指示的)。在一些实施方式中,清洁物质可以是含卤物质,并且在某些这样的实施方式中,可以使用含氟物质如XeF2、BrF3、IF5、ClF3等。在一些实施方式中,清洁物质可以是含卤和碳的物质,如碳氟化合物,例如,C4F8
在清洁物质420(或清洁物质的一部分)被引入到处理室之后,其吸附到氧化物材料410的表面上,使得它在氧化物410的顶部上(和/或在其上的任何污染物的顶部上)形成吸附受限层。通常这种吸附受限层可被描述为大致具有单个吸附分子的均匀厚度的单层。其中,条件是使得情况是这样的:单层形成通常是化学吸附过程的结果,该化学吸附过程需要在其上进行吸附的暴露表面,即在该表面上的给定点处的单个吸附的原子或分子阻止在该点进一步的吸附。
待去除的清洁物质420的单层到氧化物410上的这种吸附被示意性地示于图4B。图4B示意性地示出了直接结合到待去除的氧化物410的清洁物质420。虽然在此公开的清洁方法不限于下列方案:其中在清洁物质420与氧化物410的表面430之间存在实际化学结合,但在一些情况下,可能会发生化学键合,如共价键合。在其他情况下,其他形式的相互吸引作用可在吸附清洁物质到氧化物410的表面430后存在于清洁物质和氧化物之间。
在图4A-4D中,在氧化物410的表面430的清洁物质和分子被描绘为“球”,而它们彼此的结合被描绘为“杆”,但是,应该注意的是描绘成单个“球”的每个这样的物质不应该被解释为暗示每个这样的物质必然是原子物质。相反,清洁物质与氧化物质也可以是多个原子的分子物质,具体取决于实施方式。例如,在许多情形下,被去除的氧化物质是氧化硅,SiOx。简单的“球和杆”示图被用来提供表面吸附过程的基本示意图。还应当指出的是,尽管为简化起见,如图4A-4B所示,相同的物质被引入并随后被吸附,但吸附物质可能并不构成引入处理室中的全部清洁物质。换句话说,在一些实施方式中,仅清洁物质的一部分(例如片断)可能被吸附。
例如,如果引入到处理室的清洁物质是XeF2,则吸附的清洁物质可以是原子氟(F)自由基或共价键合到存在于衬底表面(例如在蚀刻特征内)上的氧化物的氟原子。因此,在这个例子中,可以说,技术上F是指进行清洁的物质。尽管如此,为了清楚简洁起见,引入到处理室的清洁物质(在本实施例中是XeF2)以及实际上被吸附的物质(在本实施例中为F)在本文中均可以被称作“清洁物质”,应该理解该词语是指实际上吸附到表面上并造成如本文所述的清洁/蚀刻的物质的化合物,或该物质的源的化合物。
还应当指出的是,清洁物质可被吸附到凹入特征的底部部分(例如,部分地被蚀刻的接触孔)以及在特征的侧壁两者上。但是,对于下面描述的原因,吸附在侧壁的清洁物质不必然导致对侧壁的清洁以及潜在地蚀刻掉侧壁。可以使用定向电磁(EM)场以法向角将离子导向衬底来实现对特征底部的选择性蚀刻。
吸附发生后,如图4C所示,从处理室去除过量的未被吸附的(可能包括解吸的)清洁物质,以防止它们在清洁工艺的后续步骤中干扰化学吸附的物质的激活和反应(例如,潜在的并发气相反应)。在一些实施方式中,去除过量的未吸附的清洁物质可通过抽吸未吸附的清洁物质离开处理室来完成。在一些实施方式中,去除可通过用惰性气体吹扫处理室来实现。在一些实施方式中,也可以使用抽吸反应室下降到基压(abasepressure)以去除未被吸附的物质以及然后吹扫的组合序列,或反之亦然。
一旦清洁物质的吸附受限层形成在氧化物410的表面430上并且未被吸附的前体从处理室中去除,就激活被吸附的清洁物质和氧化物之间的反应。如图4D所示,这导致蚀刻掉一些表面。图4C示意性地示出了为了激活表面反应而暴露于低能分子/原子束440的吸附受限层,然而,其它的反应激活技术也可以使用。例如,在一些实施方式中,该反应可通过使另外的化学物质与吸附受限层的清洁物质(例如,氟和/或含氟物质)反应而激活。在其他实施方式中,反应可热激活-即热能量被提供给吸附受限层,以便导致所述表面反应。在一些实施方式中,反应可以是等离子体激活的。
最后,在一些实施方式中,在表面介导的清洁反应已经进行后,由图4D中的清洁反应生成的副产物可以从处理室中去除,如图4E所示。在实施方案中,其中吸附受限层含有作为清洁物质的氟时,所述的副产物可以包括含氟物质。
图4A-4E构成原子层清洁(ALC)的一个循环。然而,由于每一个循环只构成清洗物质的单个吸附受限层的反应,因而,如图4E所示,通常只有一个氧化物单层被去除,并且因此通常执行多个循环以去除剩余的电介质和暴露下伏的半导体层。因此,这种重复清洁循环在附图中通过连接图4E与图4A的箭头示意性表示。同样地,图4F提供了循环的ALC工艺的流程图。如该图所示,循环的ALC工艺开始于引入反应物(例如,清洁反应物)到处理室的操作411,接着是在衬底上部分地形成的凹入特征中形成吸附受限层的操作412。在吸附操作之后,该工艺在操作413通过从处理室去除未被吸附的反应物质继续。最后,在操作414,实现在被吸附的反应物层和氧化物材料之间的反应的激活。如在流程图中所述,反应导致在凹入特征中的氧化物材料的至少一部分被去除。最后,在一些实施方式中,可以有从处理室去除通过反应产生的副产品物质的附加操作415。通常,在达到期望的蚀刻深度之前进行许多循环。因此,在操作414的激活反应和任选地在操作415去除反应副产物后,该工艺进行到逻辑框416,在这里确定蚀刻是否已达到所期望的蚀刻深度。如果期望的蚀刻深度未达到(例如,如果已经执行不到N个循环,其中N是所需的ALC循环的总数),则工艺前进到再次重复操作411-414(和任选地415)。如果期望的蚀刻深度已经达到(例如,如果已经执行N个循环),则工艺结束。
再次参考图4D和参考图4F中的操作414,当使用分子束来激活表面反应时,光束可以包括离子、自由基和中性非自由基物质,并且吸附受限层对这些物质的暴露可用于激活表面反应。如果离子化物质被用来激活反应,那么它们可以是等离子体产生的。等离子体可以由惰性气体(如氦,氩,氖,氪,和/或氙)形成/包括惰性气体(如氦,氩,氖,氪,和/或氙)。等离子体的离子可以通过所施加的电磁场被定向以便利用基本上垂直于衬底平面的能量行进(和接触衬底),如下面进一步讨论的。当然,这样施加的电磁场也可以加速离子到特别期望的动能水平。虽然这可类似于离子基溅射蚀刻技术,在这里所不同的是,由撞击离子传递的能量只需要足以激活所吸附的清洁物质的表面反应,而不是足以由单独的纯粹动能碰撞地烧蚀氧化物表面。因此,例如,在本文所公开的ALC技术中,离子物质可以通过以小于100eV的相对动能,或甚至小于30eV,或甚至小于10eV的相对动能撞击吸附受限层(如在图4D)而与其接触。当然,如果自由基物质被用来激活反应,则这些自由基物质经常也是等离子体生成的,并且还典型地具有较低的动能。在任何情况下,因为相比于基于离子的溅射蚀刻,用于激活表面反应的撞击分子束赋予少得多的能量给下伏的衬底,因此原子层清洁(ALC)技术具有要远远较少损害下伏表面的可能性。
如刚刚描述的,在一些实施方式中,被吸附的清洁物质和氧化物之间的表面反应可以被等离子体激活。因此,在图4D中示意性地示出的低能分子束可以通过在处理室中产生等离子体、或通过引入远程产生的等离子体到处理室中、或通过前述的组合等产生。通常,如果离子被用于激活表面反应,则基于离子的等离子体在处理室中产生。在多种实施方式中,利用原位感应耦合等离子体,例如变压器耦合电容调谐等离子体。如果自由基物质被用于激活表面反应,则它们可从远离处理室产生的基于自由基的等离子体-即从远程基于自由基的等离子体源-引入处理室。在一些实施方式中,等离子体可包含相当大数目的自由基物质和离子物质这两者。在一些实施方式中,等离子体可以是基于氩的离子化等离子体,尽管-如上所述-通常具有平均动能的离子比将在标准的基于氩等离子体的表面蚀刻技术中使用的少得多。
需要注意的是,清洁物质的吸附层可以经常被描述为共形层,即具有基本上均匀的厚度,并且其与上面吸附了该吸附层的特征的形状基本上共形。并且,由于吸附层的厚度基本上是均匀的,因此反应清洁物质的量是基本上可控的、选择性的、和可预测的,其中在反应过程期间衬底的任何部分暴露于该反应清洁物质。
被吸附的清洁物质的等离子体激活也可以作为用于控制清洁/蚀刻工艺的特异性的附加的机制。特别地,所施加的电磁场(EM)可用于引导等离子体的一种或多种物质的通量朝向电接触特征的基部/底部(如图4D所示)。如果在这样做时,撞击物质被引导以便沿着基本上垂直于所述衬底的平面方向行进,则撞击物质将撞击特征的侧壁远不如撞击其基部/底部那么频繁,因此清洁反应将在特征底部被优先激活而不是在侧壁被优先激活,从而起到保持特征的CD和AR的作用。
需要注意的是,所施加的EM场可能产生撞击物质的恒定流量,或者它可以通过EM场的脉冲化而产生撞击物质的脉冲。在一些实施方式中,离子可以通过EM场引导以用低于被撞击的材料的溅射阈值的动能撞击被吸附的清洁物质。在低于溅射阈值的能量方案中,撞击粒子具有足够的能量来激活被吸附的清洁物质的反应,但没有足够的能量通过离子或离子辅助的蚀刻工艺来去除材料。因此,为了确保经由激活的化学清洁工艺,而不是通过离子或离子辅助蚀刻工艺来实现氧化物的去除,离子可以通过施加EM场引导,使得它们以小于100电子伏特(eV)、或在某些这样的实施方式中以小于30eV的动能、或者甚至还更具体地以小于10eV的动能撞击被吸附的清洁物质。根据不同的实施方式,例如,包括等离子体的离子物质可以是氢离子、和/或惰性气体(例如氩)的离子。
如上所述,第二蚀刻操作完成接触孔的蚀刻。在一些实施方式中,在第二蚀刻操作中所蚀刻的距离(不算上在第一蚀刻操作中所蚀刻的距离)可为介于约5-30纳米之间,例如约2-10纳米之间。第二蚀刻操作可以使总的蚀刻深度(算上在第一蚀刻操作中得到的蚀刻深度)达到介于约45-180纳米之间,例如约50-90纳米之间。这个深度将主要依赖于被蚀刻的电介质的厚度。在一些实现方式中,在第一蚀刻操作中所蚀刻的距离(D1)相比于在第二操作中所蚀刻的距离(D2)之间的比率(即,D1:D2)为介于约8:2至约9:1之间(即在某些情况下,总的蚀刻距离的约80-90%是在第一蚀刻操作中被蚀刻)。在某些实施方式中,在第二蚀刻操作完成后,接触孔具有介于约1-3之间的深宽比,例如介于约1.2-1.8之间的深宽比。
在第二蚀刻操作完成和接触孔被完全蚀刻后,接触孔可用一种或多种材料(例如,金属、金属氮化物等)填充。用于形成触点的方法和装置在下面的美国专利申请中进一步讨论,其中的每一个在此通过引用将其内容全部并入:于2013年4月12日申请的、名称为“CVDBASEDMETAL/SEMICONDUCTOROHMICCONTACTFORHIGHVOLUMEMANUFACTURINGAPPLICATIONS”的美国专利申请No.13/862048;以及于2014年8月20日申请的、名称为“METHODTOTUNETIOXSTOICHIOMETRYUSINGATOMICLAYERDEPOSITEDTIFILMTOMINIMIZECONTACTRESISTANCEFORTIOX/TIBASEDMISCONTACTSCHEMEFORCMOS.”的美国专利申请No.14/464475。
这里的各种实施方式被提供在电介质材料中蚀刻凹部的背景中,其中该凹部是接触孔,电触点稍后形成在该接触孔中。然而,实施方式并不局限于此,并且在遭遇来自离子轰击的损害的下伏材料上的电介质材料中蚀刻凹入特征的任何背景下,所公开的方法和设备是有用的。
装置
本文中所描述的方法可以用任何合适的装置来执行。合适的装置包括用于完成处理操作的硬件和具有用于控制根据本发明的处理操作的指令的系统控制器。例如,在一些实施方式中,硬件可以包括:包含在处理工具中的一个或多个处理站。在许多实施方式中,第一蚀刻操作发生在第一反应室内,而第二蚀刻操作发生在第二反应室中,其中第一反应室被配置成产生电容耦合等离子体,而第二反应室被配置成产生感应耦合等离子体(例如,变压器耦合电容调谐等离子体)。如下面进一步描述的,两个反应室可以一起设置在单个平台上,例如在群集工具中。
在另一个实施方式中,第一蚀刻操作和第二蚀刻操作两者都可以在单个反应室中进行,单个反应室被配备成在一定条件下产生电容耦合等离子体并且在其它条件下产生感应耦合等离子体(例如,变压器耦合电容调谐等离子体)。在这种情况下,就没有必要在第一蚀刻操作和第二蚀刻操作之间将衬底从一个反应室转移到另一个反应室,因为这两个操作都在相同的反应室中进行。
用于第一蚀刻操作的装置
如上所述,用于执行第一蚀刻操作的反应室典型地被配置以产生电容耦合等离子体。可以使用的一个示例性装置是,例如,来自可从LamResearchCorporation(Fremont,CA)获得的所述FlexTM产品系列的FlexTM反应室。
图5A-5C示出了可用于执行这里所描述的第一蚀刻操作的可调节间隙电容耦合约束RF等离子体反应器500的实施方式。如所描绘的,真空室502包括室壳体504,室壳体504围绕容纳下电极506的内部空间。在室502的上部,上电极508与下电极506垂直隔开。上电极508和下电极506的平坦表面基本平行并垂直于电极间的垂直方向。优选地,上电极508和下电极506是圆形的,并且相对于垂直轴线同轴。上电极508的下表面朝向下电极506的上表面。相对电极间隔开的表面限定其间存在的可调节间隙510。在操作期间,下电极506由RF功率源(匹配)520供给RF功率。RF功率通过RF供应管线522、RF带524和RF功率构件526被供给到下电极506。接地屏蔽件536可以围绕RF功率构件526,以供应更均匀的RF场到下电极506。如在共同拥有的美国专利No.7732728(其全部内容通过引用并入本文并用于所有目的)中描述的,晶片通过晶片端口582插入并支撑在在下电极506上的间隙510中以供处理,工艺气体被供给到间隙510并由RF功率激发成等离子体状态。上电极508可被供电或接地。
在图5A-图5C中所示的实施方式中,下电极506被支撑在下电极支撑板516上。插在下电极506和下电极支撑板516之间的绝缘环514使下电极506与支撑板516绝缘。
RF偏置壳体530将下电极506支撑在RF偏置壳体盆532上。盆532穿过在室壁板518中的开口通过RF偏置壳体530的臂534连接到导管支撑板538。在优选实施方式中,RF偏置壳体盆532和RF偏置壳体臂534一体地形成为一个部件,但是,臂534和盆532也可以是栓接或接合在一起的两个分离的部件。
RF偏置壳体臂534包括一个或多个中空通路用于传递RF功率和设施,诸如气体冷却剂、液体冷却剂、RF能量、用于升降销控制的电缆、电气监测和启动从真空室502外到真空室502内在下电极506的背面上的空间的信号。RF供应导管522与RF偏置壳体臂534绝缘,该RF偏置壳体臂534提供RF功率到RF功率源520的返回路径。设施管道540提供了用于设施的组件的通道。设施组件的进一步的细节在美国专利No.5948704和美国专利No.7732728中描述,并且为了描述的简单这里未示出。间隙510优选地由约束环组件或罩(未示出)包围,其中的细节可以在通过引用并入本文的共同拥有的公布的美国专利No.7740736中得到。真空室502的内部被通过真空端口580连接到真空泵而维持在低压下。
导管支撑板538被连接到致动机构542。致动机构的细节在上文已并入的共同拥有的美国专利No.7732728中有描述。致动机构542,例如伺服机械电机、步进电机或类似物,通过例如螺旋齿轮546(如滚珠丝杠)和用于转动滚珠丝杠的马达连接到垂直线性轴承544。在调整间隙510的大小的操作过程中,致动机构542沿着垂直线性轴承544行进。图5A示出了当致动机构542在线性轴承544上处于产生小的间隙510a的高的位置时的布置。图5B示出了当致动机构542处于在线性轴承544上中间的位置时的布置。如图所示,下电极506、RF偏置壳体530、导管支撑板538、RF功率源520均相对于室壳体504和上电极508向下移动,从而产生中等大小的间隙510b。
图5C示出了当驱动机构542处于在线性轴承上的低的位置时的大的间隙510c。优选地,上电极508和下电极506在间隙调整期间保持同轴并且跨越间隙的上电极和下电极的相对表面保持平行。
例如,为了保持跨越大直径衬底(例如300毫米晶片或平板显示器)的均匀蚀刻,本实施方式使得在多步骤蚀刻工艺配方(BARC、HARC、和STRIP等等)期间在CCP室502中上电极508和下电极506之间的间隙510能进行调节。特别地,该室涉及一种机械装置,该机械装置使得能提供下电极506和上电极508之间可调的间隙所需的直线运动。
图5A示出了在导管支撑板538的近端并在室壁板518的阶梯式凸缘528的远端密封的横向偏转的波纹管550。阶梯式凸缘的内径限定室壁板518中的开口512,RF偏置壳体臂534通过开口512。波纹管550的远端被夹持环夹持。
横向偏转的波纹管550提供真空密封,同时允许RF偏置壳体530、导管支撑板538和致动机构542的垂直移动。RF偏置壳体530、导管支撑板538和致动机构542可以被称为悬臂组件。优选地,RF功率源520与该悬臂组件一起移动并可以连接到导管支撑板538。图5B示出了当悬臂组件在中间位置时处于中间位置的波纹管550。图5C示出了当悬臂组件处于低的位置时横向偏转的波纹管550。
迷宫式密封件548提供了波纹管550和等离子体处理室壳体504的内部之间的颗粒屏障。固定屏蔽件556在室壁板518处不可移动地连接到室壳体504的内壁内,以便提供迷宫式槽560(缝隙),其中可移动屏蔽板558垂直移动,以适应悬臂组件的垂直移动。可移动屏蔽板558的外部在下电极506的所有垂直位置保持在缝隙中。
在示出的实施方式中,迷宫式密封件548包括在限定迷宫式槽560的室壁板518的开口512的周边连接到室壁板518的内表面上的固定屏蔽件556。可动屏蔽板558连接RF偏置壳体臂534并从该RF偏置壳体臂534径向延伸,其中臂534穿过该室壁板518中的开口512。可动屏蔽板558延伸进入迷宫式槽560,同时与固定屏蔽件556间隔开第一间隙,并与室壁板518的内表面间隔开第二间隙,从而使得悬臂组件能垂直移动。迷宫式密封件548阻止从波纹管550剥落的颗粒进入真空室内部505,并阻挡来自工艺气体等离子体的自由基迁移到波纹管550,在波纹管550中自由基可以形成随后剥落的沉积物。
图5A示出了当悬臂组件处于高位置(小的间隙510a)时在RF偏置壳体臂534上方的迷宫式槽560中较高的位置的可移动屏蔽板558。图5C示出了当悬臂组件处于低位置(大的间隙510c)时在RF偏置壳体臂534上方的迷宫式槽560中较低位置的可移动屏蔽板558。图5B示出了当悬臂组件处于中间位置(中等的间隙510b)时在迷宫式槽560内中等或中间位置的可移动屏蔽板558。尽管迷宫式密封件548被示出为相对于RF偏置壳体臂534是对称的,但在其他实施方式中迷宫式密封件548相对于RF偏置壳体臂534可以是不对称的。
该装置还典型地包括用于控制如本文所述的处理操作的控制器。下面进一步描述所述控制器。
用于第二蚀刻操作的装置
第二蚀刻操作可以在与第一蚀刻操作不同的反应室中进行。通常,用于执行第二蚀刻操作的反应室被配置成产生感应耦合等离子体(例如,变压器耦合电容调谐等离子体)。可以使用的一个示例性装置是,例如,来自可从LamResearchCorporation(Fremont,CA)获得的所述FlexTM产品系列。
图6根据某些实施方式描绘了感应耦合等离子体蚀刻装置600的剖视图。感应耦合等离子体蚀刻装置600包括由室壁601和窗611结构上限定的蚀刻室602。室壁601通常由不锈钢或铝制成。窗611通常由石英或其它电介质材料制成。
卡盘617定位在蚀刻室602中在蚀刻室602的底部内表面附近。卡盘617被配置成接收和保持在其上执行蚀刻工艺的半导体晶片(即晶片)619。卡盘617可以是当晶片存在时用于支撑晶片的静电卡盘。在一些实施方式中,边缘环(未示出)围绕卡盘617,并具有大致与晶片(当晶片存在于卡盘617上方时)的顶面在同一平面的上表面。卡盘617还包括能夹紧和放松晶片的静电电极。可设置过滤器和DC钳位电源用于此目的。也可以提供其他的控制系统用于提升晶片离开卡盘617。卡盘617可以用RF功率源623充电。RF功率源623通过连接件627被连接到匹配电路621。匹配电路621通过连接件625连接到卡盘617。以这种方式,RF功率源623被连接到卡盘617上。
线圈633定位于窗611上方。线圈633由导电材料制成,并包括至少一整匝。在图6中所示的线圈633的例子包括三匝。具有“X”的线圈633符号的横截面表示线圈633旋转地延伸到页面内。相反,具有“●”的线圈633符号表示线圈633旋转地延伸出页面。在多种实施方式中,反应室被配置为产生变压器耦合电容调谐等离子体。在这种实施方式中,变压器耦合电容调谐(TCCT)参数可以被控制来指定施加到线圈的中心段的功率部分与施加到线圈的外段的功率部分。
RF功率源641被配置为提供RF功率至线圈633。一般地,RF功率源641通过连接件645被连接到匹配电路639。匹配电路639通过连接件643连接到线圈633。以这种方式,RF功率源641被连接到线圈633。可选的法拉第屏蔽件649被定位在线圈633和窗611之间。法拉第屏蔽件649以相对于线圈633隔开的关系被保持。法拉第屏蔽件649被设置在窗611的正上方。线圈633、法拉第屏蔽件649和窗611各自被配置为基本上彼此平行。法拉第屏蔽件可以防止金属或其它物质沉积在等离子体室的电介质窗上。
工艺气体可以通过设置在上部室中的主注入口660和/或通过侧注入口670(有时称为STG)供应。气体排放口未示出。也未示出的是连接到腔室601的泵,该泵使得能在操作式等离子体处理过程中对室进行真空控制并去除室的气态副产物。
在装置的操作过程中,一种或多种反应物气体可通过注入口660和/或670供给。在某些实施方式中,气体可以仅通过主注入口供给,或者仅通过侧注入口供给。在一些情况下,例如,注入口可以由喷头替代。法拉第屏蔽件649(和/或任选的格栅,下文简要描述)可以包括使工艺气体能输送至室的内部通道和孔。换言之,法拉第屏蔽件649和任选的格栅中的一者或两者可以作为用于输送工艺气体的喷头。
射频功率从RF功率源641供给到线圈633以使RF电流流过线圈633。流过线圈633的RF电流产生围绕线圈633的电磁场。电磁场产生在蚀刻室602内的感应电流。感应电流作用于存在蚀刻室602中的气体以在蚀刻室602内产生等离子体(例如,典型的电子-离子等离子体)。
在某些实施方式中,内部等离子体格栅(未示出)将整体蚀刻室602分为上部子室和下部子室。格栅可以包括孔、槽或其它缝隙。在某些实现方式中,使用更加复杂的等离子体格栅组件。例如,等离子体格栅组件可以包括多个格栅,以及支撑结构和运动发生元件。配备有感应耦合等离子体发生器和格栅的反应室在2014年2月19日提交的、名称为“INTERNALPLASMAGRIDAPPLICATIONSFORSEMICONDUCTORFABRICATION,”的美国专利申请No.14184491中进一步讨论,在此通过引用将其整体并入本文。内部等离子体格栅当存在时,限制在下部子室中的热电子的量。在各种实施方式中,该装置被设计和操作,使得存在于下部子室的等离子体是离子-离子等离子体,如在上面通过引用并入本文中的14184491所讨论的。
上部电子-离子等离子体和下部离子-离子等离子体会包含正离子和负离子,但离子-离子等离子体将有负离子:正离子的较大比率。各种离子和自由基与晶片619的物理和化学相互作用选择性地蚀刻晶片的特征。挥发性蚀刻副产品可以从下部子室通过排放口(未示出)被去除。重要的是,这些挥发性副产物基本上不暴露于热电子,因而它们不太可能被离解成非挥发性的“粘性”离解产物。其中,如果不存在内部等离子体格栅,则等离子体通常在处理室内在衬底的上方产生,并且所产生的等离子体是典型的电子-离子等离子体。
典型地,本文所公开的卡盘在介于约30℃和约250℃之间,优选在介于约30-650℃之间的范围内的升高的温度下操作。该温度将取决于蚀刻工艺操作和特定配方。室601还会在介于约1毫托和约95毫托之间,或者在约5-20毫托之间的范围内的压强下操作。
尽管未示出,但室601通常耦合到安装在清洁室中的设施或制造设施中。设施包括提供工艺气体、真空、温度控制和环境颗粒控制的管道。这些设施当安装在目标制造设施中时耦合到室601。此外,室601可以耦合到会使得机械手能使用典型的自动化将半导体晶片传送进出室601的传送室。
群集工具
图7描绘了具有各种与真空传送模块738(VTM)接口的模块的半导体工艺群集架构。在多个存储设备和处理模块之间“传送”衬底的传送模块装置可以被称为“集群工具架构”系统。气密室730(也被称为装载锁或传送模块)在VTM738示出具有四个处理模块720a-720d,其可以被单独优化以执行各种制造工艺。例如,处理模块720a-720d可以被实现以执行衬底蚀刻、沉积、离子注入、晶片清洁、溅射和/或其它半导体工艺,以及激光测量和其他缺陷检测和缺陷识别方法。处理模块中的一个或多个(720a-720d中的任意一个)可以如本文所公开的被实施,即,在第一模块中利用电容耦合等离子体以及在第二模块中使用感应耦合等离子体(变压器耦合电容调谐等离子体)将凹入特征蚀刻到衬底中。气密室730和处理模块720a-720d可以被称为“站”。每个站具有将站与VTM738连接的小面736(facet736)。在小面内部,传感器1-18被用于在衬底726在各站之间移动通过时检测衬底726。
机械手722将衬底在站之间传输。在一个实现方式中,机械手可以具有一个臂,而在另一实现方式中,机械手可以具有两个臂,其中每个臂具有端部执行器724以拾取衬底用于运输。在大气传送模块(ATM)740中,前端机械手732可以用于从在负载端口模块(LPM)742中的晶片盒或前开式晶片盒(FOUP)734传送衬底726到气密室730。处理模块720a-720d内的模块中心728可以是用于放置衬底的地方。在ATM740中的对准器744可以用于对齐衬底。
在一示例性的处理方法中,衬底被放置在LPM742中的多个FOUP734中的一个中。前端机械手732将衬底从FOUP734传送到对准器744,其允许衬底726在被蚀刻、或上面被沉积、或其它处理之前适当地居中。对准后,衬底由前端机械手732移动到气密室730。由于气密室模块具有匹配ATM和VTM之间的环境的能力,因此衬底能够在两个压强环境之间移动而不被破坏。从气密室模块730,衬底通过机械手722移动通过VTM738并进入处理模块720a-720d中的一个,例如处理模块720a。为了实现这种衬底移动,机械手722在其每一个臂上使用端部执行器724。在处理模块720a中,衬底使用电容耦合等离子体经历如上文所述的第一蚀刻操作,以形成被部分蚀刻的特征。接下来,机械手722将衬底移出处理模块720a,进入VTM738,然后进入不同的处理模块720b。在处理模块720b中,该被部分蚀刻的特征利用第二蚀刻操作通过感应耦合等离子体蚀刻到其最终深度。
应当注意的是,控制衬底运动的计算机对于集群架构可以是本地的,或者它可以位于在制造工厂中的群集架构的外部,或在远程位置并通过网络连接到群集架构。
系统控制器
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以被定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)通信到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云端”或者是fab主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、群集工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
本文公开的各种方法和设备可以与光刻图案化工具或过程结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/过程将在共同的制造设施中一起使用或操作。
膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,上面形成有氮化硅膜的衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台或喷涂显影器之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转移到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。在一些实施方式中,可灰化硬掩模层(例如无定形碳层)和另一种合适的硬掩模(例如抗反射层)可以在施加光致抗蚀剂之前沉积。
应当理解的是,本文所述的配置和/或方法本质上是示例性的,并且这些具体实施例或示例不应被认为是限制性的,因为许多变化是可能的。本文中所描述的具体例程或方法可表示任何数量的处理策略中的一种或多种。因此,如图所示的各种操作可以按图示的顺序、以其它顺序、并行、或在某些情况下省略执行。类似地,上述过程的顺序可以改变。
本公开的内容主题包括各种处理、系统和配置以及其它特征、功能、操作和/或本文公开的性质以及任何和所有的等同物的所有新颖的和非显而易见的组合和子组合。

Claims (24)

1.一种在半导体衬底中蚀刻凹入特征的方法,该方法包括:
提供包括在半导体材料上的电介质材料的衬底到第一反应室中,其中,所述凹入特征将在所述电介质材料中形成;
在所述第一反应室中执行第一蚀刻操作以将所述电介质材料中的所述凹入特征蚀刻到第一深度,所述第一蚀刻操作包括使所述衬底暴露于包括在所述电介质材料中具有平均自由程的第一组离子的第一等离子体,所述第一等离子体是电容耦合等离子体,其中在所述第一蚀刻操作之后,在所述第一蚀刻深度下且在所述半导体材料上方的剩余电介质材料具有至少是约为所述电介质材料中的所述第一组离子的所述平均自由程的厚度;
将所述衬底从所述第一反应室传送到第二反应室;
在传送所述衬底之后,在第二反应室中执行第二蚀刻操作以将所述凹入特征蚀刻至最终深度,其中所述第二蚀刻操作是包括使所述衬底暴露于第二等离子体的原子层蚀刻操作,所述第二等离子体是感应耦合等离子体。
2.根据权利要求1所述的方法,其中所述第二蚀刻操作包括:
将所述衬底暴露于蚀刻反应物,并使所述蚀刻反应物能吸附到所述衬底的表面上,
从所述第二反应室去除未被吸附的蚀刻反应物,
使所述衬底暴露于所述第二等离子体以驱动在被吸附的所述蚀刻反应物中的物质和在所述凹入特征中的电介质材料之间的反应,从而去除所述电介质材料的一部分,以及
重复使所述衬底暴露于蚀刻反应物、去除所述未被吸附的蚀刻反应物,以及使所述衬底暴露于所述第二等离子体这些步骤,直到所述凹入特征达到所述最终深度。
3.根据权利要求2所述的方法,其中所述蚀刻反应物包括碳氟化合物。
4.根据权利要求1所述的方法,其中,当所述凹入特征达到所述最终蚀刻深度时,所述半导体材料暴露。
5.根据权利要求1-4中任一项所述的方法,其中,所述第一蚀刻操作包括:
使所述衬底暴露于碳氟化合物等离子体以在所述衬底上沉积碳氟聚合物层,以及
使所述衬底暴露于所述第一等离子体以蚀刻所述碳氟聚合物和电介质。
6.根据权利要求5所述的方法,其中,使所述衬底暴露于所述第一等离子体包括执行碳氟聚合物溅射阶段以及执行激活的蚀刻阶段。
7.根据权利要求6所述的方法,其中使所述衬底暴露于所述第一等离子体还包括在所述碳氟聚合物基本上在所述激活的蚀刻阶段被去除后执行纯溅射阶段。
8.根据权利要求6所述的方法,其中在所述碳氟聚合物溅射阶段和所述激活的蚀刻阶段期间,用于产生所述第一等离子体的总的RF功率为约900瓦或小于900瓦,在所述第一反应室中的压强是介于约10-40毫托之间,并且所述衬底保持在介于约60-110℃之间,
其中,在所述碳氟聚合物溅射阶段期间,所述第一等离子体从以介于约20-2000sccm之间的速率流动的惰性气体产生,
并且其中,在所述激活的蚀刻阶段期间,所述第一等离子体从以介于约40-1000sccm之间的速率流动的惰性气体产生。
9.根据权利要求5所述的方法,其中用于生成所述碳氟化合物等离子体的气体包括碳氟化合物和惰性气体,并且,其中用于产生所述第一等离子体的气体包括惰性气体。
10.根据权利要求9所述的方法,其中用于产生所述第一等离子体的气体基本上不包括非惰性物质。
11.根据权利要求1所述的方法,其中,在所述电介质材料中的所述第一组离子的所述平均自由程介于约1-10纳米之间。
12.根据权利要求1-4中任一项所述的方法,其中,所述电介质材料是氧化硅,并且其中,所述凹入特征是接触孔,随后在所述接触孔中形成触点。
13.根据权利要求1-4中任一项所述的方法,其中,所述凹入特征为用于FinFET的接触孔。
14.根据权利要求13所述的方法,其中在所述最终深度,经蚀刻的所述特征具有介于约7-30纳米之间的宽度。
15.根据权利要求13所述的方法,其中在所述最终深度,经蚀刻的所述特征具有介于约40-150纳米之间的深度。
16.根据权利要求13所述的方法,其中在所述最终深度,经蚀刻的所述特征具有介于约4:1和12:1之间的高度:宽度的高宽比。
17.根据权利要求1-4中任一项所述的方法,其中,所述第一组离子具有在所述电介质材料中的最大穿透深度,并且其中,在所述第一蚀刻操作之后,在所述第一蚀刻深度下且在所述半导体材料上方的所述剩余电介质材料的厚度至少是约为所述电介质材料中的所述第一组离子的所述最大穿透深度。
18.一种在半导体衬底上的电介质材料中蚀刻凹入特征的装置,该装置包括:
第一反应室,其包括用于产生电容耦合等离子体的第一等离子体发生器;
第二反应室,其包括用于产生感应耦合等离子体的第二等离子体发生器,其中所述第一和第二反应室在群集工具中被一起提供;和
一个或多个控制器,其用于控制在所述第一和第二反应室中的工艺,所述一个或多个控制器包括用于下述操作的指令:
在所述第一反应室中执行第一蚀刻操作以将所述电介质材料中的所述凹入特征蚀刻到第一深度,所述第一蚀刻操作包括:
使所述衬底暴露于包括在所述电介质材料中具有平均自由程的第一组离子的第一等离子体,
在所述第一蚀刻深度下且在所述半导体材料上方剩余的所述电介质材料达到将小于所述电介质材料中的所述第一组离子的所述平均自由程的厚度之前,使所述第一蚀刻操作停止;
将所述衬底从所述第一反应室传送到所述第二反应室;以及
在所述第二反应室中执行第二蚀刻操作以将所述电介质材料中的所述凹入特征蚀刻至最终深度,所述第二蚀刻操作是原子层蚀刻处理,所述原子层蚀刻处理包括:
使所述衬底暴露于第二等离子体,所述第二等离子体是感应耦合等离子体。
19.根据权利要求18所述的装置,其中用于执行所述第二蚀刻操作的所述指令进一步包括用于下述操作的指令:
使所述衬底暴露于蚀刻反应物,并使所述蚀刻反应物能吸附到所述衬底的表面上,
从所述第二反应室去除未被吸附的蚀刻反应物,
使所述衬底暴露于所述第二等离子体以驱动在被吸附的反应物中的物质和在所述凹入特征中的电介质材料之间的反应,从而去除所述电介质材料的一部分,以及
重复使所述衬底暴露于蚀刻反应物、去除所述未被吸附的蚀刻反应物,以及使所述衬底暴露于所述第二等离子体这些步骤,直到所述凹入特征达到所述最终深度。
20.根据权利要求18所述的装置,其中用于执行所述第一蚀刻操作的所述指令进一步包括用于下述操作的指令:
使所述衬底暴露于碳氟化合物等离子体以在所述衬底上沉积碳氟聚合物层,以及
使所述衬底暴露于所述第一等离子体以蚀刻所述碳氟聚合物和电介质。
21.根据权利要求18-20中任一项所述的装置,其中用于使所述衬底暴露于所述第一等离子体的指令包括用于执行碳氟聚合物溅射阶段以及激活的蚀刻阶段的指令。
22.根据权利要求21所述的装置,其中用于使所述衬底暴露于所述第一等离子体的指令进一步包括用于在所述碳氟聚合物基本上在所述激活的蚀刻阶段去除后执行纯溅射阶段的指令。
23.根据权利要求21所述的装置,其中用于执行所述碳氟聚合物溅射阶段的指令包括指令以:
使惰性气体以介于约20-2000sccm之间的速率流动以产生所述第一等离子体,
供应约900瓦或小于900瓦的总的RF功率以产生所述第一等离子体,
在所述第一反应室中将压强保持在介于约10-40毫托之间,以及
将所述衬底保持在介于约60-110℃之间的温度下,并且
其中用于执行所述激活的蚀刻阶段的指令包括指令以:
使惰性气体以介于约40-1000sccm之间的速率流动以产生所述第一等离子体,
供应约900瓦或小于900瓦的总的RF功率以产生所述第一等离子体,
在所述第一反应室中将压强保持在介于约10-40毫托之间,以及
将所述衬底保持在介于约60-110℃之间的温度下。
24.根据权利要求18-20中任一项所述的装置,其中用于执行所述第一蚀刻操作的所述指令进一步包括用以在所述第一蚀刻深度介于约40-150纳米之间时停止所述第一蚀刻操作的指令。
CN201510974810.1A 2014-12-22 2015-12-22 用于电介质蚀刻应用的集成蚀刻/清洁 Active CN105719952B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462095444P 2014-12-22 2014-12-22
US62/095,444 2014-12-22
US14/612,095 2015-02-02
US14/612,095 US9396961B2 (en) 2014-12-22 2015-02-02 Integrated etch/clean for dielectric etch applications

Publications (2)

Publication Number Publication Date
CN105719952A true CN105719952A (zh) 2016-06-29
CN105719952B CN105719952B (zh) 2019-01-15

Family

ID=56130286

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510974810.1A Active CN105719952B (zh) 2014-12-22 2015-12-22 用于电介质蚀刻应用的集成蚀刻/清洁

Country Status (4)

Country Link
US (1) US9396961B2 (zh)
KR (1) KR20160076471A (zh)
CN (1) CN105719952B (zh)
TW (1) TW201635382A (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108987271A (zh) * 2017-05-31 2018-12-11 Asm Ip控股有限公司 使用氢等离子体进行原子层蚀刻的方法
CN110249412A (zh) * 2017-02-06 2019-09-17 朗姆研究公司 介电触点蚀刻
CN110268507A (zh) * 2017-02-06 2019-09-20 朗姆研究公司 氢活化的原子层蚀刻
CN110473770A (zh) * 2018-05-11 2019-11-19 东京毅力科创株式会社 氧化物的原子层蚀刻的方法
CN110970288A (zh) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 用于接触件的预清洁
TWI763759B (zh) * 2016-12-23 2022-05-11 美商蘭姆研究公司 受暴露以供處理之基板的表面之原子層蝕刻之執行方法及系統
CN114512541A (zh) * 2020-11-17 2022-05-17 和舰芯片制造(苏州)股份有限公司 一种半导体衬底的沟槽栅蚀刻方法及器件
CN113097378B (zh) * 2019-12-23 2023-08-22 Spts科技有限公司 等离子体蚀刻的方法

Families Citing this family (312)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9645019B2 (en) * 2013-01-21 2017-05-09 Kinova Dielectric geometry for capacitive-based tactile sensor
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10615073B2 (en) * 2015-02-15 2020-04-07 Acm Research (Shanghai) Inc. Method for removing barrier layer for minimizing sidewall recess
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) * 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
WO2018048925A1 (en) * 2016-09-06 2018-03-15 Tokyo Electron Limited Method of quasi atomic layer etching
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9754798B1 (en) 2016-09-28 2017-09-05 International Business Machines Corporation Hybridization fin reveal for uniform fin reveal depth across different fin pitches
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9997364B2 (en) * 2016-10-19 2018-06-12 Lam Research Corporation High aspect ratio etch
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6861535B2 (ja) * 2017-02-28 2021-04-21 東京エレクトロン株式会社 処理方法及びプラズマ処理装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10269576B1 (en) 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10720334B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Selective cyclic dry etching process of dielectric materials using plasma modification
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10790157B1 (en) * 2019-07-16 2020-09-29 University Of Maryland, College Park Achieving etching selectivity for atomic layer etching processes by utilizing material-selective deposition phenomena
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11562909B2 (en) * 2020-05-22 2023-01-24 Applied Materials, Inc. Directional selective junction clean with field polymer protections
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5670426A (en) * 1996-01-29 1997-09-23 Taiwan Semiconductor Manufacturing Company Ltd. Method for reducing contact resistance
US6756315B1 (en) * 2000-09-29 2004-06-29 Cypress Semiconductor Corporation Method of forming contact openings
CN102376627A (zh) * 2010-08-10 2012-03-14 中芯国际集成电路制造(上海)有限公司 接触孔的形成方法
CN103748658A (zh) * 2011-07-20 2014-04-23 朗姆研究公司 使用从惰性气体形成的亚稳态体的原子层蚀刻

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2814021B2 (ja) * 1990-07-09 1998-10-22 三菱電機株式会社 半導体基板表面の処理方法
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JPH11307512A (ja) * 1998-04-23 1999-11-05 Sony Corp エッチング方法
US6227211B1 (en) * 1998-12-07 2001-05-08 Taiwan Semiconductor Manufacturing Company Uniformity improvement of high aspect ratio contact by stop layer
KR100322545B1 (ko) * 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6849193B2 (en) * 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
US20030228768A1 (en) * 2002-06-05 2003-12-11 Applied Materials, Inc. Dielectric etching with reduced striation
JP2005317684A (ja) * 2004-04-27 2005-11-10 Eudyna Devices Inc ドライエッチング方法および半導体装置
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US8883584B2 (en) * 2012-07-03 2014-11-11 Institute of Microelectronics, Chinese Academy of Sciences Method of manufacturing semiconductor device with well etched spacer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5670426A (en) * 1996-01-29 1997-09-23 Taiwan Semiconductor Manufacturing Company Ltd. Method for reducing contact resistance
US6756315B1 (en) * 2000-09-29 2004-06-29 Cypress Semiconductor Corporation Method of forming contact openings
CN102376627A (zh) * 2010-08-10 2012-03-14 中芯国际集成电路制造(上海)有限公司 接触孔的形成方法
CN103748658A (zh) * 2011-07-20 2014-04-23 朗姆研究公司 使用从惰性气体形成的亚稳态体的原子层蚀刻

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI763759B (zh) * 2016-12-23 2022-05-11 美商蘭姆研究公司 受暴露以供處理之基板的表面之原子層蝕刻之執行方法及系統
CN110249412A (zh) * 2017-02-06 2019-09-17 朗姆研究公司 介电触点蚀刻
CN110268507A (zh) * 2017-02-06 2019-09-20 朗姆研究公司 氢活化的原子层蚀刻
CN108987271A (zh) * 2017-05-31 2018-12-11 Asm Ip控股有限公司 使用氢等离子体进行原子层蚀刻的方法
CN108987271B (zh) * 2017-05-31 2023-11-14 Asm Ip控股有限公司 使用氢等离子体进行原子层蚀刻的方法
CN110473770A (zh) * 2018-05-11 2019-11-19 东京毅力科创株式会社 氧化物的原子层蚀刻的方法
CN110473770B (zh) * 2018-05-11 2024-04-02 东京毅力科创株式会社 氧化物的原子层蚀刻的方法
CN110970288A (zh) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 用于接触件的预清洁
CN110970288B (zh) * 2018-09-28 2022-06-28 台湾积体电路制造股份有限公司 用于接触件的预清洁
CN113097378B (zh) * 2019-12-23 2023-08-22 Spts科技有限公司 等离子体蚀刻的方法
CN114512541A (zh) * 2020-11-17 2022-05-17 和舰芯片制造(苏州)股份有限公司 一种半导体衬底的沟槽栅蚀刻方法及器件
CN114512541B (zh) * 2020-11-17 2024-03-15 和舰芯片制造(苏州)股份有限公司 一种半导体衬底的沟槽栅蚀刻方法及器件

Also Published As

Publication number Publication date
CN105719952B (zh) 2019-01-15
US20160181117A1 (en) 2016-06-23
US9396961B2 (en) 2016-07-19
TW201635382A (zh) 2016-10-01
KR20160076471A (ko) 2016-06-30

Similar Documents

Publication Publication Date Title
CN105719952B (zh) 用于电介质蚀刻应用的集成蚀刻/清洁
JP7058080B2 (ja) Aleおよび選択的蒸着を用いた基板のエッチング
TWI680509B (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
US10741407B2 (en) Reduction of sidewall notching for high aspect ratio 3D NAND etch
JP6964964B2 (ja) チェンバ内で基板を処理する方法およびその装置
TWI690241B (zh) 透過對於能量吸收劑氣體之碰撞共振能量轉移的電漿之真空紫外線放射調整
US10847377B2 (en) Method of achieving high selectivity for high aspect ratio dielectric etch
US20160268141A1 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
TW201635334A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
TW201639027A (zh) 用於高深寬比圓筒狀物蝕刻的含金屬側壁鈍化層之沉積技術
CN105762073A (zh) 用于各向异性钨蚀刻的方法和装置
TW201724254A (zh) 用於高深寬比介電蝕刻之遮罩收縮層
TW201630067A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
TW201619433A (zh) 差分式抽取反應性氣體注射器
KR20220149611A (ko) 몰리브덴의 원자 층 에칭
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
KR20220148253A (ko) 고 종횡비 3D NAND 에칭을 위한 측벽 노칭 (notching) 감소
TW201835377A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
TW201806028A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
TW202135293A (zh) 對於高深寬比之3d nand 蝕刻的側壁缺口縮減
TW202219644A (zh) 用於在euv圖案化中減少缺陷的多層硬遮罩

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant