TW201635382A - 用於介電蝕刻應用之整合式蝕刻/清潔 - Google Patents

用於介電蝕刻應用之整合式蝕刻/清潔 Download PDF

Info

Publication number
TW201635382A
TW201635382A TW104142880A TW104142880A TW201635382A TW 201635382 A TW201635382 A TW 201635382A TW 104142880 A TW104142880 A TW 104142880A TW 104142880 A TW104142880 A TW 104142880A TW 201635382 A TW201635382 A TW 201635382A
Authority
TW
Taiwan
Prior art keywords
etching
plasma
substrate
recessed feature
dielectric material
Prior art date
Application number
TW104142880A
Other languages
English (en)
Inventor
瑞加 阿爾加瓦尼
沙珊克 C 德許牧克
艾瑞克 A 哈得森
湯姆 坎伯
暹華 陳
傑瑞多 阿德里安 戴加迪諾
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201635382A publication Critical patent/TW201635382A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

文中實施例提供用以在介電材料中蝕刻凹陷特徵部的方法及設備。在各種實施例中,凹陷特徵部係以兩蝕刻操作形成。第一蝕刻操作部分地蝕刻該特徵部,並於用以產生電容耦合電漿的反應器中進行。由於離子會貫穿半導體材料上的介電材料,因此在下方的半導體材料經歷實質損害之前終止第一蝕刻操作 。第二蝕刻操作可在用以產生感應耦合電漿的反應器中進行。第一蝕刻操作與第二蝕刻操作兩者本身可為多步驟的週期性處理。

Description

用於介電蝕刻應用之整合式蝕刻/清潔
本發明係關於介電蝕刻應用之整合式蝕刻/清潔。
製造各種半導體裝置涉及進行接觸洞蝕刻,在接觸洞蝕刻中介電材料受到蝕刻以形成凹陷,之後於凹陷中形成電接觸件。當裝置尺寸持續縮小,愈來愈難進行具有充分選擇比及可接受之低度裝置損害的此接觸洞蝕刻。
文中的某些實施例係關於在介電材料中蝕刻凹陷特徵部用的方法及裝置。通常,介電材料被置於半導體材料的上方,半導體材料對於來自離子的損害極為敏感。可利用兩基本蝕刻操作形成凹陷特徵部,第一蝕刻操作係利用電容耦合電漿來進行、而第二蝕刻操作係利用感應耦合電漿來進行。第一蝕刻操作通常在來自電容耦合電漿之離子可實質上貫穿剩餘的介電材料而損害下方的半導體材料之前便停止。第二蝕刻操作在較緩和的條件下完成凹陷特徵部之蝕刻,緩和的條件較不易損害下方的基板材料。在此方式下,能避免損害下方的半導體材料且毋需移除或修復受到損害的半導體材料。
在文中實施例的一態樣中,提供一種在半導體基板中蝕刻凹陷特徵部的方法,此方法包含:將位於一半導體材料上方之包含一介電材料的一基板提供至一第一反應室,其中欲在該介電材料中形成該凹陷特徵部;在該第一反應室中進行一第一蝕刻操作,以在該介電材料中將該凹陷特徵部蝕刻至一第一深度,該第一蝕刻操作包含將該基板暴露至包含一第一組離子的一第一電漿,該第一組離子在該介電材料中具有一平均自由路徑,該第一電漿為一電容耦合電漿,在該第一蝕刻操作之後,在該第一蝕刻深度之下且在該半導體材料之上之剩餘的該介電材料具有一厚度,該厚度係至少約該第一組離子在該介電材料中的該平均自由路徑;將該基板自該第一反應室傳送至一第二反應室;在傳送該基板後,在該第二反應室中進行一第二蝕刻操作,以將該凹陷特徵部蝕刻至一最終深度,其中該第二蝕刻操作為一原子層蝕刻操作,該原子層蝕刻操作包含將該基板暴露至一第二電漿,該第二電漿為一感應耦合電漿。
在某些實施例中,該第二蝕刻操作包含:將該基板暴露至一蝕刻反應物、並使該蝕刻反應物吸附至該基板之一表面上;自該第二反應室移除未吸附之該蝕刻反應物;將該基板暴露至該第二電漿、以驅動已吸附之該蝕刻反應物中之一物種與該凹陷特徵部中之該介電材料之間的一反應,藉此移除該介電材料的一部分;及重覆下列步驟:將該基板暴露至該蝕刻反應物的步驟、移除未吸附之該蝕刻反應物的步驟、及將該基板暴露至該第二電漿的步驟,直到該凹陷特徵部到達該最終深度。該蝕刻反應物可包含一氟碳化合物。在各種情況中,當該凹陷特徵部到達該最終蝕刻深度時,該半導體材料係受到暴露。
在某些情況中該第一蝕刻操作包含:將該基板暴露至一氟碳電漿,以在該基板上沉積一層氟碳聚合物;及將該基板暴露至該第一電漿,以蝕刻該氟碳聚合物與該介電材料。在某些實施例中,將該基板暴露至該第一電漿的步驟包含:進行一氟碳聚合物濺射階段;及進行一活化蝕刻階段。將該基板暴露至該第一電漿的步驟更可包含:在該活化蝕刻階段中,在實質移除該氟碳聚合物之後進行一純濺射階段。在某些實施例中,在該氟碳聚合物濺射階段與該活化蝕刻階段期間,用以產生該第一電漿之一總RF功率係介於約900 W或更少之間、該第一反應室中的一壓力係介於約10-40 mTorr之間、且該基板係維持在約60-100°C之間。該第一電漿在該氟碳聚合物濺射階段期間可自一流率介於約2-2000 sccm之間的惰性氣體所產生,在該活化蝕刻階段可自一流率介於約 40-1000 sccm 的惰性氣體所產生。在某些實施例中,用以產生該氟碳電漿的氣體包含氟碳化合物與惰性氣體,用以產生該第一電漿的氣體包含惰性氣體。例如在某些情況中,用以產生該第一電漿的該氣體實質上不包含非惰性物種。
該第一蝕刻操作通常在該第一蝕刻深度之下且在該半導體材料之上的該剩餘介電材料之該厚度係至少等於該第一組離子在該介電材料中的該平均自由路徑時停止。在某些情況中,該第一組離子在該介電材料中的該平均自由路徑係介於約1-10 nm。在某些情況中,該第一組離子在該介電材料中的該平均自由路徑係介於約2-5 nm。
在各種實施例中,該介電材料為一矽的氧化物。該凹陷特徵部可為一接觸洞,在該接觸洞中後續欲形成一接觸件。在某些情況中,該凹陷特徵部為一鰭式場效電晶體(Fin Field Effect Transistor, FinFET)的一接觸洞。經蝕刻之該特徵部可具有特定的尺寸。例如在某些情況中,當該凹陷特徵部到達該最終深度時,該凹陷特徵部具有介於約7-30 nm的一寬度。在某些情況中,該最終深度可介於約40-150 nm之間。又,當該凹陷特徵部係到達其最終深度時,其可具有介於約4:1至12:1之間的一高寬比(高:寬)。
在一特定的實施例中,該第一組離子在該介電材料中具有一最大的貫穿深度,在該第一蝕刻操作之後,在該第一蝕刻深度之下且在該半導體材料之上之剩餘之該介電材料之該厚度係至少約為該第一組離子在該介電材料中的該最大的貫穿深度。
在文中實施例的另一態樣中,提供一種在半導體基板上之介電材料中蝕刻凹陷特徵部用的設備,該設備包含:一第一反應室,包含用以產生一電容耦合電漿的一第一電漿產生器;一第二反應室,包含用以產生一感應耦合電漿的一第二電漿產生器,該第一反應室與該第二反應室係皆設置於一叢集式設備中;及一或多個控制器,用以控制該第一反應室與該第二反應室內的複數處理,該一或多個控制器包含用於下列者之複數指令:在該第一反應室中進行一第一蝕刻操作,以在該介電材料中將該凹陷特徵部蝕刻至一第一深度,該第一蝕刻操作包含將該基板暴露至包含一第一組離子的一第一電漿,其中該第一組離子在該介電材料中具有一平均自由路徑、及在該第一蝕刻深度之下與一半導體材料之上之剩餘之該介電材料到達小於該第一組離子在該介電材料中之該平均自由路徑的一厚度之前停止該第一蝕刻操作;將該基板自該第一反應室傳送至該第二反應室;在該第二反應室中進行一第二蝕刻操作,以將該介電材料中的該凹陷特徵部蝕刻至一最終深度,該第二蝕刻操作為一原子層蝕刻處理,且包含將該基板暴露至一第二電漿,其中該第二電漿為該感應耦合電漿。
在某些實施例中,用以進行該第二蝕刻操作的該複數指令更包含用於下列者的複數指令:將該基板暴露至一蝕刻反應物,並使該蝕刻反應物吸附至該基板之一表面上;自該第二反應室移除未吸附之該蝕刻反應物;將該基板暴露至該第二電漿,以驅動已吸附之該蝕刻反應物中之一物種與該凹陷特徵部中之該介電材料之間的一反應,藉此移除該介電材料的一部分;及重覆下列步驟:將該基板暴露至該蝕刻反應物的步驟、移除未吸附之該蝕刻反應物的步驟、及將該基板暴露至該第二電漿的步驟,直到該凹陷特徵部到達該最終深度。
在各種情況中,用以進行該第一蝕刻操作的該複數指令可包含用於下列者的複數指令:將該基板暴露至一氟碳電漿,以在該基板上沉積一層氟碳聚合物;及將該基板暴露至該第一電漿,以蝕刻該氟碳聚合物與該介電材料。將該基板暴露至該第一電漿用的該複數指令可包含用以進行一氟碳聚合物濺射階段與一活化蝕刻階段的複數指令。將該基板暴露至該第一電漿用的該複數指令更可包含下列者用的複數指令:在該活化蝕刻階段中,於實質移除該氟碳聚合物之後,進行一純濺射階段。
在某些實施例中,用以進行該氟碳聚合物濺射階段的複數指令包含用於下列者的複數指令:使惰性氣體在介於約20-2000 sccm之間的一流率流動,以產生該第一電漿;供給用以產生該第一電漿之約900 W 或更少的一總RF功率;將該第一反應室中的一壓力維持在約10-40 mTorr之間;及將該基板維持在介於約60-110°C的一溫度。用以進行該活化蝕刻階段的複數指令包含用於下列者的複數指令:使惰性氣體在介於約40-1000 sccm之間的一流率流動以產生該第一電漿;供給用以產生該第一電漿之約900 W 或更少的一總RF功率;將該第一反應室中的一壓力維持在約10-40 mTorr之間;及將該基板維持在介於約60-110°C的一溫度。在某些情況中,用以進行該第一蝕刻操作的該複數指令包含用於下列者的複數指令:當該第一蝕刻深度係介於約40-150 nm之間時停止該第一蝕刻操作。
下面將參考附圖說明此些與其他特徵。
在本申請案中,「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」及「部分製造完成之積體電路」等詞可互換使用。熟知此項技藝者當瞭解,「部分製造完成之積體電路」一詞可指於矽晶圓上進行之積體電路製造之眾多階段中之任何階段期間的矽晶圓。在半導體裝置業界中所用的晶圓或基板通常具有200、300、或450 mm的直徑。下面的詳細說明假設本發明係於晶圓上施行。然而,本發明不限於此。工作件可具有各種形狀、各種尺寸、及各種材料。除了半導體晶圓外,可受惠於本發明的其他工作件包含各種物品,如印刷電路板、磁性記錄媒體、磁性記錄感應器、鏡、光學元件、微機械裝置等。
在下面的敘述中將提供各種特定細節以提供對所述實施例的全面瞭解。本發明之實施例可在缺乏部分或全部此些特定細節的情況下實施。在其他的情況下,不詳細說明習知的處理操作以免不必要地模糊本發明之實施例。雖然將利用特定實施例來說明本發明實施例,但應瞭解,其意不在限制本發明實施例。背景及處理流程
製造半導體裝置的一重要處理涉及在多個垂直分離的區域之間形成電連接。可進行接觸洞蝕刻,以在介電材料(通常為二氧化矽)中蝕刻出凹陷特徵部(又被稱為接觸洞)。接著可以導電材料填滿此接觸洞,以電連接垂直分離之區域。文中的某些實施例係關於用以蝕刻接觸洞的方法及設備。在某些其他實施例中,文中所述的方法及設備可用以蝕刻通孔、溝槽、或其他凹陷特徵部。
蝕刻接觸洞用的某些傳統處理涉及配有電容耦合電漿產生器的反應室。在接觸洞蝕刻期間,使用氟碳電漿來選擇性地蝕刻二氧化矽。理想地,在移除矽的氧化物時,僅最少量地蝕刻或完全不蝕刻矽(或矽鍺)與矽的氮化物(如Si3 N4 )。如氫及/或氟匱乏的氟碳化合物(如C4 F8 ) 的清除氣體(scavenger gas)可用以調整各種材料的蝕刻率。此些清除氣體亦可影響在蝕刻期間所形成之氟碳聚合物的形成率。
又,在某些接觸洞蝕刻傳統處理中,使用先進的混合模式脈動(advanced mixed mode pulsing, AMMP)以脈動RF與氣體輸送兩者。是以,可發生包含了沉積與活化之基本步驟的週期性多步驟蝕刻處理。更具體而言,此些步驟可包含:(a)氟碳聚合物沉積;(b)氟碳聚合物濺射/薄化;(c)活化蝕刻;及(d)純濺射。步驟(b)-(d)可發生在活化步驟中。此多步驟處理會對相關的材料(如SiO2 Si、Si3 N4 )產生高度選擇比。在下列的文獻中更進一步地討論說明了與介電材料蝕刻處理相關的細節,將其所有內容包含於此作為參考:2013年7月9日申請之名為「FLUOROCARBON BASED ASPECT-RATIO INDEPENDENT ETCHING」的美國專利申請案US 13/937,930;Metzler 等人在期刊Journal of Vacuum Science & Technology A, 32, 020603 (2014)中名為「Fluorocarbon assisted atomic layer etching of SiO2 using cyclic Ar/C4 F8 plasma」的論文。
雖然上述之多步驟電容耦合處理能在蝕刻期間產生極佳的選擇比,但由於此處理使用高離子能量,因此會對下層造成某種程度的損害。例如,位於受到蝕刻之介電材料下方的半導體材料晶格(如矽或其他基板的半導體材料)會因為過度的離子轟擊而被損害。在蝕刻期間,某些離子會貫穿介電材料而進入下方的半導體材料中。此些離子會造成嚴重的損害並導致實質的裝置退化。一般而言,受損的半導體材料會被氧化並經由獨立的處理步驟(如濕式清潔步驟)移除。由於較高的離子能量係用以蝕刻高深寬比的狹窄特徵部,因此此問題在10-20 nm的技術節點或更小的技術節點尤其嚴重。較低的離子能量可對下層基板造成較少的損害,但其通常不可行,因為較低的離子能量涉及較長的處理時間,因而導致特徵部開口變大而成為大於期望尺寸的較寬尺寸。
對於某些半導體製造處理可使用的另一類型反應室為配有用以產生感應耦合電漿(亦被稱為變壓器耦合電容調變電漿 transformer coupled capacitively tuned plasma)之電漿產生器的反應室。在感應耦合電漿反應室中,可變化變壓器耦合電容調變(TCCT)參數,以明確指定欲施加至線圈中央之功率部分與欲施加至線圈外區段之功率部分。在較高的TCCT設定下,較多的功率被導向TCP線圈的中央區段。此類電漿/反應室有利於促進高度電漿均勻度且常被用來蝕刻導電材料。某些技術可導致較佳的微負載,如偏壓脈動。電漿均勻度、微負載、及其他因素致使精確移除少量材料(如在每一週期中單層材料)、甚至在某些情況中自溝槽底部移除材料得以發生。藉著經由AMMP脈動RF與氣體輸送,能得到原子層清潔與原子層蝕刻處理。
在文中的各種實施例中,利用電容耦合電漿進行蝕刻處理的初始部分、並利用感應耦合電漿進行蝕刻的最終部分。蝕刻處理的每一部分可在不同的反應室中進行。兩反應室可位於單一叢集設備上。叢集設備可包含用以將基板自一反應室傳送至下一反應室、並於傳送期間保護基板(例如維持基板上的真空)的加載互鎖或其他機構。
蝕刻的第一部分使用電容耦合電漿,以選擇性地蝕刻介電材料中的溝槽。可用以進行此蝕刻處理之第一部分之反應室的一實施例為加州費利蒙蘭姆研究企業所販售之2300® Flex™產品家族。蝕刻的此第一部分可根據上述之傳統多步驟蝕刻處理進行,下面將更詳細地討論。然而,蝕刻的第一部分在蝕刻完成之前便停止(即到達下方之半導體材料之前便停止)。蝕刻的第一部分提早停止,以避免離子貫穿剩餘的介電材料而損害下方的半導體材料。
圖1A-1C繪示根據各個實施例之接觸洞蝕刻期間部分製造完成的半導體裝置100的橫剖面圖。圖2顯示根據各個實施例之用以進行接觸洞蝕刻的流程圖。圖2之方法將參照圖1A-1C中所示之部分製造完成的裝置加以說明。該方法始於操作151,在操作151中將基板提供至配有用以產生電容耦合電漿之電漿產生器的第一反應室。一開始之基板係顯示於圖1A中。金屬閘極結構130係置於下方的半導體基板層101上方。金屬閘極結構130可包含閘極介電層105(如HfO2 或其他高介電常數之介電材料)、金屬閘極120、蓋層122、及間隙壁110。在金屬閘極結構130之間存在著介電材料114。額外的介電材料124 (其可和介電材料114使用相同或不同的材料)係設置於金屬閘極結構130與介電材料114上方。可將額外的接觸洞蝕刻停止層(未顯示)設置於間隙壁110與介電材料114之間。蓋層122與間隙壁110(以及接觸洞蝕刻停止層,若其存在的話)有助於在蝕刻期間保護金屬閘極120。
在操作153處,於第一反應室中進行第一蝕刻操作。第一蝕刻操作為如下將更詳細說明之電容耦合電漿蝕刻操作。接下來,在操作155處,當凹陷特徵部達到特定深度時停止第一蝕刻操作。
圖1B顯示在第一蝕刻操作153完成之後之部分製造完成之半導體裝置100。第一蝕刻操作153在操作155之處停止,此時在經蝕刻之凹陷之底部與下方的半導體材料101之間具有距離140。為了避免損害下方的半導體材料101,距離140應至少等於離子通過介電材料的平均自由路徑(在某些情況下距離至少等於離子在介電材料中的最大貫穿距離)。離子會在介電材料中貫穿至某個貫穿距離範圍。離子在介電材料中/通過介電材料的平均自由路徑乃為離子與介電材料中的某物相撞之前離子在介電材料中的平均移動距離。離子在介電材料中/通過介電材料的最大貫穿距離代表離子與介電材料中的某物相撞之前、離子在介電材料中的最大移動距離。距離140應充分地大,以使下方的基板101實質上受到保護而不受第一蝕刻操作153之離子的損害。在許多情況中,當距離140至少等於離子在介電材料中之平均自由路徑時能達到此目的。離子在介電材料中的平均自由路徑以及離子在介電材料中的最大貫穿距離取決於例如離子能量、離子類型、及介電材料的特性。在某些情況中,離子在介電材料中的平均自由路徑(及/或最大貫穿距離)係介於約1-10 nm之間、或尤其是介於約2-5 nm之間、例如約3 nm。
接下來,在操作157處將基板自第一反應室傳送至第二反應室。第二反應室配有用以產生感應耦合電漿(如變壓器耦合電容調變電漿)之電漿產生器。在操作159處,基板在第二反應室中經歷第二蝕刻操作以完成凹陷特徵部之蝕刻。圖1C顯示在完成第二蝕刻操作159之後部分製造完成之裝置100。在許多實施例中,第二蝕刻操作159係以週期性方式進行。一般而言,其係使用原子層清潔處理,下面會更進一步地說明。第二蝕刻操作159以一層接著一層的方式持續蝕刻凹陷特徵部,以緩和地暴露下方的半導體材料101。第二蝕刻操作159不依賴方向性的高能量離子(和第一蝕刻操作153相對),因此可用以完成特徵部蝕刻而不損害下方的半導體材料101。在各種實施例中,此下方的半導體材料101包含源極區域與汲極區域。在某些實施例中,源極與汲極區域可較基板的其他部分稍微突出或凹陷。在某些此類情況中,源極與汲極區域係由矽、碳化矽、或矽鍺所製成。在一特定的實施例中,突出的源極與汲極區域係由矽鍺所製成。
在某些實施例中,所揭露的實施例係於製造場效電晶體(FET) 的文義下實施,尤其是FinFET。隨著裝置的設計與製造方法演進,FET的尺寸持續縮小。例如,使用應力工程及使用金屬閘極使得製造得以在持續縮小的技術節點下進行。近來技術節點中所用的小尺寸為製造期間帶來各種挑戰。
FET中的一最新進展為自平面電晶體邁向3D電晶體。一例示性的設計為FinFET,在FinFET中閘極包裹圍繞導電通道、俾使閘極接觸通道的三面。此包裹圍繞的閘極對通道提供較佳的靜電控制,因此有助於降低裝置的漏電流並克服其他短通道效應。在各種實施例中,所揭露的蝕刻處理可用於製造FinFET裝置的文義下。在某些實例中,所揭露之蝕刻處理尤其可用於FinFET裝置用之接觸洞蝕刻的文義下。 在此些或其他情況中,蝕刻處理可為14 nm技術節點(或更小)之裝置中的接觸洞或其他凹陷特徵部的蝕刻。例如在一般的某些情況中,經由所揭露之方法所形成的凹陷特徵部具有約20 nm 或更小的開口/寬度(通常被稱為關鍵尺寸)與至少約7的深寬比(在特徵部完全蝕刻完成後)。
在某些實施例中,存在於基板上的各種結構/膜層/材料可具有特定的尺寸。參考圖1A,相鄰之閘極結構130之間之中心至中心距離161可介於約40-100 nm之間,如介於約60-80 nm之間。此中心至中心距離161亦可被稱為閘極節距161。相鄰之金屬閘極120之側壁之間的距離162(包含間隙壁之厚度)可介於約 20-80 nm之間,例如介於約40-60 nm之間。相鄰之間隙壁110之側壁之間的距離163(排除間隙壁之厚度)可介於約10-60 nm之間、或介於約20-40 nm之間。設置在金屬閘極120周圍的間隙壁110可具有介於約5-20 nm之間的厚度,如介於約7-13 nm之間。圖1A中此間隙壁厚度係沿著從左到右的方向量測。例示性的尺寸係關於以14 nm節點製造之裝置且可根據以其他節點所製造之裝置適當地縮放。
又,在各種實施例中,被製造的裝置包含特定的材料。例如,在某些情況中,介電材料114及/或額外的介電材料124為矽的氧化物(如SiO2 )或SiOCN。在某些情況中,間隙壁110可自氮氧化矽所製成。在此些或其他實施例中,間隙壁係由氮化矽(SiN)或經摻雜的矽的氧化物(如碳摻雜之矽的氧化物)。在半導體基板層101內,可存在FET(如FinFET)的源極與汲極區域。在此情況中,源極可為凹陷的/突出的SiGe、Si、或SiC,汲極區域可為此些相同的材料。在某些特定的實例中,金屬閘極120可由W、Al、Ta與Ti系的金屬、及/或合金、及此些金屬的組合所製成,閘極介電層105可為高介電常數(high-k)介電材料,如氮氧化矽、或鉿系材料,如HfSiON、HfO2 、與HfSiO、或Al系氧化物、或Zr系氧化物。第一蝕刻操作
第一蝕刻操作係用以蝕刻凹陷特徵部的初始/上部。此處理通常涉及使用電容耦合電漿且導致非等向性蝕刻。在各種實施例中,此蝕刻操作係以週期性方式進行。一般而言,週期性處理可包含沉積步驟與活化/蝕刻步驟。在沉積步驟期間,可沉積一薄層的氟碳聚合物於基板表面上。在活化/蝕刻步驟期間可發生三個不同的處理,其包含氟碳聚合物濺射/薄化、活化蝕刻、及純濺射。在某些情況中,可省略純濺射步驟。
圖3A顯示根據某些實施例之例示第一蝕刻操作的時序圖。氧化物被蝕刻的量係顯示於x軸上方,存在的氟碳聚合物的量係顯示於x軸下方(但兩者的值都是正的)。圖3B繪示在圖3A中所示之蝕刻操作期間,於各個時間處部分製造完成之半導體裝置。圖3C顯示說明圖3A與3B中所示之處理的流程圖。後續將一起說明圖3A-3C。
第一蝕刻操作始於操作301,將其上具有矽之氧化物341的基板提供至第一反應室。基板通常包含許多額外的特徵部/結構/膜層(如閘極、遮罩等),此處為了清楚與簡單的目的將其省略。熟知此項技藝者當瞭解,圖3B中所示的蝕刻選擇性地發生在未被遮罩層所保護的區域中。
在操作303中將氟碳聚合物的一薄層342沉積至基板表面上。在某些情況中,氟碳聚合物342係沉積至數埃的厚度等級。為了沉積此氟碳聚合物,自氟碳化合物反應物產生氟碳電漿。通常提供惰性載氣,如Ar。在某些情況中,氟碳化合物反應物(Cx Fy )包含C4 F8 、C4 F6 、或其組合。氟碳化合物反應物的流率可介於約0.1-20 sccm之間,例如介於約0.5-5 sccm之間。載氣的流率可介於約20-2000 sccm之間。用以產生電漿的頻率可介於1-200 MHz之間。用以產生電漿之RF功率可介於約10-1000瓦之間,例如介於約30-300瓦之間。亦可使用兩種不同頻率之功率的組合,其總功率的範圍係類似於單一頻率之功率範圍。一般而言,使用低偏壓以在此步驟期間促進沉積並避免蝕刻。在沉積步驟期間的基板溫度可介於約20-200°C之間,例如介於約50-140°C之間。在此步驟期間之反應室壓力可介於約3-100 mTorr之間。沉積步驟可具有介於約1-30秒的持續期間。在各種實施例中,在每一週期期間,氟碳聚合物可被沉積至介於約0.2-8 nm之間的厚度,例如介於約1-4 nm之間。氟碳化合物沉積步驟可使用各種添加物,包含但不限於N2 、O2 、CO、CO2 、CF4 、CH2 F2 、NF3 、及其組合。如圖3A中所示,當氟碳化合物反應物被導入反應室中時,可蝕刻少量的氧化物。然而,由於使用低偏壓,被蝕刻的量仍少且有利於沉積氟碳聚合物。在圖3A中亦顯示,氟碳聚合物的量在操作303期間持續增加。
接下來,在操作305處濺射氟碳聚合物層。此濺射可經由離子濺射與惰性電漿(如氬,但亦可使用其他惰性氣體)發生。如在圖3A中所示,氟碳聚合物的厚度在氟碳聚合物沉積操作303終止時最厚,且在氟碳化合物濺射/薄化操作305開始時開始朝向零減少。在此操作期間,被蝕刻之氧化物的量維持實質常數。操作305、307、與309可一起被稱為活化步驟或蝕刻步驟,雖然在每一操作期間在基板上可發生不同的處理。在氟碳聚合物濺射/薄化步驟305期間,用以產生電漿之氣體的流率可介於約20-2000 sccm之間,如介於約50-400 sccm之間。此氣體通常為惰性氣體,如氬氣。用以產生電漿的頻率可例如是2 MHz 與60 MHz。用以產生電漿之2 MHz RF的功率可介於約0-300瓦之間,如介於約30-100瓦之間。用以產生電漿之60 MHz RF的功率可介於約30-600瓦之間,例如介於約50-200瓦之間。一般而言,使用中等的偏壓(高於在氟碳化合物沉積步驟303期間所用之偏壓),其有助於離子活化氟碳聚合物層下方之氧化物表面。氟碳化合物濺射/薄化步驟期間之基板溫度可介於約60-100°C之間或介於約80-110°C之間。在此步驟期間的反應室壓力可介於約10-40 mTorr之間。氟碳化合物濺射/薄化步驟可具有介於約2-10秒之間的持續期間。在某些實施例中,沉積條件(非偏壓之條件)在操作303與操作305之間不會改變。
在操作307處,進行活化蝕刻。離子貫穿氟碳化合物薄膜以活化在氟碳聚合物層與氧化物之間的界面。此類活化可始於操作305期間。在此步驟期間,如圖3A中所示,氟碳聚合物與氧化物兩者皆被移除。在活化蝕刻步驟307期間,用以產生電漿之氣體的流率可介於約40-1000 sccm之間,如介於約40-100 sccm之間。此氣體通常為惰性氣體,如氬氣。用以產生電漿的頻率可例如是2 MHz 與60 MHz。用以產生電漿之2 MHz RF的功率可介於約0-300瓦之間,如介於約30-100瓦之間。用以產生電漿之60 MHz RF的功率可介於約30-600瓦之間,例如介於約50-200瓦之間。在活化蝕刻步驟307期間的基板溫度可介於約 60-100°C之間或介於約80-110°C之間。此步驟期間的反應室壓力可介於約10-40 mTorr之間。活化蝕刻操作307可具有介於約2-10秒之間的持續時間。活化蝕刻操作307可使用添加物,尤其例如N2 、O2 、CO、CO2 、CF4 、C4 F8 、CH2 F2 、NF3 、與其組合。在活化蝕刻操作307之終點處,如圖3A中所示,實質上完全移除氟碳聚合物。
接下來,在操作309處,可進行純濺射操作。在某些情況中,可省略此步驟,在移除氟碳聚合物後便實質上停止蝕刻。是否進行純物理性的濺射大部分取決於撞擊基板之離子的能量。如圖3A中所示,在純濺射操作期間被蝕刻之氧化物的量持續增加。然而,在此純濺射操作期間,氧化物被移除的速率可小於在活化蝕刻操作307期間氧化物被移除的速率。在純濺射步驟309期間,用以產生電漿之氣體的流率可介於約20-2000 sccm之間,例如介於約50-400 sccm之間。此氣體通常為惰性氣體,如氬氣。用以產生電漿的頻率可例如是2 MHz 與60 MHz。用以產生電漿之2 MHz RF的功率可介於約0-300瓦之間,如介於約30-100瓦之間。用以產生電漿之60 MHz RF的功率可介於約30-600瓦之間,例如介於約50-200瓦之間。在純濺射操作309期間的基板溫度可介於約 60-100°C之間或介於約80-110°C之間。此步驟期間的反應室壓力可介於約10-40 mTorr之間。純濺射操作309可具有介於約2-10 秒之間的持續時間。
在完成操作309後,在操作311中判斷是否已移除了充分量的氧化物材料(即蝕刻深度是否充分高)。若答案是肯定的,完成第一蝕刻操作。若答案是否定的,蝕刻處理週期回到操作303,進行額外的蝕刻。一般而言,在第一蝕刻處理期間使用許多週期。在某些實施例中,週期的數目係介於約5-250次之間,例如介於約30-80次之間。在第一蝕刻操作中所達到的蝕刻深度可介於約10-200 nm之間,例如介於約60-120 nm之間。
在每一週期中所達到的蝕刻深度係與所用的反應條件相關,尤其是在每一週期期間是否完全移除氟碳聚合物。在許多實施例中,在每一週期期間完全移除或實質上完全移除氟碳聚合物。氟碳聚合物應充分地薄使得氟碳聚合物下方的氧化物得以離子活化。
與圖3A-3C相關的所述蝕刻處理可用以選擇性地蝕刻氧化物、但相對地不蝕刻氮化物材料,類似於蝕刻接觸洞時的通常情況。在此情況中,選擇所用的蝕刻化學品(如Cx Fy, 例如C4 F6 )係使形成在氮化物上的氟碳聚合物的蝕刻慢於形成在氧化物上之氟碳聚合物的蝕刻。在某些實施例中,蝕刻選擇比大概介於約5-15之間。藉著選擇活化/蝕刻步驟(即操作303-309)的適當持續期間,蝕刻處理可導致氟碳聚合物自氧化物完全蝕刻、但氟碳聚合物自氮化物不完全移除。在多次週期期間,蝕刻氧化物材料時累積氟碳聚合物而保護氮化物材料。
如上所述,持續第一蝕刻操作直到達到期望的蝕刻深度。在各種實施例中,第一蝕刻在剩餘的氧化物材料到達對應至離子在介電材料層之平均自由路徑的厚度時或之前停止。參考圖1B,距離140可大於或約等於離子在介電材料層之平均自由路徑。若在介電材料114與下方的半導體基板層101之間提供額外的蝕刻停止層,距離140包含此額外的蝕刻停止層的厚度。藉著使第一蝕刻操作在此點處停止可避免下方的半導體層受到損害。在某些實施例中,在第一蝕刻操作後剩餘的介電材料的厚度(圖1B中由距離140所代表)係介於約2-15 nm之間或介於約5-10 nm之間。在此些或其他情況中,此厚度可至少約為2 nm,例如至少約為5 nm。
可基於數個因素來判斷何時停止第一蝕刻操作,此些因素包含但不限於受到蝕刻的介電材料、蝕刻化學品、電漿條件、偏壓條件、流率、壓力、溫度等。在某些實施例中,在特定的期間或週期數後停止蝕刻。適當的停止時間/週期數可基於利用所施加之特定處理條件所獲得的經驗結果。例如,可利用第一蝕刻操作以特定期間/週期性來蝕刻一系列的測試晶圓,以達到不同的深度。接著更進一步地檢視此些晶圓,以判斷在每一晶圓中是否以損害下方的半導體晶格。在某些情況中,所用的蝕刻期間/週期數係對應至不會損害下方基板(或對下方基板造成可接受程度之低量損害)的最長期間及/或最大週期數。在某些實施例中,可接受之低量損害約為10 nm或更少的基板受到損害、或少於約5 nm或更少、或約3 nm或更少。
在此些或其他實施例中,可即時原位地偵測第一蝕刻操作的終點。此類方法常被稱為原位終點量測法。在某些實施例中,使用光學技術來偵測何時該停止第一蝕刻操作。第二蝕刻操作
在第一反應室中進行第一蝕刻操作之後,可將基板傳送至配有用以產生感應耦合電漿 (如變壓器耦合電容調變電漿)之電漿產生器的第二反應室。控制離子能量與離子密度,使第二反應室(使用感應耦合電漿)的離子能量與離子密度不同於第一反應室(使用電容耦合電漿)的離子能量與離子密度。在電容耦合電漿中,至少部分藉由施加至基板上的偏壓來控制離子能量。此基板偏壓吸引離子以具有方向性的方式朝向基板。一般而言,基於上述討論的理由,藉著施加至基板上的高度偏壓(相較於例如噴淋頭)而提供高基板偏壓/離子能量。另一方面,在使用感應耦合電漿的情況下,藉著供給至用以產生電漿之線圈之電流的流率而控制離子能量。有利地,毋需對基板施加強偏壓便能產生感應耦合電漿。毋需對基板施加強偏壓可實質上降低離子貫穿介電材料而損害下方之半導體層的風險。
一般而言,第二蝕刻操作為在每一週期中可造成約一材料單層之自我限制性移除的原子層清潔處理。原子層清潔處理係類似於原子層沉積處理,其中可將表面反應控制至原子層的精準度。在2014年7月29日申請之名為「METHODS AND APPARATUSES FOR ATOMIC LAYER CLEANING OF CONTACTS AND VIAS」的美國專利申請案US 14/446,203中更進一步地討論及說明原子層清潔方法,將其所有內容包含於此作為參考。
圖4A-4E例示根據各種實施例之經歷第二蝕刻操作(有時被稱為清潔操作)時之部分製造完成之半導體裝置。類似於圖3B,僅顯示氧化物材料410,但應瞭解,一般而言尚存在額外的特徵部/結構/膜層。
如圖4A中所示,在某些實施例中,第二蝕刻操作可始於將清潔/蝕刻用之化學品420(即清潔反應物物種)導入處理室,以將清潔物種420輸送至基板表面,尤其是輸送至任何受到裸露的氧化物410(如在圖示中向下的箭頭所示)。在某些實施例中,清潔物種可為含鹵素物種,且在此類實施例中,可使用含氟物種,如XeF2 、BrF3 、IF5 、ClF3 等。在某些實施例中,清潔物種可為含鹵素物種與例如氟碳化合物的含碳物種(如C4 F8 )。
在被導入處理室中後,清潔物種420(或一部分之清潔物種)吸附至氧化物材料410的表面上,俾以在氧化物410上部上(及/或氧化物410上的任何污染物的上部上)形成一吸附限制層。此吸附限制層通常可被描述為一單層,其大致上具有單一吸附分子的均勻厚度。在發生此情況的條件下,單層形成通常是化學吸附處理的結果,其需要於其上發生吸附的裸露表面—即表面上之特定點處已吸附之單原子或分子能避免該點處更進一步地發生吸附。
圖4B中概略地例示了單層清潔物種420吸附至欲被移除之氧化物410上的此類吸附。圖4B概略地例示清潔物種420直接接合至欲被移除的氧化物410。雖然文中所揭露之清潔方法不限於清潔物種420與氧化物410之表面430之間具有真實化學鍵結的情況,但在某些情況中可發生化學鍵結,如共價鍵結。在其他的情況中,清潔物種與其上吸附了清潔物種的氧化物410的表面430之間可存在其他形式的吸引交互作用。
在圖4A-4D中將清潔物種與氧化物410之表面430中的分子繪示為「複數球」、且其彼此的接合被繪示為「黏附」,但應注意,被繪示為單一「球」的每一此類物種不應被解讀成暗示每一此類物種必須為原子物種。相對地,取決於實施例,清潔物種與氧化物物種亦可為多原子的分子物種。例如,在許多情況中被移除的氧化物物種為矽的氧化物SiOx 。簡單的「球與黏附」圖示係用以提供表面吸附處理的基本例示。亦應注意,雖然為了簡化,圖4A-4B顯示導入相同的物種然後進行吸附,但已吸附的物種可能不完全構成被導入處理室中的完整清潔物種。換言之,在某些實施例中,可吸附僅一部分(如片段)的清潔物種。
例如,若被導入處理室中的清潔物種為XeF2 ,已吸附的清潔物種可為原子氟的 (F) 自由基或接合至存在於基板表面(如欲蝕刻之特徵部內)上之氧化物的氟原子。是以,在此實例中技術上可說F為實際進行清潔的物種。然而,為了簡單清楚的目的,被導入處理室中的清潔物種(在此實例中為XeF2 )與實際吸附的物種(在此實例中為F)兩種皆可被稱為「清潔物種」。但應瞭解,清潔物種一詞係指一化合物,其為實際上吸附至表面上完成文中所述之清潔/蝕刻的物種或實際上吸附至表面上完成文中所述之清潔/蝕刻之物種的物種源。
亦應注意,清潔物種可吸附至凹陷特徵部(如已部分蝕刻之接觸洞)的底部上及特徵部的側壁上。然而,為了下面所述的理由,吸附至側壁上的清潔物種不必然會導致側壁的清潔及潛在蝕刻移除。利用具有方向性之電磁(EM)場以引導離子以一垂直角度朝向基板,可達到在特徵部之底部處的選擇性蝕刻。
在進行吸附後,如圖4C中所示,自處理室移除多餘之未吸附的(可能包含脫附的)清潔物種,以避免其在清潔處理之接續步驟中干擾已化學吸附之物種的活化與反應(如潛在同時發生的氣相反應)。在某些實施例中,藉著自處理室泵抽未吸附之清潔物種可完成移除多餘之未吸附的清潔物種。在某些實施例中,藉著以惰性氣體吸淨處理室可完成未吸附之清潔物種的移除。在某些實施例中,可施行將反應室泵抽至基本壓力以移除未吸附之物種並接著吹淨的組合程序,或兩者順序顛倒的組合程序。
一旦清潔物種之吸附限制層形成在氧化物410之表面430上、且未吸附之前驅物自處理室移除後,活化已吸附之清潔物種與氧化物之間的反應。如圖4D中所示,這導致某些表面被蝕刻移除。圖4C概略地例示吸附限制層被暴露至低能分子/原子束440以活化表面反應,但亦可使用其他反應活化技術。例如,在某些實施例中,藉著使額外的化學物種與吸附限制層的清潔物種 (如氟及/或含氟物種)反應而活化該反應。在其他實施例中,可熱活化該反應—即將熱量提供至吸附限制層以導致表面反應。在某些實施例中,可電漿活化該反應。
最後,在某些實施例中,在已進行了表面媒介清潔反應後,可如圖4E中所示,自處理室移除圖4D中之清潔反應所產生的副產物。在吸附限制層包含氟作為清潔物種的實施例中,副產物可包含含氟物種。
圖4A-4E構成原子層清潔(ALC)的一週期。然而,由於每一週期僅包含清潔物種之單一吸附限制層的反應,故通常如圖4E中所示僅移除單一單層的氧化物,因此通常進行複數週期以移除剩餘的介電材料、並暴露下方的半導體層。是以,在圖示中以箭頭連接圖4E 與圖4A概略表示此清潔週期的重覆。類似地,圖4F提供一週期性ALC處理的流程圖。 如圖中所示,週期性的ALC處理始於將反應物(如清潔反應物)導入處理室中的操作411、接著是在基板上已部分形成之凹陷特徵部中形成吸附限制層的操作412。在吸附操作後,藉著在操作413中自處理室移除未吸附的反應物種繼續處理。最後在操作414中,完成已吸附之反應物層與氧化物材料之間的活化反應。如流程圖所述,該反應導致凹陷特徵部中至少一部分的氧化物材料受到移除。最後,在某些實施例中,可有一額外的操作415,自處理室移除藉著反應所產生的副產物。一般而言,在到達期望的蝕刻深度之前會進行許多週期。是以,在操作414中活化反應並在操作415中選擇性地移除反應副產物之後,處理可進行至邏輯方塊416,判斷蝕刻是否到達期望的蝕刻深度。若尚未到達期望的蝕刻深度(如進行少於N個週期,其中N為期望之ALC週期的總數),處理持續進行以再次重覆操作411-414(及選擇性的操作415)。若已達到期望的蝕刻深度(如進行了N個週期),則結束處理。
再參考圖4D與圖4F中的操作414,當使用分子束活化表面反應時,分子束可包含離子、自由基、及非自由基之物種,將吸附限制層暴露至此類物種可具有活化表面反應的作用。若使用離子化的物種活化反應,其可為電漿所產生的物種。電漿可自惰性氣體(如He、Ar、Ne、Kr、及/或Xe)形成/電漿可包含上述惰性氣體。可施加電磁場導引電漿的離子,俾使其帶著能量沿著實質上垂直基板平面的方向移動(及接觸基板),下面將更進一步地討論。當然,此類所施加之電磁場亦可將離子加速至一特別期望的動能位準。雖然這可能類似於離子系的濺射蝕刻技術,但此處的差異在於碰撞離子所轉移的能量只要足以活化經吸附之清潔物種的表面反應、而毋需足以單純自動能而自表面碰撞地切除氧化物。是以,例如在文中所揭露的ALC技術中,離子物種可藉著以少於100 eVs的相對動能或少於30 eVs的相對動能、或甚至少於10 eVs的相對動能碰撞吸附限制層,以接觸吸附限制層(如在圖4D中所示)。當然,若使用自由基物種活化反應,自由基物種通常是電漿所產生的且通常具有較低的動能。在任何的情況中,由於用以活化表面反應的碰撞分子束比離子系的濺射蝕刻對下方基板施加遠遠較少的能量,因此原子層清潔(ALC)技術具有對下方表面產生遠遠較少之損害的潛力。
如剛剛所說明,在某些實施例中,已吸附之清潔物種與氧化物之間的表面反應可被電漿活化。是以,可藉著在處理室中產生電漿、或將遠端產生之電漿導入處理室、或上述者的組合產生圖4D中所概略例示之低能分子束。一般而言,若使用離子來活化表面反應,在處理室內產生離子系之電漿。在各種實施例中,使用原位感應耦合電漿,如變壓器耦合電容調變電漿。若使用自由基物種來活化表面反應,可自處理室遠端所產生自由基系的電漿—即自遠端自由基系之電漿源將自由基物種導入處理室中。在某些實施例中,電漿可包含實質數量之自由基物種及離子物種兩者。在某些實施例中,電漿可為氬系之離子化電漿—雖然如上所述,其所具有之離子的平均動能係實質上少於在Ar-電漿系表面蝕刻技術中所用之平均動能。
注意,清潔物種的吸附層有時可被稱為順形層—即具有實質上均勻的厚度且實質上順著其所吸附之下方的特徵部的形狀。又,由於吸附層的厚度為實質上均勻的,可實質上控制、選擇、及預測任何基板部分在反應性處理期間被暴露至的反應性清潔物種的量。
吸附清潔物種的電漿活化可具有用以控制清潔/蝕刻處理之特定性的額外機制。尤其,所施加之電磁場(EM)可用以引導電漿之一或多個物種的通量朝向電接觸特徵部的底部(如圖4D中所示)。若如此做,碰撞物種會被引導而沿著實質上垂直基板平面的方向移動,故碰撞物種和特徵部側壁碰撞的頻率會遠少於其與特徵部之底部碰撞的頻率,因此清潔反應在特徵部底部處的活化會優於在側壁上的活化,藉此保存特徵部的CD與AR。
注意,所施加之EM場可產生碰撞物種的常數通量、或其可經由EM場的脈動而產生碰撞物種的脈波。在某些實施例中,藉著EM場可導引離子以低於受碰撞材料之濺射閾值的動能衝擊吸附清潔物種。在低於濺射閾值的能量範圍中,衝擊粒子具有充分的能量活化吸附清潔物種的反應、但沒有足夠的能量藉由離子或離子輔助蝕刻處理移除材料。是以,為了確保氧化物移除係藉由活化的化學清潔處理而非藉由離子或離子輔助蝕刻處理,可藉由所施加的EM 場引導離子,俾使離子以少於100電子伏特(eV)或在某些此類實施例中少於30 eV、或甚至更尤其少於10 eV的動能衝擊吸附清潔物種。取決於實施例,包含電漿的離子物種例如可為氫離子及/或惰性氣體(如氬)的離子。
如所述,第二蝕刻操作完成接觸洞蝕刻。在某些實施例中,在第二蝕刻操作中所蝕刻的距離(不算在第一蝕刻操作中所蝕刻的距離)可介於約 5-30 nm之間,如介於約2-10 nm之間。第二蝕刻操作可達到介於約45-180 nm之間、如介於約50-90 nm 之間的總蝕刻深度(算入在第一蝕刻操作中所達到的蝕刻深度)。此深度主要取決於被蝕刻之介電材料的厚度。在某些實施例中,在第一蝕刻操作中所蝕刻之距離(D1)相較於在第二操作中所蝕刻的距離(D2)的比值(即D1:D2)係介於約8:2至約9:1之間(即在某些情況中在第一蝕刻操作中所蝕刻的距離為總蝕刻距離的約80-90%)。在某些實施例中,在第二蝕刻操作完成後,接觸洞具有介於約1-3之間的深寬比,如介於約1.2-1.8之間。
在完成第二蝕刻操作並完全蝕刻接觸洞之後,可以一或多種材料(如金屬、金屬氮化物等)填充接觸洞。在下列的美國專利申請案中更進一步地討論了形成接觸洞的方法與設備,將每一者之所有內容包含於此作為參考:2013年4月12日申請之名為「CVD BASED METAL/SEMICONDUCTOR OHMIC CONTACT FOR HIGH VOLUME MANUFACTURING APPLICATIONS」的美國專利申請案US 13/862,048;及2014年8月20日申請之名為「METHOD TO TUNE TIOX STOICHIOMETRY USING ATOMIC LAYER DEPOSITED TI FILM TO MINIMIZE CONTACT RESISTANCE FOR TIOX/TI BASED MIS CONTACT SCHEME FOR CMOS」的美國專利申請案US 14/464,475。
文中的各種實施例係於蝕刻介電材料中之凹陷的文義下討論,其中凹陷為接觸洞且後續會於其中形成電接觸件。然而,本案的實施例並不限於此,文中所揭露的方法及設備可用至苦於被離子轟擊損害之下方材料之上方之介電材料中的凹陷特徵部的蝕刻。設備
文中所述之方法可以任何適合的設備施行。適合的設備包含用以完成處理操作的硬體、及具有用以根據本發明控制處理操作之指令的系統控制器。例如在某些實施例中,硬體可包含一處理設備中的一或多個處理站。在許多實施例中,第一蝕刻操作係於第一反應室中進行而第二蝕刻操作係於第二反應室中進行,其中第一反應室係用以產生電容耦合電漿而第二反應室係用以產生感應耦合電漿(如變壓器耦合電容調變電漿)。兩反應室可共同被設置在一單一平臺上,如下面將更進一步說明的叢集設備中。
在另一實施例中,第一與第二蝕刻操作兩者可在單一反應室中進行,此單一反應室在某些條件下產生電容耦合電漿而在其他條件下產生感應耦合電漿(如變壓器耦合電容調變電漿)。在此情況中,由於第一與第二蝕刻操作係於相同的反應室中進行,因此在第一與第二蝕刻操作之間毋需將基板自一反應室傳送至另一反應室。第一蝕刻操作用之設備
如上所述,用以進行第一蝕刻操作的反應室通常係用以產生電容耦合電漿。可使用之一例示性設備為Flex™反應室,如來自加州費利蒙之科林研發所販售的2300® Flex™產品家族的反應室。
圖5A-5C例示可用於文中所述之第一蝕刻操作之可調整間隙電容耦合限制RF電漿反應器500的一實施例。如所示,真空室502包含室外殼504,室外殼504圍繞容納了下電極506的內部空間。在真空室502的上部中,上電極508係與下電極506垂直分離。上電極508與下電極506的平坦表面係實質上平行且垂直於電極之間的垂直方向。上電極508與下電極506較佳地為圓形且相對於一垂直軸共軸。上電極508的下表面面向下電極506的上表面。彼此分離但面對的電極表面定義了電極表面之間的可調整間隙510。在操作期間,RF電源(匹配)520將RF功率供給至下電極506。RF功率係經由RF供給導管522、RF帶524、及RF功率構件526而供給至下電極506。接地屏536可環繞RF功率構件526以提供更均勻的RF場至下電極506。如共有之美國專利US 7,732,728(將其所有內容包含於此作為參考)中所述,經由晶圓接口582插入晶圓、並使晶圓在下電極506上的間隙510中受到支撐以接受處理,將處理氣體供給至間隙510、並藉由RF功率將氣體激發為電漿態。上電極508可被供電或接地。
在圖5A-5C所示的一實施例中,下電極506係受到下電極支撐板516的支撐。安插在下電極506與下電極支撐板516之間的絕緣環514使下電極506與支撐板516兩者絕緣。
RF偏壓殼530支撐RF偏壓殼碗532上的下電極506。碗532係藉由RF偏壓殼530的臂534經由室壁板518中的開口而連接至管道支撐板538。在一較佳實施例中,RF偏壓殼碗532與RF偏壓殼臂534係以整合方式形成為一零件,然而,臂534與碗532亦可為閂在一起或連接在一起的兩個分離零件。
RF偏壓外殼臂534包含一或多個中空通道以使下列者通過:RF功率及設施,如氣體冷卻劑、液體冷卻劑、RF能量、用以舉升銷控制的纜線、來自真空室502外部並進入真空室502內下電極506之背側上之空間處的電監測與致動訊號。RF供給導管522係與RF偏壓外殼臂534絕緣,RF偏壓外殼臂534對RF功率提供返回 RF電源520的路徑。設施管道540為設施元件提供通道。設施元件的更進一步細節係載於美國專利US 5,948,704與US 7,732,728中,在此為了簡化敘述便不加以顯示。間隙510係較佳地受到限制環組件或覆件(未顯示)的圍繞,在共有的美國專利US 7,740,736中可找到其細節,將此專利包含於此作為參考。真空室502係經由真空接口580而連接至真空泵浦,這使得真空室502的內部得以維持低壓。
管道支撐板538係附接至致動機構542。致動機構的細節係載於共有的美國專利US 7,732,728中,將其包含於此。致動機構542(如伺服機械馬達、步進馬達等)係藉由例如螺旋齒輪(如球形螺絲與用以旋轉球形螺絲的馬達)而附接至垂直線性軸承544。在用以調整間隙510之尺寸的操作期間,致動機構542沿著垂直線性軸承544移動。圖5A例示當致動機構542係處於線性軸承544上之高位置導致小間隙510a 時的配置。圖5B例示當致動機構542係處於線性軸承544上之中位置時的配置。如所示,下電極506、RF偏壓殼530、管道支撐板538、RF電源520皆相對於腔室殼504與上電極508下移導致中等尺寸之間隙510b
圖5C例示當致動機構542在線性軸承上處於低位置時的大間隙510c。較佳地,在間隙調整期間,下電極506與上電極508維持共軸,且下電極506與上電極508橫跨間隙之相面對的表面係維持平行。
此實施例使CCP室502中之下電極506與上電極508之間的間隙510在多步驟處理配方(BARC、HARC、及STRIP等)期間能被調整,例如以在大直徑基板(如300 mm晶圓)或平面顯示器各處維持均勻的蝕刻。尤其,此CCP室502係關於一機械配置,此機械配置允許為了提供下電極506與上電極508之間之可調整間隙所必要的線性移動。
圖5A例示在一近端處密封至管道支撐板538及在一遠端處密封至室壁板518之階梯凸緣528的橫向偏斜波紋管550。階梯凸緣的內直徑界定了室壁板518中的開口512,RF偏壓外殼臂534會通過開口512。波紋管550的遠端係受到鉗制環552的鉗制。
橫向偏斜波紋管550提供真空密封並同時允許RF偏壓殼530、管道支撐板538與致動機構542垂直移動。RF偏壓殼530、管道支撐板538、及致動機構542可被稱為懸臂樑組件。較佳地,RF電源520與懸臂梁組件一起移動且可附接至管道支撐板538。圖5B顯示當懸臂樑組件係處於中間位置處時,波紋管550係位於中立位置。圖5C顯示當懸臂樑組件係位於低位置處時,波紋管550橫向偏斜。
迷宮式密封件548在波紋管550與電漿處理室殼504的內部之間提供粒子阻障。固定屏556在室壁板518處係以無法移動的方式附接至腔室殼504的內部內壁,以提供迷宮式溝槽560(槽口),可動式屏板558在迷宮式溝槽560中垂直移動,以適應懸臂樑組件的垂直移動。在下電極506的所有垂直位置處,可動式屏板558的外部皆維持在槽口中。
在所示的實施例中,迷宮式密封件548包含一固定屏556,其於界定了迷宮式溝槽560之室壁板518中之開口512的外圍處附接至室壁板518之內表面。可動式屏板558係附接至RF偏壓外殼臂534、並自RF偏壓外殼臂534逕向延伸,臂534在該處通過室壁板518中的開口512。可動式屏板558延伸進入迷宮式溝槽560中並與固定屏556相隔第一間隙、並與室壁板518的外表面相隔第二間隙、使懸臂樑組件得以垂直移動。迷宮式密封件548阻障自波紋管550剝落的粒子移動進入真空室內部505、並阻障來自處理氣體電漿的自由基移動至波紋管550,自由基可在波紋管550中形成後續可能會剝落的沉積物。
圖5A顯示當懸臂件係處於高位置(小間隙510a )時,可動式屏板558係處於RF偏壓外殼臂534上方之迷宮式溝槽560中的較高位置處。圖5C顯示當懸臂件係處於低位置(大間隙510c )時,可動式屏板558係處於RF偏壓外殼臂534上方之迷宮式溝槽560中的較低位置處。圖5B顯示當懸臂件係處於中間位置(中等間隙510b )時,可動式屏板558係處於迷宮式溝槽560內的中性或中間位置處。雖然將迷宮式密封件548顯示為相對於RF偏壓外殼臂534對稱,但在其他實施例中,迷宮式密封件548可相對於RF偏壓臂534對稱。
設備通常亦包含用以控制文中說明之處理操作的控制器。下面將更進一步地說明控制器。第二蝕刻操作用之設備
第二蝕刻操作可在不同於第一蝕刻操作用之反應室的反應室中進行。一般而言,用以進行第二蝕刻操作的反應室係用以產生感應耦合電漿(如變壓器耦合電容調變電漿)。可使用之一例示性設備為加州費利蒙之科林研發所販售的2300® Kiyo® 產品家族。
圖6顯示根據某些實施例之感應耦合電漿蝕刻設備600的橫剖面圖。感應耦合電漿蝕刻設備600包含結構上由室壁601與窗611所定義的蝕刻室602。室壁601通常係由不銹鋼或鋁所製成。窗611通常係由石英或其他介電材料所製成。
夾頭617係位於蝕刻室602內接近蝕刻室602之內部底表面之處。夾頭617係用以接收半導體晶圓(晶圓)619、並在進行蝕刻處理時將半導體晶圓619支撐於其上。夾頭617可為當晶圓存在時用以支撐晶圓的靜電夾頭。在某些實施例中,一邊緣環(未顯示)環繞夾頭617且具有在夾頭617上存在晶圓時與晶圓上表面近乎持平的上表面。夾頭617亦包含靜電電極以夾持與釋放晶圓。為了此目的可提供濾波器及DC夾持電源。亦可使用用以將晶圓舉升離開夾頭617的其他控制系統。利用RF電源623可使夾頭617帶電。RF電源623係經由連接件627而連接至匹配電路621。匹配電路621係經由連接件625而連接至夾頭617。在此方式下, RF電源623係連接至夾頭617。
線圈633係位於窗611上方。線圈633係自導電材料所製造且包含至少完整的一圈。圖6中所示之例示性之線圈633包含三圈。具有「X」之線圈633符號的橫剖面代表線圈633旋轉地延伸進入紙面。相反地,具有「•」之線圈633符號代表線圈633旋轉地延伸出紙面。在各種實施例中,反應室係用以產生變壓器耦合電容調變電漿。在此類實施例中,可控制變壓器耦合電容調變(TCCT)參數以明確指定施加至線圈之中央區段的功率部分與施加至線圈之外部區段的功率部分。
RF電源641係用以將RF功率供給至線圈633。一般而言,RF電源641係經由連接件645而連接至匹配電路639。匹配電路639係經由連接件643而連接至線圈633。以此方式,RF電源641係連接至線圈633。選擇性的法拉第屏649係位於線圈633與窗611之間。法拉第屏649維持與線圈633空間分隔的關係。法拉第屏649係緊鄰窗611並設置在窗611的上方。線圈633、法拉第屏649、及窗611每一者係以實質上彼此平行的方式配置。法拉第屏可避免金屬或其他物種沉積至電漿室的介電窗上。
處理氣體可經由位於上處理室中的主注射接口660及/或經由側注射接口670(有時被稱為STG)供給。未顯示氣體排放接口。亦未顯示的是連接至處理室601的複數泵浦,泵浦能夠在操作電漿處理期間控制真空並自處理室移除氣態副產物。
在設備的操作期間,可經由注射接口660及/或670供給一或多種反應物氣體。在某些實施例中,可僅經由主注射接口或可僅經由側注射接口供給氣體。在某些情況中,可以噴淋頭來取代注射接口。法拉第屏649(及/或選擇性的格柵,下面會簡要地說明之)可包含內部通道與孔洞,使處理氣體得以被輸送至處理室。換言之,法拉第屏649及選擇性之格柵中的任一者或兩者可具有用以輸送處理氣體之噴淋頭的功能。
自RF電源641將射頻功率施加至線圈633以使RF電流流過線圈633。流經線圈633之RF電流在線圈633周圍產生電磁場。電磁場在蝕刻室602內產生感應電流。感應電流作用在存在於蝕刻室602中的氣體上,而在蝕刻室602中產生電漿(例如通常是電子-離子電漿)。
在某些實施例中,一內部電漿格柵(未顯示)將整個蝕刻室602分割為上子室與下子室。此格柵可包含孔洞、槽口、或其他孔口。在某些實施例中,使用更複雜的電漿格柵組件。例如,電漿格柵組件可包含複數格柵及複數支撐結構與致動元件。配有感應耦合電漿產生器及格柵的反應室係更於2014年2月19日申請之名為「INTERNAL PLASMA GRID APPLICATIONS FOR SEMICONDUCTOR FABRICATION」的美國專利申請案US 14,184,491中進一步討論,將其所有內容包含於此作為參考。當內部電漿格柵存在時,其會限制在下子室中的熱電子的量。在各種實施例中,如上面所參考的申請案US 14,184,491所討論的,可設計與操作設備,俾使下子室中所存在的電漿為離子-離子電漿。
上電子-離子電漿與下離子-離子電漿兩者皆包含正離子與負離子,但離子-離子電漿具有更高比例之負離子:正離子。各種離子與自由基與晶圓619之間的物理與化學交互作用選擇性地蝕刻晶圓的特徵部。揮發性蝕刻副產物係經由排放接口(未顯示)而自下子室移除。重要的是,此些揮發性副產物係實質上暴露至熱電子,因此其較不可能解離為非揮發性的「黏性」解離產物。在省略內部電漿格柵的情況中,通常在處理室中的基板上方產生電漿,且所產生的電漿通常為電子-離子電漿。
一般而言,文中所揭露的夾頭在介於約攝氏30°與約攝氏250°之間的加溫溫度下操作,較佳地介於約攝氏 30-650°。溫度取決於蝕刻處理操作及特定的配方。處理室601亦操作在介於約 1 mTorr與約95 mTorr之間或介於約5-20 mTorr之間的壓力範圍內。
雖然未顯示,但當處理室601被安裝至潔淨室或製造場所時,其通常被耦合至複數設施。複數設備包含提供處理氣體、真空、溫度控制、及環境粒子控制的水電系統。當處理室601被安裝至目標製造場所中時,此些設施係耦合至處理室601。此外,處理室601可耦合至傳送室,傳送室可利用通常的自動化系統使機器人將半導體晶圓傳送進出處理室601。叢集設備
圖7顯示具有各種模組之半導體處理叢集結構,此些模組係與真空傳送模組738 (VTM)共享界面。用以在多個儲存設施與處理模組之間「傳送」基板的傳送模組的配置可被稱為「叢集設備結構」系統。顯示氣鎖730(亦被稱為負載互鎖或傳送模組)位於具有四個處理模組720a-720d的VTM 738中,處理模組720a-720d可各別被最佳化以進行各種製造處理。例如,可使用處理模組720a-720d以進行基板蝕刻、沉積、離子植入、基板清潔、濺射及/或其他半導體處理、及雷射度量、以及其他缺陷偵測與缺陷識別方法。可以文中所揭露的方式使用處理模組中的一或多者(720a-720d中的任一或多者),即在第一模組中使用電容耦合電漿、並在第二模組中使用感應耦合電漿(變壓器耦合電容調變電漿)將凹陷特徵部蝕刻至基板中。氣鎖730與處理模組720a-720d可被稱為「站」。每站具有使此站與VTM 738共享界面的刻面736。當基板在各個站之間移動時,在刻面內使用感應器1-18偵測基板726的通過。
機器人722在複數站點之間傳送基板。在一實施例中機器人可具有單臂,在另一實施例中機器人可具有雙臂,其中每一臂具有用以拾取基板傳送用的末端執行器724。在大氣傳送模組(ATM) 740中的前端機器人732可用以將基板自加載接口模組(LPM)742中的晶圓盒或前開口標準艙(FOUP)734傳送至氣鎖730。處理模組720a-720d內的模組中心728可為用以放置基板的一位置。ATM 740中的對準裝置744可用以對準基板。
在一例示性的處理方法中,基板被放置到LPM 742中之複數FOUP 734的一者中。前端機器人732將基板自FOUP 734傳送至對準裝置744,對準裝置744能在基板726受到蝕刻、沉積或其他處理之前適當地將基板726置中。在對準後,前端機器人732將基板移至氣鎖730中。由於氣鎖模組具有使ATM與VTM間之環境匹配的能力,因此基板可在兩個壓力環境之間移動而不受損害。機器人722將基板自氣鎖模組730經由VTM 738而移至處理模組720a-720d中的一者,如處理模組720a。為了達成此基板移動,機器人722使用在其每一臂上的末端執行器724。在處理模組720a中,基板經歷文中所述之利用電容耦合電漿的第一蝕刻操作以形成部分蝕刻的特徵部。接下來,機器人722將基板移出處理模組720a、移至VTM 738中、接著將基板移至不同的處理模組720b中。在處理模組720b中,利用具有感應耦合電漿的第二蝕刻操作將已部分蝕刻的特徵部蝕刻至其最終深度。
應注意,控制基板移動的電腦可位於叢集結構的附近、或可位於製造樓層中叢集結構的外部、或位於遠端並藉由網路而連接至叢集結構。系統控制器
在某些實施例中,控制器為系統的一部分,其為上述實例的一部分。此類系統包含半導體處理設備,半導體處理設備包含處理工具或複數工具、處理室或複數處理室、處理平臺或複數平臺、及/或特定的處理元件(晶圓座臺、氣體流動系統等)。此些系統係與一些電子裝置整合,此些電子裝置係用以在半導體晶圓或基板處理之前、期間及之後控制系統的操作。此些電子裝置係稱為「控制器」,其可控制系統或複數系統的各種元件或子部件。取決於處理需求及/或系統類型,控制器可被程式化以控制文中所揭露的任何處理,包含輸送處理氣體、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置與操作設定、晶圓傳輸進入或離開設備與連接至系統或與系統具有界面的其他傳輸設備及/或裝載互鎖機構。
概括地說,控制器可被定義為具有各種積體電路、邏輯、記憶體及/或軟體的電子裝置,其可接收指令、發佈指令、控制操作、致能清潔操作、致能終點量測等。積體電路可包含儲存了程式指令之具有韌體形式的晶片、數位訊號處理器(DSP)、被定義為特定應用積體電路(ASIC)的晶片及/或能執行程式指令(如軟體)的一或多個微處理器或微控制器。程式指令可為與控制器通訊之具有各種獨立設定(或程式檔案)形式的指令,其定義為了在半導體晶圓上或針對半導體晶圓進行特定處理或對系統進行特定處理所用的操作參數。在某些實施例中,操作參數為處理工程師為了完成一或多膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓之晶粒之製造期間的一或多個處理步驟所定義之配方的一部分。
在某些實施例中控制器為整合至系統、耦合至系統、藉由網路連接至系統、或其組合的電腦的一部分或控制器耦合至電腦。例如,控制器係位於雲端中或工廠主機電腦系統的全部或部分中,這允許使用者遠端接取晶圓處理。電腦致能遠端接取系統以監控製造操作的目前進展、檢視過去製造操作的歷程、自複數製造操作檢視驅勢或效能度量、改變現有處理的參數、設定處理步驟以符合現有處理、或開始一新的處理。在某些實例中,遠端電腦(或伺服器)可經由網路對系統提供處理配方,網路包含區域網路或網際網路。遠端電腦可包含使用者介面,使用者介面讓使用者能進入或程式化參數及/或設定,然後自遠端電腦與系統通訊。在某些實例中,控制器接收數據形式的指令,指令指出在一或多個操作期間欲施行之每一處理步驟的參數。應瞭解,參數係特別針對欲施行之處理的類型及控制器用以交界或控制之設備的類型。因此如上所述,可分散控制器如藉著包含一或多個藉由網路互連並朝向共同目的如文中所述之處理及控制工作的離散控制器。為了此類目的的分散控制器的實例為處理室上的一或多個積體電路,其係與一或多個位於遠端(例如位於平臺位準或遠端電腦的一部分)的積體電路通訊而共同控制處理室上的處理。
不受限地,例示性的系統可包含電漿蝕刻室或模組、沉積室或模組、旋轉沖洗室或模組、金屬鍍室或模組、清潔室或模組、邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、原子層沉積(ALD)室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、軌道室或模組、及和半導體晶圓之製造相關或用於製造的任何其他半導體處理系統。
如上所述,取決於設備所進行的處理步驟或複數步驟,控制器可與下列的一或多者通訊交流:其他設備的電路或模組、其他設備的元件、叢集設備、其他設備的界面、相鄰設備、鄰近設備、位於工廠內的設備、主電腦、另一控制器、或半導體製造工廠中用以將晶圓容器載入與載出設備位置及/或裝載接口的材料運輸用設備。
本文中所述的各種硬體與方法實施例可與微影圖案化設備或處理一起使用,例如用以製造半導體裝置、顯示器、LED、光伏面板等的微影圖案化設備或處理。一般而言,雖然沒有必要,但此些設備/處理會在一共同的製造廠房中一起使用或進行。
薄膜的微影圖案化通常包含下列步驟的部分者或全部,每一步驟可由許多可能的設備達成:(1)利用旋塗或噴塗設備將光阻施加至工作件(如其上形成有氮化矽膜的基板)上;(2)利用熱板、爐管或其他適合的固化設備固化光阻;(3)利用一設備(如晶圓步進機)將光阻曝露至可見光或UV光或X射線;(4)利用一設備(如濕式槽或噴塗顯影設備)顯影光阻以選擇性地移除光阻,藉此將其圖案化;(5)利用一乾式或電漿輔助蝕刻設備將光阻圖案轉移至下方膜層或工作件中;及(6)利用一設備(如RF或微波電漿光阻剝除設備)移除光阻。在某些實施例中,在施加光阻之前可沉積可灰化的硬遮罩層(如非晶碳層)及另一適合的硬遮罩(如抗反射層)。
應瞭解,文中所述的配置及/或對策具有例示性的本質,此些特定實施例或實例不應被視為是限制性的,許多變化皆可行。文中所述之特定日常工作或方法可代表任何數目之處理策略中的一或多者。是以,可以所述的順序、其他順序、平行順序、或在某些情況中省略任一者的方式施行所述的各種步驟。類似地,可改變上述處理的順序。
本發明的標的包含文中所述之各種處理、系統、配置、其他特徵、功能、步驟及/或特性的所有新穎與非顯而易見性組合與次組合以及其所有等效物。
100‧‧‧部分製造完成的半導體裝置
101‧‧‧半導體基板層
105‧‧‧閘極介電層
110‧‧‧間隙壁
114‧‧‧介電材料
120‧‧‧金屬閘極
122‧‧‧蓋層
124‧‧‧介電材料
130‧‧‧金屬閘極結構
140‧‧‧距離
151‧‧‧操作
153‧‧‧操作
155‧‧‧操作
157‧‧‧操作
159‧‧‧操作
161‧‧‧中心至中心距離(閘極節距)
162‧‧‧側壁之間的距離
163‧‧‧側壁之間的距離
301‧‧‧操作
303‧‧‧操作
305‧‧‧操作
307‧‧‧操作
309‧‧‧操作
311‧‧‧操作
341‧‧‧矽之氧化物
342‧‧‧氟碳聚合物
410‧‧‧氧化物材料
411‧‧‧操作
412‧‧‧操作
413‧‧‧操作
414‧‧‧操作
415‧‧‧操作
416‧‧‧邏輯方塊
420‧‧‧化學品
430‧‧‧表面
440‧‧‧低能分子/原子束
500‧‧‧反應器
502‧‧‧真空室
504‧‧‧室外殼
505‧‧‧內部
506‧‧‧下電極
508‧‧‧上電極
510‧‧‧可調整間隙
510a‧‧‧小間隙
510b‧‧‧中等尺寸之間隙
510c‧‧‧大間隙
512‧‧‧開口
514‧‧‧絕緣環
516‧‧‧下電極支撐板
518‧‧‧室壁板
520‧‧‧RF電源
522‧‧‧RF供給導管
524‧‧‧RF帶
526‧‧‧RF功率構件
528‧‧‧階梯凸緣
530‧‧‧RF偏壓殼
532‧‧‧RF偏壓殼碗
534‧‧‧RF偏壓殼臂
536‧‧‧接地屏
538‧‧‧管道支撐板
540‧‧‧設施管道
542‧‧‧致動機構
544‧‧‧線性軸承
548‧‧‧迷宮式密封件
550‧‧‧橫向偏斜波紋管
552‧‧‧鉗制環
556‧‧‧固定屏
558‧‧‧可動式屏板
560‧‧‧迷宮式溝槽
580‧‧‧真空接口
582‧‧‧晶圓接口
600‧‧‧蝕刻設備
601‧‧‧室壁
602‧‧‧蝕刻室
611‧‧‧窗
617‧‧‧夾頭
619‧‧‧晶圓
621‧‧‧匹配電路
623‧‧‧RF電源
625‧‧‧連接件
627‧‧‧連接件
633‧‧‧線圈
639‧‧‧RF電源
641‧‧‧RF電源
643‧‧‧連接件
645‧‧‧連接件
649‧‧‧法拉第屏
660‧‧‧主注射接口
670‧‧‧側注射接口
720a-720d‧‧‧處理模組
722‧‧‧機器人
724‧‧‧末端執行器
726‧‧‧基板
728‧‧‧模組中心
730‧‧‧氣鎖
732‧‧‧前端機器人
734‧‧‧前開口標準艙
736‧‧‧刻面
738‧‧‧真空傳送模組
740‧‧‧大氣傳送模組
742‧‧‧加載接口模組
744‧‧‧對準裝置
圖1A-1C繪示在文中所述之蝕刻處理期間,於各個階段之部分製造完成的半導體裝置。
圖2為根據各種文中實施例之凹陷特徵部蝕刻方法的流程圖。
圖3A例示根據某些實施例之用以進行第一蝕刻操作的時序圖。
圖3B繪示在文中某些實施例中,第一蝕刻操作期間之部分製造完成之半導體裝置的簡化圖。
圖3C為根據各種實施例之用以進行第一蝕刻操作的流程圖。
圖4A-4E繪示在文揭露之第二蝕刻操作期間之部分製造完成之半導體裝置的簡化圖。
圖4F為在某些實施例中用以進行第二蝕刻操作之方法的流程圖。
圖5A-5C例示在某些實施例中用以進行第一蝕刻操作之反應室的橫剖面圖。
圖6繪示在某些實施例中用以進行第二蝕刻操作之反應室的橫剖面圖。
圖7例示用以進行各種文中方法的叢集式設備。
151‧‧‧操作
153‧‧‧操作
155‧‧‧操作
157‧‧‧操作
159‧‧‧操作

Claims (24)

  1. 一種在半導體基板中蝕刻凹陷特徵部的方法,包含: 將在一半導體材料上方包含一介電材料的一基板提供至一第一反應室,其中在該介電材料中欲形成該凹陷特徵部; 在該第一反應室中進行一第一蝕刻操作,以在該介電材料中將該凹陷特徵部蝕刻至一第一深度,該第一蝕刻操作包含將該基板暴露至包含一第一組離子的一第一電漿,該第一組離子在該介電材料中具有一平均自由路徑,該第一電漿為一電容耦合電漿,在該第一蝕刻操作之後,在該第一深度之下且在該半導體材料之上之剩餘的該介電材料具有一厚度,該厚度係至少約該第一組離子在該介電材料中的該平均自由路徑; 將該基板自該第一反應室傳送至一第二反應室;及  在傳送該基板後,在該第二反應室中進行一第二蝕刻操作,以將該凹陷特徵部蝕刻至一最終深度,其中該第二蝕刻操作為一原子層蝕刻操作,該原子層蝕刻操作包含將該基板暴露至一第二電漿,該第二電漿為一感應耦合電漿。
  2. 如申請專利範圍第1項之在半導體基板中蝕刻凹陷特徵部的方法,其中該第二蝕刻操作包含: 將該基板暴露至一蝕刻反應物並使該蝕刻反應物吸附至該基板之一表面上; 自該第二反應室移除未吸附之該蝕刻反應物; 將該基板暴露至該第二電漿,以驅動已吸附之該蝕刻反應物中之一物種與該凹陷特徵部中之該介電材料之間的一反應,藉此移除該介電材料的一部分;及 重覆將該基板暴露至該蝕刻反應物的步驟、移除未吸附之該蝕刻反應物的步驟、及將該基板暴露至該第二電漿的步驟直到該凹陷特徵部到達該最終深度。
  3. 如申請專利範圍第2項之在半導體基板中蝕刻凹陷特徵部的方法,其中該蝕刻反應物包含一氟碳化合物。
  4. 如申請專利範圍第1項之在半導體基板中蝕刻凹陷特徵部的方法,其中當該凹陷特徵部到達該最終深度時,該半導體材料係受到暴露。
  5. 如申請專利範圍第1至4項中任一項之在半導體基板中蝕刻凹陷特徵部的方法,其中該第一蝕刻操作包含: 將該基板暴露至一氟碳電漿,以在該基板上沉積一層氟碳聚合物;及 將該基板暴露至該第一電漿以蝕刻該氟碳聚合物與該介電材料。
  6. 如申請專利範圍第5項之在半導體基板中蝕刻凹陷特徵部的方法,其中將該基板暴露至該第一電漿的該操作包含進行一氟碳聚合物濺射階段及進行一活化蝕刻階段。
  7. 如申請專利範圍第6項之在半導體基板中蝕刻凹陷特徵部的方法,其中將該基板暴露至該第一電漿的該操作更可包含在該活化蝕刻階段中實質移除該氟碳聚合物之後進行一純濺射階段。
  8. 如申請專利範圍第6項之在半導體基板中蝕刻凹陷特徵部的方法,其中在該氟碳聚合物濺射階段與該活化蝕刻階段期間,用以產生該第一電漿之一總RF功率約為900 W或更少、該第一反應室中的一壓力係介於約10-40 mTorr之間、且該基板係維持在約60-100°C之間, 其中該第一電漿在該氟碳聚合物濺射階段期間係自一流率介於約20-2000 sccm之間的惰性氣體所產生, 且其中該第一電漿在該活化蝕刻階段係自一流率介於約 40-1000 sccm 的惰性氣體所產生。
  9. 如申請專利範圍第5項之在半導體基板中蝕刻凹陷特徵部的方法,其中用以產生該氟碳電漿的氣體包含氟碳化合物與惰性氣體,其中用以產生該第一電漿的氣體包含惰性氣體。
  10. 如申請專利範圍第9項之在半導體基板中蝕刻凹陷特徵部的方法,其中用以產生該第一電漿的該氣體實質上不包含非惰性物種。
  11. 如申請專利範圍第1項之在半導體基板中蝕刻凹陷特徵部的方法,其中該第一組離子在該介電材料中的該平均自由路徑係介於約1-10 nm之間。
  12. 如申請專利範圍第1至4項中任一項之在半導體基板中蝕刻凹陷特徵部的方法,其中該介電材料為矽的氧化物,且其中該凹陷特徵部為其中後續欲形成一接觸件的一接觸洞。
  13. 如申請專利範圍第1至4項中任一項之在半導體基板中蝕刻凹陷特徵部的方法,其中該凹陷特徵部為一鰭式場效電晶體(Fin Field Effect Transistor, FinFET)的一接觸洞。
  14. 如申請專利範圍第13項之在半導體基板中蝕刻凹陷特徵部的方法,其中當該凹陷特徵部到達該最終深度時,經蝕刻之該凹陷特徵部具有介於約7-30 nm的一寬度。
  15. 如申請專利範圍第13項之在半導體基板中蝕刻凹陷特徵部的方法,其中當該凹陷特徵部到達該最終深度時,經蝕刻之該凹陷特徵部具有介於約40-150 nm之間的一深度。
  16. 如申請專利範圍第13項之在半導體基板中蝕刻凹陷特徵部的方法,其中 當該凹陷特徵部到達該最終深度時,經蝕刻之該凹陷特徵部具有介於約4:1至12:1之間的一高寬比(高:寬)。
  17. 如申請專利範圍第1至4項中任一項之在半導體基板中蝕刻凹陷特徵部的方法,其中該第一組離子在該介電材料中具有一最大的貫穿深度,其中在該第一蝕刻操作之後,在該第一深度之下且在該半導體材料之上之剩餘的該介電材料之該厚度係至少約為該第一組離子在該介電材料中之該最大的貫穿深度。
  18. 一種在半導體基板上之介電材料中蝕刻凹陷特徵部用的設備,包含: 一第一反應室,包含用以產生一電容耦合電漿的一第一電漿產生器; 一第二反應室,包含用以產生一感應耦合電漿的一第二電漿產生器,該第一反應室與該第二反應室係皆設置於一叢集式設備中;及 一或多個控制器,用以控制該第一反應室與該第二反應室內的複數處理,該一或多個控制器包含用於下列者之複數指令: 在該第一反應室中進行一第一蝕刻操作,以在該介電材料中將該凹陷特徵部蝕刻至一第一深度,該第一蝕刻操作包含: 將該基板暴露至包含一第一組離子的一第一電漿,該第一組離子在該介電材料中具有一平均自由路徑;及 在該第一深度之下與一半導體材料之上之剩餘之該介電材料到達小於該第一組離子在該介電材料中之該平均自由路徑的一厚度之前停止該第一蝕刻操作; 將該基板自該第一反應室傳送至該第二反應室;及 在該第二反應室中進行一第二蝕刻操作,以將該介電材料中的該凹陷特徵部蝕刻至一最終深度,該第二蝕刻操作為一原子層蝕刻處理且包含: 將該基板暴露至一第二電漿,該第二電漿為該感應耦合電漿。
  19. 如申請專利範圍第18項之在半導體基板上之介電材料中蝕刻凹陷特徵部用的設備,其中用以進行該第二蝕刻操作的該複數指令更包含用於下列者的複數指令: 將該基板暴露至一蝕刻反應物並使該蝕刻反應物吸附至該基板之一表面上; 自該第二反應室移除未吸附之該蝕刻反應物; 將該基板暴露至該第二電漿,以驅動已吸附之該蝕刻反應物中之一物種與該凹陷特徵部中之該介電材料之間的一反應,藉此移除該介電材料的一部分;及 重覆下列之步驟:將該基板暴露至該蝕刻反應物的步驟、移除未吸附之該蝕刻反應物的步驟、及將該基板暴露至該第二電漿的步驟直到該凹陷特徵部到達該最終深度。
  20. 如申請專利範圍第18項之在半導體基板上之介電材料中蝕刻凹陷特徵部用的設備,其中用以進行該第一蝕刻操作的該複數指令包含用於下列者的複數指令: 將該基板暴露至一氟碳電漿,以在該基板上沉積一層氟碳聚合物;及 將該基板暴露至該第一電漿以蝕刻該氟碳聚合物與該介電材料。
  21. 如申請專利範圍第18至20項中任一項之在半導體基板上之介電材料中蝕刻凹陷特徵部用的設備,其中將該基板暴露至該第一電漿用的該複數指令包含用以進行一氟碳聚合物濺射階段與一活化蝕刻階段的複數指令。
  22. 如申請專利範圍第21項之在半導體基板上之介電材料中蝕刻凹陷特徵部用的設備,其中將該基板暴露至該第一電漿用的該複數指令更包含下列者用的複數指令:在該活化蝕刻階段中實質移除該氟碳聚合物之後,進行一純濺射階段。
  23. 如申請專利範圍第21項之在半導體基板上之介電材料中蝕刻凹陷特徵部用的設備,其中用以進行該氟碳聚合物濺射階段的該複數指令包含用於下列者的複數指令: 使惰性氣體在介於約20-2000 sccm之間的一流率流動,以產生該第一電漿; 供給用以產生該第一電漿之約900 W 或更少的一總RF功率; 將該第一反應室中的一壓力維持在約10-40 mTorr之間;及 將該基板維持在介於約60-110°C的一溫度, 其中用以進行該活化蝕刻階段的該複數指令包含用於下列者的複數指令: 使惰性氣體在介於約40-1000 sccm之間的一流率流動以產生該第一電漿; 供給用以產生該第一電漿之約900 W 或更少的一總RF功率; 將該第一反應室中的一壓力維持在約10-40 mTorr之間;及 將該基板維持在介於約60-110°C的一溫度。
  24. 如申請專利範圍第18至20項中任一項之在半導體基板上之介電材料中蝕刻凹陷特徵部用的設備,其中用以進行該第一蝕刻操作的該複數指令包含用於下列者的複數指令:當該第一深度係介於約40-150 nm之間時,停止該第一蝕刻操作。
TW104142880A 2014-12-22 2015-12-21 用於介電蝕刻應用之整合式蝕刻/清潔 TW201635382A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462095444P 2014-12-22 2014-12-22
US14/612,095 US9396961B2 (en) 2014-12-22 2015-02-02 Integrated etch/clean for dielectric etch applications

Publications (1)

Publication Number Publication Date
TW201635382A true TW201635382A (zh) 2016-10-01

Family

ID=56130286

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104142880A TW201635382A (zh) 2014-12-22 2015-12-21 用於介電蝕刻應用之整合式蝕刻/清潔

Country Status (4)

Country Link
US (1) US9396961B2 (zh)
KR (1) KR20160076471A (zh)
CN (1) CN105719952B (zh)
TW (1) TW201635382A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI758413B (zh) * 2017-02-28 2022-03-21 日商東京威力科創股份有限公司 處理方法及電漿處理裝置

Families Citing this family (327)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9645019B2 (en) * 2013-01-21 2017-05-09 Kinova Dielectric geometry for capacitive-based tactile sensor
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
SG11201706167QA (en) * 2015-02-15 2017-09-28 Acm Res (Shanghai) Inc Method for removing barrier layer for minimizing sidewall recess
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) * 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018048925A1 (en) * 2016-09-06 2018-03-15 Tokyo Electron Limited Method of quasi atomic layer etching
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9754798B1 (en) 2016-09-28 2017-09-05 International Business Machines Corporation Hybridization fin reveal for uniform fin reveal depth across different fin pitches
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9997364B2 (en) 2016-10-19 2018-06-12 Lam Research Corporation High aspect ratio etch
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10134600B2 (en) * 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) * 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10269576B1 (en) 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
US10720334B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Selective cyclic dry etching process of dielectric materials using plasma modification
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US10714329B2 (en) * 2018-09-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-clean for contacts
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US10790157B1 (en) * 2019-07-16 2020-09-29 University Of Maryland, College Park Achieving etching selectivity for atomic layer etching processes by utilizing material-selective deposition phenomena
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
GB201919220D0 (en) * 2019-12-23 2020-02-05 Spts Technologies Ltd Method of plasma etching
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
US11562909B2 (en) * 2020-05-22 2023-01-24 Applied Materials, Inc. Directional selective junction clean with field polymer protections
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN114512541B (zh) * 2020-11-17 2024-03-15 和舰芯片制造(苏州)股份有限公司 一种半导体衬底的沟槽栅蚀刻方法及器件
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2814021B2 (ja) * 1990-07-09 1998-10-22 三菱電機株式会社 半導体基板表面の処理方法
US5670426A (en) * 1996-01-29 1997-09-23 Taiwan Semiconductor Manufacturing Company Ltd. Method for reducing contact resistance
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JPH11307512A (ja) * 1998-04-23 1999-11-05 Sony Corp エッチング方法
US6227211B1 (en) * 1998-12-07 2001-05-08 Taiwan Semiconductor Manufacturing Company Uniformity improvement of high aspect ratio contact by stop layer
KR100322545B1 (ko) * 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6849193B2 (en) * 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6756315B1 (en) * 2000-09-29 2004-06-29 Cypress Semiconductor Corporation Method of forming contact openings
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
US20030228768A1 (en) * 2002-06-05 2003-12-11 Applied Materials, Inc. Dielectric etching with reduced striation
JP2005317684A (ja) * 2004-04-27 2005-11-10 Eudyna Devices Inc ドライエッチング方法および半導体装置
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
CN102376627B (zh) * 2010-08-10 2013-11-06 中芯国际集成电路制造(上海)有限公司 接触孔的形成方法
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8883584B2 (en) * 2012-07-03 2014-11-11 Institute of Microelectronics, Chinese Academy of Sciences Method of manufacturing semiconductor device with well etched spacer

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI758413B (zh) * 2017-02-28 2022-03-21 日商東京威力科創股份有限公司 處理方法及電漿處理裝置

Also Published As

Publication number Publication date
US20160181117A1 (en) 2016-06-23
CN105719952A (zh) 2016-06-29
US9396961B2 (en) 2016-07-19
KR20160076471A (ko) 2016-06-30
CN105719952B (zh) 2019-01-15

Similar Documents

Publication Publication Date Title
TW201635382A (zh) 用於介電蝕刻應用之整合式蝕刻/清潔
JP7293211B2 (ja) 高エネルギー原子層エッチング
US9972503B2 (en) Etching method
US9991128B2 (en) Atomic layer etching in continuous plasma
US9609730B2 (en) Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US10847377B2 (en) Method of achieving high selectivity for high aspect ratio dielectric etch
WO2018182968A1 (en) Gas additives for sidewall passivation during high aspect ratio cryogenic etch
KR20170028259A (ko) 고종횡비 유전체 에칭을 위한 마스크 축소층
KR20160067741A (ko) 고 종횡비 실린더 에칭을 위해 측벽 패시베이션을 증착하기 위한 기법
TW201635334A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
TW201919127A (zh) 改良之金屬接觸定位結構
KR100798160B1 (ko) 플라즈마 에칭방법
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
EP4022670A1 (en) High density, modulus, and hardness amorphous carbon films at low pressure
US9953862B2 (en) Plasma processing method and plasma processing apparatus
CN115244663A (zh) 高深宽比3d nand蚀刻的侧壁凹陷的减少
KR20170132666A (ko) 고 종횡비 실린더 에칭을 위해 측벽 패시베이션을 디포짓하기 위한 기법
US20220238349A1 (en) Polymerization protective liner for reactive ion etch in patterning
TW202135293A (zh) 對於高深寬比之3d nand 蝕刻的側壁缺口縮減