CN104364713B - 利用嵌段共聚物形成图案及制品 - Google Patents

利用嵌段共聚物形成图案及制品 Download PDF

Info

Publication number
CN104364713B
CN104364713B CN201380031525.5A CN201380031525A CN104364713B CN 104364713 B CN104364713 B CN 104364713B CN 201380031525 A CN201380031525 A CN 201380031525A CN 104364713 B CN104364713 B CN 104364713B
Authority
CN
China
Prior art keywords
layer
block
polymer
pattern
block copolymer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380031525.5A
Other languages
English (en)
Other versions
CN104364713A (zh
Inventor
本雅门·M·拉特扎克
马克·H·萨默维尔
米纳克士孙达拉姆·甘迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN104364713A publication Critical patent/CN104364713A/zh
Application granted granted Critical
Publication of CN104364713B publication Critical patent/CN104364713B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Abstract

提供了一种用于使分层结构图案化的方法,该方法包括:执行光刻以在下层衬底的水平表面上提供显影的预图案层;改变预图案层以形成间隔开的无机材料引导物;对自组装嵌段共聚物层进行铸造和退火以形成横向间隔开的柱状特征物;通过选择性去除自组装嵌段共聚物的一种嵌段的至少一部分来形成图案;以及将图案转印到下层衬底。该方法适用于制造低于50nm的图案化分层结构。

Description

利用嵌段共聚物形成图案及制品
本申请涉及并且要求2012年5月15日提交的名称为“Method of FormingPatterns Using Block Copolymers and Articles Thereof”的共同未决的美国专利申请系列第13/472,442号的优先权,其全部内容通过引用并入本文中。
技术领域
本公开内容涉及用于在分层制品中形成图案的方法,以及由该方法形成的分层制品;并且更具体地,涉及利用嵌段共聚物的柱状微结构域限定线状特征物。
背景技术
在制造半导体器件的成本和性能方面保持竞争性的需要,已经引起了集成电路的器件密度的不断增加。为了在半导体集成电路中实现更高的集成度和微型化,还必须实现形成在半导体晶片上的电路图案的微型化。
设计规则限定器件之间或互连线之间的间隔公差以确保器件或线不以任何不希望的方式相互作用。有助于确定半导体器件的整体尺寸和密度的一个重要的布局设计规则为临界尺寸(CD)。电路的临界尺寸被定义为线的最小宽度或两条线之间的最小间隔。另一关键的设计规则为最小节距,最小节距被定义为已知特征物的最小宽度加上与相邻特征物边缘的距离。
光刻为用于通过将在掩模上的几何图形和图案转印到半导体晶片的表面来制造半导体晶片的标准技术。基本的光刻工艺包括将图案化的光源投射到辐射敏感材料层例如光刻胶层上,然后接着是显影步骤。
为了制造具有小的临界尺寸和节距的细致详尽的图案,需要投射清晰成像的光图案。但是将小特征物的清晰图像投射到半导体晶片上的能力以及缩影镜系统从被照射的掩模获得足够衍射级的能力受限于所使用的光的波长。目前工艺水平的光刻工具使用具有248nm或193nm波长的深紫外(DUV)光,这使得最小特征物尺寸能够降至约50nm。
投射系统可以印刷的最小特征物尺寸由下式近似地给出:
CD=k1·λ/NA
其中,从半导体晶片可以看出,CD为最小特征物尺寸或临界尺寸;k1为囊括工艺相关因素的系数,并且对于生产通常等于0.4;λ为所使用的光的波长;以及NA为透镜的数值孔径。根据这个公式可以通过减小波长和/或通过增加数值孔径来降低最小特征物尺寸以获得较紧密聚焦光束和较小光斑尺寸。
光刻工艺利用曝光工具通过掩模来照射晶片上的辐射敏感材料层以将掩模上的图案转印到晶片。因为图案布局的临界尺寸接近光蚀刻设备的精度极限,所以光学邻近效应(OPE)开始影响将掩模上的特征物转印到辐射敏感材料层的方式,使得掩模和实际布局图案变得不同。熟知的是在投射系统中光学邻近效应导致光学衍射。衍射引起相邻特征物以如下这样的方式相互作用:产生依赖于图案的变化;特征物越相互靠近,邻近效应越明显。因而,定位相互靠近的线状图案的能力超出了光学参数限制。
因此,需要用于使半导体器件图案化的新的改进的方法,以实现使形成在半导体晶片上的电路图案继续微型化。
发明内容
本发明的实施方案提供了用于使分层结构图案化的方法。根据一个实施方案,一种使分层结构图案化的方法包括:在下层衬底的水平表面上形成可光成像层;对可光成像层进行成像以在可光成像层中形成成像图案;对成像图案进行显影以去除可光成像层的部分以形成包括可光成像层的未去除部分的预图案层;改变预图案层以提供复数个间隔开的无机材料引导物;在间隔开的无机引导物之间铸造嵌段共聚物层,所述嵌段共聚物具有等于或大于约10.5的χN参数,并且包括第一聚合物嵌段和第二聚合物嵌段,其中第一聚合物嵌段和第二聚合物嵌段在第一组蚀刻条件下具有大于2的蚀刻选择性;对嵌段共聚物层进行退火以形成大体上平行于衬底表面的复数个柱状系统;通过在第一组蚀刻条件下选择性地去除嵌段共聚物的第一聚合物嵌段来形成图案,以提供包括嵌段共聚物的第二聚合物嵌段和复数个间隔开的无机材料引导物的横向间隔开的特征物;以及将所述图案转印到下层衬底。
根据本发明的另一实施方案提供了一种用于使分层结构图案化的方法,该方法包括:在下层衬底的水平表面上形成可光成像层;对可光成像层进行成像以在可光成像层中形成成像图案;对成像图案进行显影以去除可光成像层的部分以形成包括可光成像层的未去除部分的预图案层;在可光成像层的未去除部分上沉积具有第一厚度的无机层;对无机层进行蚀刻以露出可光成像层的未去除部分;去除可光成像层的未去除部分以提供复数个间隔开的无机材料引导物;在间隔开的无机引导物之间铸造嵌段共聚物层,该嵌段共聚物具有等于或大于约10.5的χN参数,并且包括第一聚合物嵌段和第二聚合物嵌段,其中第一聚合物嵌段和第二聚合物嵌段在第一组蚀刻条件下具有大于2的蚀刻选择性;对嵌段共聚物层进行退火以形成大体上平行于下层衬底的所述表面的复数个柱状系统;通过在第一组蚀刻条件下选择性地去除嵌段共聚物的第一聚合物嵌段来形成图案,以提供包括嵌段共聚物的第二聚合物嵌段和复数个间隔开的无机材料引导物的横向间隔开的特征物;以及将所述图案转印到下层衬底。
附图说明
附图示出了本发明的实施方案,并且与上面给出的本发明的一般描述和下面给出的详细描述一起用于描述本发明,附图并入本说明书中并构成本说明书的一部分。
图1为根据本发明的实施方案的示出用于使分层结构图案化的方法的流程图;
图2为根据本发明的实施方案的在去除可光成像层的部分之后的衬底和层叠显影的可光成像层的示意性截面侧视图;
图3为根据本发明的实施方案的图2的结构在在可光成像层的未去除部分上方沉积无机材料层之后的示意性截面侧视图;
图4为根据本发明的实施方案的图3的结构在对无机材料层进行蚀刻以提供复数个间隔开的无机材料引导物之后的示意性截面侧视图;
图5为根据本发明的实施方案的图4的结构在利用吸引嵌段共聚物的第一聚合物嵌段和/或排斥嵌段共聚物的第二聚合物嵌段的材料对间隔开的无机材料引导物进行处理之后的示意性截面侧视图;
图6为根据本发明的实施方案的图5的结构在间隔开的无机材料引导物之间铸造嵌段共聚物层之后的示意性截面侧视图;
图7为根据本发明的实施方案的图6的结构在对嵌段共聚物层进行退火以提供大体上平行于衬底的水平表面的柱状系统之后的示意性截面侧视图;
图8为根据本发明的实施方案的图7的结构在选择性地去除第一聚合物嵌段的部分以提供包括第二聚合物嵌段和复数个间隔开的无机材料引导物的横向间隔开的特征物之后的示意性截面侧视图;
图9为根据本发明的实施方案的图8的结构在将通过横向间隔开的特征物形成的图案转印到下层衬底之后的示意性截面侧视图;
图10为根据本发明的实施方案的在去除可光成像层的部分之后的衬底和层叠显影的可光成像层的示意性截面侧视图;
图11为根据本发明的实施方案的图10的结构在可光成像层的未去除部分上方沉积无机材料层之后的示意性截面侧视图;
图12为根据本发明的实施方案的图11的结构在对无机材料层进行蚀刻以提供复数个间隔开的无机材料引导物之后的示意性截面侧视图;
图13为根据本发明的实施方案的图12的结构在利用吸引嵌段共聚物的第一聚合物嵌段和/或排斥嵌段共聚物的第二聚合物嵌段的材料对间隔开的无机材料引导物进行处理之后的示意性截面侧视图;
图14为根据本发明的实施方案的图13的结构在间隔开的无机材料引导物之间铸造嵌段共聚物层之后的示意性截面侧视图;
图15为根据本发明的实施方案的图14的结构在对嵌段共聚物层进行退火以提供大体上平行于衬底的水平表面的柱状系统之后的示意性截面侧视图;
图16为根据本发明的实施方案的图15的结构在选择性地去除第一聚合物嵌段的部分以提供包括第二聚合物嵌段和复数个间隔开的无机材料引导物的横向间隔开的特征物之后的示意性截面侧视图;以及
图17为根据本发明的实施方案的图16的结构在将通过横向间隔开的特征物形成的图案转印到下层衬底之后的的示意性截面侧视图。
具体实施方式
在各种实施方案中公开了用于使分层结构图案化以执行节距倍增的方法。然而,相关领域的技术人员应当认识到,可以在不具有一个或更多个具体细节的条件下,或者可以使用其他替代和/或附加方法、材料或组分实施各种实施方案。在其他实例中,未示出或者未详细描述公知的结构、材料或操作以避免使本发明的各种实施方案的方面难理解。
类似地,用于说明的目的,陈述具体数字、材料和配置以提供对本发明的透彻理解。然而,可以在没有具体细节的情况下实施本发明。此外,应当理解,附图中示出的各种实施方案是说明性的表示并且不一定按比例绘制。参照附图,贯穿附图相同的附图标记指代相同的部分。
在整个说明书中提及的“一个实施方案”、“实施方案”或其变型是指与实施方案描述有关的具体特征物、结构、材料或特性包括在本发明的至少一个实施方案中,但并不表示它们存在于每个实施方案中。因而,贯穿说明书的各个位置出现的短语例如“在一个实施方案中”或“在实施方案中”不一定指本发明的相同实施方案。此外,可以以任意合适的方式将具体特征物、结构、材料或特性结合到一个或更多个实施方案中。在其他实施方案中可以包括各种附加层和/或结构,和/或可以省略所描述的特征物。
此外,应该理解除非另有明确的陈述,否则单数名词(“a”或“an”)可以指“一个或更多个”。
各种操作将以最有利于理解本发明的方式依次被描述为复数个分立操作。然而,描述的顺序不应该被理解为暗示这些操作必须依赖于顺序。具体地,不一定以所呈现的顺序执行这些操作。所描述的操作可以以与所描述的实施方案不同的顺序执行。在另外的实施方案中,可以执行各种另外的操作和/或可以省略所描述的操作。
参照图1,根据本发明的实施方案,用于使分层结构图案化的方法10包括:执行光刻以在下层衬底上提供显影的预图案层20;改变预图案层以形成间隔开的无机材料引导物30;对自组装嵌段共聚物层进行铸造和退火40;通过选择性去除自组装嵌段共聚物的一种聚合物嵌段的至少一部分来形成图案50;以及将所述图案转印到下层衬底60。根据本发明的实施方案,方法10适用于制造如下描述的低于50nm的特征物,例如8nm或16nm半节距(HP)图案。
如本文中所使用的,术语“聚合物嵌段”是指并且包括一组单一类型(即,均聚物嵌段)或多种类型(即,共聚物嵌段)的结构单元的多个单体单元成为构成具有更大长度的较大聚合物的部分并且呈现χN值的相同长度的连续聚合物链,该聚合物链具有足以产生相分离的不同单体类型的其他聚合物嵌段。χ为弗洛里-哈金斯(Flory-Huggins)相互作用参数,N为嵌段共聚物的总聚合度。根据本发明的实施方案,在较大的聚合物中一种聚合物嵌段与至少一种其他聚合物嵌段的χN值可以等于或大于约10.5。
如本文中所使用的,术语“嵌段共聚物”是指并且包括由其中每个链包含两种或更多种以上所限定的聚合物嵌段并且嵌段中的至少两个嵌段对于那些嵌段具有用于相分离的足够隔离强度(例如,χN>10.5)的链组成的聚合物。本文中设想的各种嵌段聚合物包括二嵌段共聚物(即,包括两种聚合物嵌段的聚合物(AB))、三嵌段共聚物(即,包括三种聚合物嵌段的聚合物(ABA或ABC))、多嵌段共聚物(即,包括多于三种聚合物嵌段的聚合物(ABCD等))、及其组合。
如本文中所使用的,术语“衬底”是指并且包括其上形成有材料的基底材料或构造。应该理解的是,衬底可以包括单一材料、多层不同材料、或其中具有不同材料或不同结构的区域的一层或多层等。这些材料可以包括半导体、绝缘体、导体、或其组合。例如,衬底可以为:半导体衬底;在支承结构上的基底半导体层;金属电极;或其上形成有一个或更多个层、结构或区域的半导体衬底。衬底可以为常规硅衬底或包括半导体材料层的其他体衬底。如本文中所使用的,术语“体衬底”是指并且包括不仅硅晶片,还包括绝缘体上硅(“SOI”)衬底,例如蓝宝石上硅(“SOS”)衬底和玻璃上硅(“SOG”)衬底,基底半导体基础上的硅外延层,以及其他半导体材料或光电材料,例如硅锗、锗、砷化镓、氮化镓和磷化铟。衬底可以是掺杂的或未掺杂的。
如本文中所使用的,术语“微相隔离”和“微相分离”是指并且包括相互聚集的嵌段共聚物的同质嵌段、和异质嵌段分成为不同域的特性。在本体中,嵌段共聚物可以自组装成具有球状、柱状、薄片状或双连续回转(gyroid)微结构域的有序的形态,其中嵌段共聚物的分子量控制所形成的微结构域的尺寸。自组装嵌段共聚物形态学的域尺寸或节距周期(L0)可以被用作用于设计图案化结构的临界尺寸的基础。同样地,结构周期(Ls)可以被用作用于设计图案化结构的临界尺寸的基础,结构周期(Ls)为在选择性蚀刻掉嵌段共聚物的聚合物嵌段之一之后保留的特征物的尺寸。
组成嵌段共聚物的聚合物嵌段中的每个聚合物嵌段的长度可以为对通过那些嵌段共聚物的聚合物嵌段形成的域的尺寸的固有限制。例如,可以选择具有促进自组装成期望的域图案的长度的聚合物嵌段中的每个聚合物嵌段,并且较短和/或较长的共聚物不能如所期望的那样自组装。
如本文中所使用的,术语“退火”是指并且包括对嵌段共聚物进行处理以使得在嵌段共聚物的两个或更多个不同的聚合物嵌段组分之间能够充分地微相隔离以形成通过由聚合物嵌段形成的重复结构单元限定的有序图案。可以通过本领域熟知的各种方法实现本发明中嵌段共聚物的退火,退火包括但不限于:热退火(在真空中或者在惰性气氛中,例如氮气或氩气)、溶剂蒸气辅助退火(在室温下或者在室温以上)、或者超临界流体辅助退火。作为具体的实施例,如在下文中更加详细描述的,可以通过将嵌段共聚物暴露于升高的温度下来执行嵌段共聚物的热退火,所述升高的温度在玻璃转变温度(Tg)之上但是在嵌段共聚物的分解温度(Td)之下。还可以使用本文中未描述的其他常规退火方法。
如本文中所使用的,术语“优先润湿”是指并且包括通过嵌段共聚物来润湿接触表面,其中嵌段共聚物的一种聚合物嵌段与其他嵌段相比在具有较低自由能的界面处润湿接触表面。例如,可以利用吸引嵌段共聚物的第一聚合物嵌段和/或排斥嵌段共聚物的第二聚合物嵌段的材料处理接触表面来实现或增强优先润湿。
可以利用嵌段共聚物自组织的能力用于形成掩模图案。嵌段共聚物由两种或更多种化学性质不同的嵌段形成。例如,每个嵌段可以由不同的单体形成。嵌段是不可混合的或热力学不相容的,例如一种嵌段可以为极性的并且另一嵌段可以为非极性的。由于热力学效应,共聚物将在溶液中自组织以使系统整体上的能量最小化;通常,这使得共聚物相对于彼此移动,例如,使得相似的嵌段聚集在一起,从而形成包含每种嵌段类型或种类的交替区域。例如,如果共聚物由极性嵌段(例如,含有机金属的聚合物)和非极性嵌段(例如,烃聚合物)形成,那么这些嵌段将会分离使得非极性嵌段与其他非极性嵌段聚集并且极性嵌段与其他极性嵌段聚集。应该理解的是,可以将嵌段共聚物描述为自组装材料,这是因为所述嵌段可以在没有主动施加指导特定各个分子运动的外力的情况下移动以形成图案,但是可以施加热以增大一定数量分子整体上的移动速率。
除聚合物嵌段物类之间的相互作用之外,还可以通过形貌特征物影响嵌段共聚物的自组装,例如从沉积有嵌段共聚物的水平表面上垂直延伸的阶梯或引导物。例如,作为由两种不同聚合物嵌段物类形成的共聚物的二嵌段共聚物可以形成交替域或交替区域,其中每个交替域或交替区域为由基本上不同的聚合物嵌段物类形成的。在聚合物嵌段物类的自组装发生在阶梯或引导物的垂直壁之间的区域中的情况下,阶梯或引导物可以与聚合物嵌段相互作用使得,例如制成由嵌段形成的交替区域中的每一个以形成具有大体上平行于壁和水平表面定向的特征物的有规律地间隔开的图案。
这样的自组装可用于形成在半导体制造过程期间使特征物图案化的掩模。例如,可以去除交替域之一,从而留下形成其他区域的材料以用作掩模。掩模可以用于使特征物图案化,例如在下层半导体衬底中的电子器件。用于形成共聚物掩模的方法被公开在美国专利第7,579,278号和美国专利第7,723,009号中,每个专利的全部公开内容通过应用并入本文中。
根据本发明的一个实施方案,直接自组装嵌段共聚物为包括第一聚合物嵌段和第二聚合物嵌段的嵌段共聚物,其中在第一组蚀刻条件下,第一聚合物嵌段相对于第二聚合物嵌段固有地具有大于2的蚀刻选择性。根据一个实施方案,第一聚合物嵌段包括第一有机聚合物,以及第二聚合物嵌段包括第二有机聚合物。在另一实施方案中,第一聚合物嵌段为有机聚合物,以及第二聚合物嵌段为含有机金属的聚合物。如本文中所使用的,含有机金属的聚合物包括含有无机材料的聚合物。例如,无机材料包括但不限于类金属,例如硅、和/或过渡金属例如铁。
应该理解的是,可以选择每个嵌段共聚物的总尺寸以及组成嵌段和单体的比例,以促进自组织并且以形成具有期望的尺寸和周期性的组织化的嵌段域。例如,应该理解的是,嵌段共聚物具有固有的聚合物长度标准、即膜中共聚物的平均端距长度,其中包含任何卷绕或扭结,所述长度控制嵌段域的大小。可以使用具有较长共聚物的共聚物溶液以形成较大的域,以及可以使用具有较短共聚物的共聚物溶液以形成较小的域。
此外,通过第一嵌段组分与第二嵌段组分的体积分数(volume fraction)容易地确定由嵌段共聚物形成的自组装微结构域的类型。
根据一个实施方案,在第一嵌段组分与第二嵌段组分的体积比(volume ratio)大于约80∶20或小于约20∶80的情况下,嵌段共聚物将形成为由在由第一聚合物嵌段组分构成的基体内的第二聚合物嵌段组分构成的有序的球状阵列。相反地,在第一嵌段组分与第二嵌段组分的体积比小于约20∶80的情况下,嵌段共聚物将形成为由在由第二聚合物嵌段组分构成的基体内的第一聚合物嵌段组分构成的有序的球状阵列。
在第一嵌段组分与第二嵌段组分的体积比小于20∶80但是大于约65∶35的情况下,嵌段共聚物将形成为由在由第一聚合物嵌段组分构成的基体内的第二聚合物嵌段组分构成的有序的柱状阵列。相反地,在第一嵌段组分与第二嵌段组分的体积比小于约35∶65但是大于约20∶80的情况下,嵌段共聚物将形成为由在由第二聚合物嵌段组分构成的基体内的第一聚合物嵌段组分构成的有序的柱状阵列。
在第一嵌段组分与第二嵌段组分的体积比小于约65∶35但是大于约35∶65的情况下,嵌段共聚物将形成由第一聚合物嵌段组分和第二聚合物嵌段组分组成的交替片层。
因此,可以很容易地调节嵌段共聚物中第一嵌段组分与第二嵌段组分的体积比以形成期望的自组装的周期性图案。根据本发明的实施方案,第一嵌段组分与第二嵌段组分的体积比小于约80∶20但是大于约65∶35以产生由在由第一聚合物嵌段组分构成的基体内的第二聚合物嵌段组分构成的有序的柱状阵列。
示例性的有机聚合物包括但不限于:聚(9,9-双(6′-N,N,N-三甲基铵)-己基)-芴亚苯基)(PFP)、聚(4-乙烯基吡啶)(4PVP)、羟丙基甲基纤维素(HPMC)、聚乙二醇(PEG)、聚(环氧乙烷)-共聚-聚(环氧丙烷)二嵌段-或多嵌段共聚物、聚(乙烯醇)(PVA)、聚(乙烯-共聚-乙烯醇)(PEVA)、聚(丙烯酸)(PAA)、聚乳酸(PLA)、聚(乙基唑啉)、聚(烷基丙烯酸酯)、聚丙烯酰胺、聚(N-烷基丙烯酰胺)、聚(N,N-二烷基丙烯酰胺)、聚(丙二醇)(PPG)、聚(环氧丙烷)(PPO)、部分或全部水解的聚(乙烯醇)、葡聚糖、聚苯乙烯(PS)、聚乙烯(PE)、聚丙烯(PP)、聚异戊二烯(PI)、聚氯丁烯(CR)、聚乙烯醚(PVE)、聚(乙酸乙烯酯)(PVAc)、聚(氯乙烯)(PVC)、聚氨酯(PU)、聚丙烯酸酯、低聚糖、或多聚糖。
示例性的含有机金属的聚合物包括但不限于:含硅聚合物例如聚二甲基硅氧烷(PDMS)、多面体低聚倍半硅氧烷(POSS)、或聚(三甲基甲硅烷基苯乙烯)(PTMSS),或者含硅和铁的聚合物例如聚(二茂铁二甲基硅烷)(PFS)。
示例性的嵌段共聚物包括但不限于:二嵌段共聚物例如聚苯乙烯-b-聚二甲基硅氧烷(PS-PDMS)、聚(2-乙烯基吡啶)-b-聚二甲基硅氧烷(P2VP-PDMS)、聚苯乙烯-b-聚(二茂铁二甲基甲硅烷)(PS-PFS)、或聚苯乙烯-b-聚-DL-乳酸(PS-PLA),或者三嵌段共聚物例如聚苯乙烯-b-聚(二茂铁二甲基甲硅烷)-b-聚(2-乙烯基吡啶)(PS-PFS-P2VP)、聚异戊二烯-b-聚苯乙烯-b-聚(二茂铁二甲基甲硅烷)(PI-PS-PFS)、或聚苯乙烯-b-聚(三甲基甲硅烷基苯乙烯)-b-聚苯乙烯(PS-PTMSS-PS)。在一个实施方案中,PS-PTMSS-PS嵌段共聚物包括由含有四个苯乙烯单元的连接体连接的两个PTMSS链形成的聚(三甲基甲硅烷基苯乙烯)聚合物嵌段。还设想了嵌段共聚物的变化,例如在美国专利申请公报第2012/0046415号中公开的嵌段共聚物,其全部公开内容通过引用并入本文中。
在一个具体的实施方案中,用于形成自组装的周期性图案的嵌段共聚物为PS-PDMS嵌段共聚物。在这样的PS-PDMS嵌段共聚物中的聚苯乙烯(PS)嵌段和聚二甲基硅氧烷(PDMS)嵌段均可以具有从约10kg/mol至约100kg/mol范围内的数均分子量,更典型地具有从约20kg/mol至约50kg/mol的数均分子量。另外,PDMS的体积分数(fPDMS)可以在约20%至约35%的范围内。在一个实施方案中,具有16kg/mol的分子量具有33vol%的PDMS的PS-PDMS嵌段共聚物提供具有8nm的结构周期(LS)的柱状特征物。在另一实施方案中,具有32kg/mol的分子量具有33%的PDMS的PS-PDMS嵌段共聚物提供具有16nm的结构周期(LS)的柱状特征物。
本发明的实施方案还可以允许形成比单独通过嵌段共聚物形成或单独通过光刻形成的特征物小的特征物。在本发明的实施方案中,允许由不同的化学物类形成的自组装材料组织以形成由相似化学物类组成的域。选择性地去除这些域的部分以形成临时占位物和/或掩模特征物。然后可以利用由自组装材料形成的临时占位物和/或掩模特征物来执行节距倍增工艺。可以从临时占位物获得具有比临时占位物的节距小的节距的特征物。
在一些实施方案中,在临时占位物的侧壁上形成无机引导物或间隔物,并且然后可以选择性去除临时占位物。例如在制造集成电路期间,使用无机引导物、或源自于引导物的其他掩模特征物作为掩模的部分以使下层材料图案化。
本发明的实施方案可以在不使用较新的、相对复杂和昂贵的光蚀刻技术的情况下形成掩模特征物,并且可以降低对光刻胶的鲁棒性(robustness)的负担。例如,可以使用无机引导物或源自于引导物的掩模特征物作为掩模,而不是在掩模中使用相对软的并且结构脆弱的光刻胶。无机引导物的使用允许选择用于引导物的各种材料,并且可以针对鲁棒性和与工艺流程中使用的下层材料的兼容性来选择这些材料。
此外,因为嵌段共聚物材料还用作用于使下层材料图案化的掩模,所以不仅根据共聚物材料的自组装行为还根据共聚物材料在聚合物嵌段之间的蚀刻选择性来选择共聚物材料。因此,嵌段共聚物的自组装行为使得能够可靠地形成非常小的特征物,从而有利于形成具有非常小的特征物尺寸的掩模。例如,可以形成具有约1nm至约100nm、约3nm至约50nm或约5nm至约30nm的临界尺寸的特征物。
图2至图9示出了一种用于通过利用引导物以指导具有提高的对准度的嵌段共聚物的自组装来使分层结构图案化的方法。根据一个实施方案,提供了一种用于制造8um或16nm半节距(HP)图案的方法。然而,应该理解的是,可以通过改变嵌段共聚物来改变嵌段共聚物的域周期(L0)和/或结构周期(LS)来调节临界尺寸以匹配期望的临界尺寸。
参照图2,示出了具有衬底110和叠加经显影的可光成像层112的分层结构100的截面侧视图,该叠加经显影的可光成像层112为在去除可光成像层112的部分(间隔)114并留下未去除部分或特征物118之后的。可以利用在该领域中普遍使用的标准光刻技术形成可光成像层112中的未去除部分或特征物118。如所示出的,未去除部分或特征物118具有临界尺寸A,并且间隔114具有临界尺寸B,从而提供了等于A+B的和节距P。
根据一个方面,可光成像层可以为光刻胶层,其中光刻胶可以为与极紫外系统(例如,13.4um波长系统);157nm、193nm、248nm或365nm波长系统;或者193um波长浸没式系统兼容的任意光刻胶。光刻胶材料的实施例包括:氟化氩(ArF)敏感光刻胶,即,适合于使用ArF光源的光刻胶;氟化氪(KrF)敏感光刻胶,即,适合于使用KrF光源的光刻胶。ArF光刻胶适用于在利用相对短波长光(例如,193nm)的光刻系统。KrF光刻胶适用于在较长波长的光刻系统(例如248nm光刻系统)。另外,虽然在本发明的实施方案中使用自组织材料和节距倍增可以避免使用昂贵、相对新颖的直接形成技术(例如,极紫外系统(包括13.4nm波长系统)或电子束光刻系统)限定极小尺寸的需要,但如果需要也可使用这样的系统。另外,可以使用无掩模光蚀刻(maskless lithography)或无掩模光刻(maskless photolithography)限定可光成像层112。在其他实施方案中,可以由可以通过纳米压印光蚀刻图案化(例如,通过使用模型或机械力以在该抗蚀剂中形成图案)的抗蚀剂形成可光成像层112和任意随后的抗蚀剂层。
应该理解的是,得到特征物图案114/118的节距P等于未去除部分118的宽度A与邻近间隔114的宽度B的和,其中特征物图案114/118由未去除部分118和间隔114限定。还应该理解的是,可以根据需要调节节距P以实现期望的节距倍增。在一些实施方案中,特征物图案114/118的节距P可以为:例如约400nm或更少、约300nm或更少、约200nm或更少、或者约100nm或更少。在一个示例性实施方案中,特征物图案114/118可以具有约64nm的临界尺寸A、约96nm的临界尺寸B、以及约160nm的节距P。在另一示例性实施方案中,特征物图案114/118可以具有约32nm的临界尺寸A、约48nm的临界尺寸B、以及约80nm的节距P。
参照图3,在暴露的表面(包括可光成像层112的未去除部分118、和下层衬底110)上方毯式(blanket)共形地沉积厚度为C的无机材料层130。无机材料可以为可以用作用来将图案转印到下层材料的掩模的任意材料。间隔材料:1)可以沉积为具有良好的阶梯覆盖率;2)可以在与可光成像层112的未去除部分118兼容的温度下沉积;3)可以相对于未去除部分118选择性地蚀刻。无机材料可以包括但不限于,含硅材料或有机金属材料。含硅间隔材料可以为不限于硅、氧化硅及其变种、氮化硅及其变种、以及AlN。在示出的实施方案中,间隔材料为氧化硅。
根据一个实施方案,用于沉积无机材料层130的方法包括原子层沉积(ALD),例如利用具有硅前体的自限制沉积且随后暴露于氧或氮前体以分别形成硅氧化物和硅氮化物。可以在相对低的温度下执行ALD,例如在约200℃或在约100℃下,从而可以防止对下面的热敏感材料(如果存在)的热损伤。例如,可以使用ALD以防止对可光成像层112的未去除部分118的损伤。在其他的实施方案中,使用化学气相沉积来沉积无机材料层130。
根据无机材料引导物140(图4)的期望宽度来确定无机材料层130的厚度C,进而,根据嵌段共聚物的Ls并且考虑到无机材料引导物140和嵌段共聚物的蚀刻偏差来确定期望的无机材料导引物140的宽度。在一些实施方案中,将层130沉积为约5nm至约80nm、约6nm至约60nm、约8nm至约40nm、或约16nm至约30nm的厚度,以形成基本相似宽度的无机材料引导物。阶梯覆盖率为约80%或更大,并且或者为约90%或更大。
应该理解的是,考虑到嵌段共聚物的结构周期(Ls),以及无机材料引导物140之间的间隔134的期望宽度E的临界尺寸和材料的蚀刻偏差可以控制层130的厚度C。例如,如果嵌段共聚物提供具有约16nm或约8nm的结构周期(Ls)的柱状域,那么可以因此确定层130的厚度C。将图2中示出的邻近间隔114的宽度B减去厚度C的两倍以提供图3中间隔134的宽度E(即,E≈B-2C)。因此,如果需要,通过适当地设计临界尺寸A、临界尺寸B和可光成像层112的节距(A+B),可以将间隔144的宽度E调节至与间隔142的宽度A近似相同的尺寸。
继续参照图3和图4,无机材料层130经受各向异性蚀刻以从分层结构100的水平表面150去除无机材料。可以利用碳氟化合物等离子体(例如,包含CF4、CHF3和/或NF3的等离子体)执行这样的也被熟知为间隔物蚀刻的蚀刻工艺。在完成使可光成像层112的未去除部分118露出的各向异性蚀刻之后,去除未去除部分118以提供复数个间隔开的无机材料引导物140(例如,间隔142、间隔144之间的柱)。去除的方式没有特别地限制,只要无机材料引导物140的完整性不被不利地影响即可。无机材料引导物140用作用于铸造嵌段共聚物层的心轴,并且用来提高自组装嵌段共聚物柱状域的对准(registration)。
除了通过蚀刻工艺限定无机材料引导物140的高度G之外,还应该理解的是,可以通过控制可光成像层112的厚度来调节尺寸。
根据本发明的一个实施方案,如果需要,可以在铸造嵌段共聚物之前执行修整蚀刻工艺以减小无机材料引导物140的临界尺寸C和/或高度G。
参照图5和图6,然后施加嵌段共聚物并且允许嵌段共聚物自组装以在衬底110上方形成掩模图案。参照图5,根据一个实施方案,在复数个间隔开的无机材料引导物140之间以及在复数个间隔开的无机材料引导物140上方沉积表面改性材料膜160。表面改性材料用来吸引嵌段共聚物的聚合物嵌段之一和/或排斥嵌段共聚物的另一聚合物嵌段,并且允许或增强优选润湿。根据一个实施方案,表面改性材料膜160为具有与其吸引的聚合物嵌段基本上相似的蚀刻阻挡特性以及具有与其排斥的聚合物嵌段的蚀刻阻挡特性基本上不同的有机聚合物。例如,对于包括聚苯乙烯的嵌段共聚物,表面改性材料可以为有机聚合物例如端羟基聚苯乙烯。如本文中所使用的,“基本上相似的”蚀刻阻挡性被理解为是指在单一的蚀刻条件下以几乎相同的蚀刻选择性或蚀刻速率去除表面改性材料以及其吸引的聚合物嵌段。相反地,“基本上不同的”蚀刻选择性被理解为是指在单一的蚀刻条件下无法以几乎相同的蚀刻选择性或蚀刻速率去除表面改性材料以及其排斥的聚合物嵌段。可以通过旋涂涂覆以在合适的有机溶剂中的溶液的形式施加表面改性材料。
嵌段共聚物包括可以相对于彼此选择性蚀刻的至少两种聚合物嵌段,即,嵌段共聚物在第一组蚀刻条件下具有大于2的蚀刻选择性。此外,嵌段共聚物以期望的方式或可预见的方式自组织,例如,嵌段共聚物不可混合并且在适当的条件下分离,以形成主要包含单一嵌段物类的域。在一个示例性示出的实施方案中,嵌段共聚物为包含例如聚苯乙烯(PS)和聚二甲基硅氧烷(PDMS)的在氧等离子体蚀刻下具有大于二(2)的蚀刻选择性的二嵌段共聚物。
在一些实施方案中,选择嵌段共聚物以提供大体上平行于衬底110的水平表面150的复数个横向间隔开的柱状系统,以提供无机材料引导物140(图6)之间的连续的间隔。如上所述,根据期望的节距倍增度,临界尺寸E相对于周期(L0)可以设计为使得比率为约1至约8之间的近似整数值。例如,对于具有等于约32nm的L0的嵌段共聚物,E可以设计为约32nm、约64nm、约96nm、约128nm、约160nm、约192nm、约224nm、或256nm。因而,根据本发明的实施方案,节距倍增可以为1、2、3、4、5、6、7或8。
可以通过各种方法沉积嵌段共聚物,包括旋涂涂覆、旋转铸造、刷子涂覆或气相沉积。例如,嵌段共聚物可以提供为在载体溶剂(例如有机溶剂,例如甲苯)中的溶液。可以将嵌段共聚物的溶液施加到分层结构100并且紧接着去除载体溶剂。可以根据待通过嵌段共聚物形成的期望图案选择嵌段共聚物膜170的厚度F。例如,膜厚度可以被控制为与自组装嵌段共聚物的周期(L0)相关。根据本发明的实施方案,膜厚度F在数值上等于自组装嵌段共聚物的约周期(L0)至自组装嵌段共聚物的周期(L0)的约两倍的数值范围内。例如,如图6和图7所示,在嵌段共聚物的周期L0为约32nm的情况下,嵌段共聚物膜170等于或大于约32nm,但是可以变化最高达约64nm并且包括例如约64nm。如图14和图15所示,在嵌段共聚物的L0为约16nm的情况下,嵌段共聚物层270等于或大于约16nm,但是可以变化最高达约32nm并且包括例如约32nm。
应该理解的是,在达到与聚合物长度尺度以及其中设置有聚合物的环境相关的特定厚度(例如,无机材料引导物140之间的距离及无机材料引导物140的高度)的情况下,共聚物通常定向成形成在俯视图中可以观察到的横向间隔开的形成为平行线的柱状域。可以使用这样的横向间隔开的柱状域以倍增图7和图15中示出的分层结构的节距。
应该理解的是,嵌段共聚物膜170的厚度F可以大于等于或小于无机材料引导物140的高度G。与以上类似,因此无机材料引导物140的高度G可以被设计成与约0.5×F至约2×F的数值对应,其中嵌段共聚物层的厚度F本身是基于嵌段共聚物的L0的。如下面进一步示出和讨论的,可以使用大于无机材料引导物140的高度G的厚度F(例如,2×G)来提供共聚物储集层。在其他实施方案中,可以使用等于或小于无机材料引导物140的高度G的厚度F来形成在无机材料引导物140之间的隔离岛,从而防止岛之间的聚合物的交叉扩散。
虽然本发明不受理论限制,但是应该理解的是,出于在类似于材料的相分离过程中的热力学考虑,不同的嵌段物类被理解为可以自聚集。所述自组织由无机材料引导物140引导,其因界面相互作用而促使嵌段共聚物的构成嵌段沿无机材料引导物140的长度方向自我定向。应该理解的是,所述自组织可以导致对共聚物物类的更有效压缩。因此,在一些情况下,当共聚物膜170延伸过大的范围时,可用于自组织的自由共聚物可以被耗尽,从而导致所述范围中间的区域未形成有经组织的共聚物或者形成有较差组织的共聚物。因而,为了避免这个常见问题和提高对准,嵌段共聚物膜170足够厚以延伸超过无机材料引导物140以提供用于在无机材料引导物140之间发生自组织的共聚物储集层。本实施方案的另外方面,提高对准包括将无机材料引导物140之间的距离(即,间隔的临界尺寸E)设计为足够小,以使发生在大范围内的耗尽效应最小化。根据一个实施方案,间隔的临界尺寸E小于约200nm。例如,根据嵌段共聚物的L0,无机材料引导物140之间的间隔142、间隔144的临界尺寸E可以为约180nm、约150nm、约120nm、约100nm、约75nm、约50nm、约30nm、或在其范围之间。
参照图6和图7,将嵌段共聚物膜170暴露于退火条件下以促进嵌段共聚物自组装成为复数个柱状特征物182,复数个柱状特征物182大体上平行于彼此,平行于衬底的水平表面150,并且平行于无机材料引导物140的竖直表面188。通过对分层结构100退火可以有利于并且促进自组织。可以将退火过程的温度选择为足够低,以防止不利地影响嵌段共聚物或分层结构100。在一些实施方案中,可以在低于约350℃、低于约300℃、低于约250℃、低于约200℃、或低于约180℃的温度下进行退火。
根据另一实施方案,退火过程可以包括通常降低退火温度的溶剂退火。利用退火过程还可以引起共聚物的交联,从而使共聚物稳定以用于随后蚀刻步骤和图案转印步骤。
根据一个方面,为了在没有氧化或燃烧嵌段共聚物的有机聚合物嵌段的情况下,有利于加快退火时间,可以在小于约1小时的退火时间内大于约250℃的退火温度下的低氧气氛中进行退火。如本文中所使用的,低氧气氛可以包括小于约50ppm氧气。例如,低氧气氛可以包括小于约45ppm、小于约40ppm、小于约35ppm、小于约30ppm、小于约25ppm、小于约20ppm、或者在其之间的范围内。
退火时间可以在约几个小时至约1分钟的范围内变化。例如,对于250℃以上的温度退火时间可以在从约1小时至约2分钟、从约30分钟至约2分钟、或从约5分钟至约2分钟的范围内。
根据一个实施方案,退火温度可以在从约260℃至约350℃的范围内,其中低氧气氛包括小于约40ppm氧气。例如,可以将嵌段共聚物膜170暴露于小于约40ppm氧气、310℃的退火条件下保持约2分钟至约5分钟。
参照图6和图7,嵌段共聚物膜170的退火步骤形成了具有由第二聚合物嵌段形成的柱状特征物182和由第一聚合物嵌段形成的围绕区域184的自组装嵌段共聚物层。如图8所示,选择性地去除区域184的至少一部分,留下经蚀刻的柱状特征物186、围绕区域184的小部分、以及无机材料引导物140。应该理解的是,可以用单一的蚀刻化学物质在单一步骤中去除由第一嵌段聚合物形成的围绕区域184的部分,或者可以用不同的蚀刻化学物质利用多次蚀刻去除由第一嵌段聚合物形成的围绕区域184的部分,以提供图案190。例如,在围绕区域184由聚苯乙烯(PS)形成并且柱状特征物182由聚二甲基硅氧烷(PDMS)形成的情况下,可以通过执行部分地氧化PDMS柱状特征物182留下具有与氧化硅相似的特性的经蚀刻的柱状特征物186的选择性氧等离子体蚀刻来去除PS区域184。根据本发明的一个实施方案,得到图案190可以包括具有下层区域PS区域184的含硅的经蚀刻的柱状特征物186。应该理解的是,最终特征物的尺寸可以根据所使用的共聚物和工艺条件变化。例如,含硅的经蚀刻的柱状特征物186的临界尺寸可以为约50nm至约2nm、约35nm至小于约3nm,节距可以为约100nm至约4nm或约70nm至约6nm。
由经蚀刻的柱状特征物186和无机材料引导物140提供图案190,由此形成节距倍增掩模特征物。在图8示出的实施方案中,图案190的节距为图4中示出的无机材料引导物140的节距的约三分之一。
例如,在无机材料引导物140的临界尺寸C为16nm并且无机材料引导物140之间的间隔145的临界尺寸E为约64nm(见图4)的情况下,由周期为16nm的PS-PDMS嵌段共聚物产生的经蚀刻柱状特征物186的节距为约32nm或更少。应该理解的是,在一些实施方案中,可以通过使用具有较小临界尺寸的无机材料引导物和/或使用提供较小临界尺寸周期的嵌段共聚物来实现较高次的节距倍增。
参照图9,将图8的图案190转印到衬底110以提供经转印的图案195。可以使用相对于无机材料引导物140和特征物186而言适合于选择性蚀刻衬底110的材料的蚀刻化学物质来实现图案的转印。技术人员可以容易地确定适用于衬底材料的蚀刻化学物质。应该理解的是,在衬底110包括不同材料层的情况下,如果单一的化学物质不足以蚀刻所有的不同的材料,那么可以使用一系列不同的化学物质(例如,干法蚀刻化学物质)以连续蚀刻这些不同的层。应该理解的是,可以根据使用的化学产品或化学物质蚀刻无机材料引导物140和/或特征物186。
在图2至图9中示出的实施方案中,无机材料引导物140和/或经蚀刻的柱状特征物186在蚀刻速率方面足够相似,以用基本一致的渗透性完成对衬底110的蚀刻。
在图10至图17中示出的另一实施方案中,提供了一种使分层结构200图案化的方法,其中将特征物的临界尺寸设计为容纳百倍(pentuple)的节距倍增。参照图10,示出了具有衬底210和叠加经显影的可光成像层212的分层结构200的截面侧视图,该叠加经显影的可光成像层212为在去除可光成像层212的部分或间隔214并且留下未去除部分或特征物218之后的。可以使用本领域中普遍使用的标准光刻技术形成可光成像层212中的未去除部分或特征物218。
参照图11,在暴露的表面(包括可光成像层212的未去除部分218、和下层衬底210)上方毯式共形地沉积厚度为C的无机材料层230。
继续参照图11和图12,然后无机材料层230经受各向异性蚀刻以从分层结构200的水平表面250去除材料。在完成使可光成像层212的未去除部分218露出的从水平表面250对层230进行的各向异性蚀刻之后,去除未去除部分218以提供复数个间隔开的无机材料引导物240。无机材料引导物240用作用于铸造嵌段共聚物层的心轴,并且用来提高自组装嵌段共聚物柱状域的对准。
参照图13,根据一个实施方案,在复数个间隔开的无机材料引导物240之间以及在复数个间隔开的无机材料引导物240上方沉积表面改性材料膜260。表面改性材料用来吸引嵌段共聚物的聚合物嵌段之一和/或排斥嵌段共聚物的另一聚合物嵌段,并且允许或增强优选的润湿。参照图14,施加嵌段共聚物层270并且使得嵌段共聚物层270能够自组装以在衬底210上方形成掩模图案。
参照图14和图15,将嵌段共聚物层270暴露于退火条件下以促进嵌段共聚物自组装成为复数个柱状特征物282,复数个柱状特征物282大体上平行于彼此,平行于衬底的水平表面250,并且平行于无机材料引导物140的竖直表面288。通过对分层结构200退火可以有利于并且促进自组织。在示出的实施方案中,柱状特征物282的域周期(L0)为临界尺寸A和临界尺寸E的约五分之一,以及柱状特征物282的结构周期(Ls)为临界尺寸A和临界尺寸E的约十分之一,由此这有利于四个平行柱状特征物282的形成。
参照图15和图16,嵌段共聚物膜270的退火步骤提供了具有由第二聚合物嵌段形成的柱状特征物282和由第一嵌段聚合物形成的围绕区域284的自组装嵌段共聚物层。如图16所示,选择性地去除区域284的至少一部分,留下经蚀刻的柱状特征物286、围绕区域284的小部分、以及无机材料引导物240。应该理解的是,可以用单一的蚀刻化学物质在单一步骤中去除围绕区域284的部分,或者可以用不同的蚀刻化学物质利用多次蚀刻去除围绕区域284的部分,以提供图案290。
参照图17,将图16的图案290转印到衬底210以提供经转印的图案295。可以使用相对于无机材料引导物240和特征物286而言适合于选择性蚀刻衬底210的材料的蚀刻化学物质来实现图案的转印。
应该理解的是,示出的实施方案可以有各种更改方案。例如,虽然为了便于说明和讨论,在二嵌段共聚物的背景下讨论,但是共聚物可以由两种或更多种嵌段物类形成。另外,虽然示出的实施方案的嵌段物类各自由不同的单体形成,但是所述嵌段物类可共用单体。例如,嵌段物类可以由不同组的其中一些为相同的单体形成,或者可以由相同但是在每个嵌段有不同分布的单体形成。形成嵌段的不同组的单体具有可以驱动共聚物的自组装的不同的特性。
应该理解的是,在将掩模图案190、掩模图案290转印到衬底110、衬底210之前或之后,可以在掩模图案190、掩模图案290上层叠附加掩模图案。例如,可以在无机材料引导物140、无机材料引导物240、特征物186、特征物286之间,以及在无机材料引导物140、无机材料引导物240、特征物186、特征物286上方沉积平整化材料,并且可以使平坦化材料图案化以形成附加图案。可以将与掩模图案190、掩模图案290形成组合图案的附加图案转印到下层衬底110、下层衬底210。
另外,虽然通过一个掩模层的“处理”可以包含蚀刻下面的层,但是通过多个掩模层的处理可涉及使掩模层下面的层经受任何半导体制造工艺。例如,处理可以涉及穿过掩模层并且到达下面的层的离子注入、扩散掺杂、沉积、氧化(具体地在聚合物掩模下方使用硬掩模)、氮化等。另外,可以使用掩模层作为用于化学机械抛光(CMP)的阻隔物或阻挡物,或者考虑到下层的平整化和蚀刻两者可以在任意层上进行CMP。
另外,虽然所示出的实施方案可以应用于制造集成电路,但是本发明的实施方案还可以应用于其中需要形成具有非常小的特征物的图案的各种其他的应用。例如,本发明的实施方案可以应用于形成光栅、磁盘驱动器、存储介质或模板或用于其他光蚀刻技术的掩模,包括X射线或压印光蚀刻。例如,可以通过使具有相移材料涂层的膜堆叠的衬底图案化来形成相移光掩模。
因此,根据本文的描述应该理解的是,本发明包括各种实施方案。例如,根据本发明的另一实施方案,提供了一种用于使半导体衬底图案化的方法。该方法包括提供包含嵌段共聚物的层。选择性地去除嵌段共聚物的第一聚合物嵌段以留下包括嵌段共聚物的第二聚合物嵌段的柱状特征物。间隔材料可以毯式沉积在包括第二聚合物嵌段的横向间隔开的柱状特征物上。蚀刻间隔材料以在横向间隔开的柱状特征物的侧壁上形成间隔物。然后将通过间隔物限定的图案转印到衬底。
根据本发明的其他实施方案,提供了一种用于形成使衬底图案化的掩模的方法。该方法包括提供自组装嵌段共聚物材料层。相同化学物质的自组装嵌段共聚物聚集以形成具有通过化学物质限定的柱状域的重复图案。优选地去除化学物质之一以形成间隔开的柱状特征物。在柱状特征物的侧壁上形成间隔物。
根据本发明的又一实施方案,提供了一种用于集成电路制造的方法。该方法包括将嵌段共聚物层暴露于蚀刻下,以在嵌段共聚物层中限定自立的、有规律间隔的、间隔开的柱状特征物。在柱状特征物的侧壁上形成间隔物。将源自于间隔物的图案转印到下层衬底。
虽然通过本发明的一个或更多个实施方案的描述示出了本发明,并且虽然已经相当详细地描述了这些实施方案,但是它们的目的并非严格地或以任何方式将所附权利要求的范围限制于这样的细节。附加优点和修改对本领域技术人员将是明显的。因此,本发明在其更宽广意义上不限于具体的细节、代表性的装置和方法、以及示出和描述的说明性的实施例。因此,在不偏离总体发明构思的范围的情况下,可以偏离这些细节。

Claims (16)

1.一种用于使分层结构图案化的方法,包括:
在下层衬底的水平表面上形成可光成像层;
对所述可光成像层进行成像以在所述可光成像层中形成成像图案;
对所述成像图案进行显影以去除所述可光成像层的部分以形成包括所述可光成像层的未去除部分的预图案层;
改变所述预图案层以提供复数个间隔开的无机材料引导物;
在所述间隔开的无机材料引导物之间铸造嵌段共聚物层,所述嵌段共聚物具有等于或大于10.5的χN参数,并且包括第一聚合物嵌段和第二聚合物嵌段,其中所述第一聚合物嵌段和所述第二聚合物嵌段在第一组蚀刻条件下具有大于2的蚀刻选择性;
对所述嵌段共聚物层进行退火以形成大体上平行于所述衬底的所述水平表面的复数个柱状系统;
通过在所述第一组蚀刻条件下选择性地去除所述嵌段共聚物的所述第一聚合物嵌段的至少一部分来形成图案,以提供包括所述嵌段共聚物的所述第二聚合物嵌段和所述复数个间隔开的无机材料引导物的横向间隔开的特征物;以及
将所述图案转印到所述下层衬底;
其中改变所述预图案层包括:
在所述可光成像层的所述未去除部分上沉积无机材料层;
对所述无机材料层进行蚀刻以露出所述可光成像层的所述未去除部分;
去除所述可光成像层的所述未去除部分以提供所述复数个间隔开的无机材料引导物;
使用吸引所述嵌段共聚物的所述第一聚合物嵌段或排斥所述嵌段共聚物的所述第二聚合物嵌段的表面改性材料来对所述间隔开的无机材料引导物进行处理。
2.根据权利要求1所述的方法,其中所述表面改性材料为具有与所述嵌段共聚物的所述第一聚合物嵌段基本上相似的抗蚀刻特性的有机聚合物。
3.根据权利要求2所述的方法,其中所述第一聚合物嵌段包括聚苯乙烯,并且其中所述有机聚合物为羟基封端的聚苯乙烯。
4.根据权利要求1所述的方法,其中所述第一聚合物嵌段包括有机聚合物,以及所述第二聚合物嵌段包括含有机金属的聚合物。
5.根据权利要求1所述的方法,其中所述第二聚合物嵌段包括硅和/或铁。
6.根据权利要求1所述的方法,其中所述第二聚合物嵌段包括聚二甲基硅氧烷。
7.根据权利要求1所述的方法,其中所述嵌段共聚物包括聚苯乙烯-聚二甲基硅氧烷共聚物。
8.根据权利要求1所述的方法,其中沉积所述无机材料层包括执行氧化硅的原子层沉积。
9.根据权利要求1所述的方法,其中形成所述图案包括执行等离子体蚀刻工艺。
10.根据权利要求1所述的方法,其中在所述衬底上形成可光成像层包括形成248nm抗蚀剂、193nm抗蚀剂或EUV抗蚀剂、或者其两种或更多种的组合。
11.根据权利要求10所述的方法,其中所述193nm抗蚀剂包括193nm浸入式抗蚀剂。
12.根据权利要求1所述的方法,其中对所述嵌段共聚物层进行退火包括在包含小于50ppm氧气的低氧气氛中加热至大于200℃的退火温度。
13.根据权利要求1所述的方法,其中对所述嵌段共聚物层进行退火包括溶剂退火工艺。
14.根据权利要求1所述的方法,其中所述复数个间隔开的无机材料引导物具有100nm或更小的节距。
15.根据权利要求1所述的方法,还包括通过改变所述无机层的厚度、改变所述第二聚合物嵌段的分子量、或其组合来控制所述形成图案的步骤。
16.根据权利要求15所述的方法,其中所述复数个间隔开的无机材料引导物具有100nm或更小的节距。
CN201380031525.5A 2012-05-15 2013-05-13 利用嵌段共聚物形成图案及制品 Active CN104364713B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/472,442 US9005877B2 (en) 2012-05-15 2012-05-15 Method of forming patterns using block copolymers and articles thereof
US13/472,442 2012-05-15
PCT/US2013/040815 WO2013173249A1 (en) 2012-05-15 2013-05-13 Forming patterns using block copolymers and articles

Publications (2)

Publication Number Publication Date
CN104364713A CN104364713A (zh) 2015-02-18
CN104364713B true CN104364713B (zh) 2019-02-19

Family

ID=49581527

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380031525.5A Active CN104364713B (zh) 2012-05-15 2013-05-13 利用嵌段共聚物形成图案及制品

Country Status (6)

Country Link
US (1) US9005877B2 (zh)
JP (1) JP2015520510A (zh)
KR (1) KR20150013778A (zh)
CN (1) CN104364713B (zh)
TW (1) TW201407661A (zh)
WO (1) WO2013173249A1 (zh)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2975823B1 (fr) * 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
WO2015034690A1 (en) 2013-09-04 2015-03-12 Tokyo Electron Limited Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
US9349604B2 (en) * 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
KR102166523B1 (ko) * 2013-12-02 2020-10-16 에스케이하이닉스 주식회사 나노 스케일 형상 구조 및 형성 방법
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
KR101860250B1 (ko) * 2014-02-23 2018-05-21 도쿄엘렉트론가부시키가이샤 반도체 기판들에서 콘택트들을 생성하기 위한 방법
US9640397B2 (en) * 2014-03-14 2017-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor integrated circuit using a directed self-assembly block copolymer
KR101593601B1 (ko) * 2014-04-03 2016-02-15 한국전자통신연구원 베이스 몰드 및 몰드의 제조방법
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
KR102302704B1 (ko) 2014-09-02 2021-09-15 삼성전자주식회사 마스크용 패턴 구조물, 이를 이용한 홀 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9458531B2 (en) * 2014-11-04 2016-10-04 HGST Netherlands B.V. Method for directed self-assembly (DSA) of block copolymers using guiding line sidewalls
KR102295523B1 (ko) 2014-12-03 2021-08-30 삼성전자 주식회사 미세 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
JP2016111115A (ja) * 2014-12-04 2016-06-20 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US11021630B2 (en) * 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
JP6346115B2 (ja) 2015-03-24 2018-06-20 東芝メモリ株式会社 パターン形成方法
KR102358710B1 (ko) * 2015-04-06 2022-02-08 에스케이하이닉스 주식회사 선폭이 다른 패턴들 형성 방법
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
KR102508525B1 (ko) 2015-10-19 2023-03-09 삼성전자주식회사 블록 코폴리머 및 이를 이용한 집적회로 소자의 제조 방법
TW201729910A (zh) * 2015-10-23 2017-09-01 Tokyo Electron Ltd 基板處理方法、程式及電腦記憶媒體
US9576817B1 (en) * 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
FR3045642A1 (fr) * 2015-12-18 2017-06-23 Arkema France Procede de reduction du temps de structuration de films ordonnes de copolymere a blocs
FR3045644A1 (fr) * 2015-12-18 2017-06-23 Arkema France Procede d'obtention de films ordonnes epais et de periodes elevees comprenant un copolymere a blocs
FR3045645B1 (fr) * 2015-12-18 2019-07-05 Arkema France Procede de reduction des defauts dans un film ordonne de copolymeres a blocs
FR3045643A1 (fr) * 2015-12-18 2017-06-23 Arkema France Procede d'amelioration de l'uniformite de dimension critique de films ordonnes de copolymere a blocs
KR102359371B1 (ko) * 2015-12-23 2022-02-09 에스케이하이닉스 주식회사 벌집 형태로 배열된 패턴들 형성 방법
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
US10551741B2 (en) * 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
JP2018046202A (ja) 2016-09-15 2018-03-22 東芝メモリ株式会社 パターン形成方法、自己組織化材料、半導体装置の製造方法
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180070973A (ko) 2016-12-19 2018-06-27 삼성전자주식회사 미세 패턴 형성 방법, 커패시터 및 그의 형성 방법, 반도체 소자 및 그의 제조 방법, 반도체 소자를 포함하는 전자 시스템
CN108346573B (zh) * 2017-01-23 2020-10-16 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10991696B2 (en) 2017-03-15 2021-04-27 Intel Corporation Vertically stacked devices with self-aligned regions formed by direct self assembly (DSA) processing
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
FR3075774B1 (fr) * 2017-12-21 2021-07-30 Commissariat Energie Atomique Procede de formation d’une structure de guidage chimique sur un substrat et procede de chemo-epitaxie
US10734229B2 (en) * 2018-01-05 2020-08-04 Tokyo Electron Limited Method of advanced contact hole pattering
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
JP7146674B2 (ja) * 2019-03-14 2022-10-04 キオクシア株式会社 パターン形成方法
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101405216A (zh) * 2006-03-23 2009-04-08 美光科技公司 形貌引导的图案化
CN101578232A (zh) * 2007-02-08 2009-11-11 美光科技公司 使用嵌段共聚物自组装进行亚光刻图案化的方法
CN102037543A (zh) * 2008-05-22 2011-04-27 美光科技公司 形成由半导体衬底支撑的结构的方法
US8039196B2 (en) * 2007-08-09 2011-10-18 Samsung Electronics Co., Ltd. Method of forming fine patterns using a block copolymer

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5650261A (en) 1989-10-27 1997-07-22 Rohm And Haas Company Positive acting photoresist comprising a photoacid, a photobase and a film forming acid-hardening resin system
US5344742A (en) 1993-04-21 1994-09-06 Shipley Company Inc. Benzyl-substituted photoactive compounds and photoresist compositions comprising same
DE50010125D1 (de) 1999-12-24 2005-05-25 Ivoclar Vivadent Ag Atraumatischer approximalraumerweiterer
JP3623173B2 (ja) * 2001-03-06 2005-02-23 独立行政法人科学技術振興機構 圧力ジャンプを用いるミクロ相分離ポリマー構造体の製造方法
TW501181B (en) 2001-04-04 2002-09-01 Chartered Semiconductor Mfg Removal of organic anti-reflection coatings in integrated circuits
JP2004519520A (ja) 2001-04-05 2004-07-02 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド フォトレジストのためのパーフルオロアルキルスルフォン酸化合物
NZ513637A (en) 2001-08-20 2004-02-27 Canterprise Ltd Nanoscale electronic devices & fabrication methods
JP3892792B2 (ja) 2001-11-02 2007-03-14 大日本スクリーン製造株式会社 基板処理装置および基板洗浄装置
US6632960B2 (en) 2002-06-21 2003-10-14 Goldschmidt Ag Diaryliodonium salt catalysts made from iodotoluene and a method for preparing them
JP3993048B2 (ja) 2002-08-30 2007-10-17 大日本スクリーン製造株式会社 基板処理装置
US7399577B2 (en) 2003-02-19 2008-07-15 Ciba Specialty Chemicals Corporation Halogenated oxime derivatives and the use thereof
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
WO2005097883A2 (en) 2004-03-26 2005-10-20 King Industries, Inc. Method of producing a crosslinked coating in the manufacture of integrated circuits
US7968278B2 (en) 2004-04-13 2011-06-28 Tokyo Electron Limited Rinse treatment method and development process method
JP4343018B2 (ja) 2004-04-20 2009-10-14 東京エレクトロン株式会社 基板の処理方法及び基板の処理装置
JP2008506749A (ja) 2004-07-20 2008-03-06 チバ スペシャルティ ケミカルズ ホールディング インコーポレーテッド オキシム誘導体および潜在酸としてのそれらの使用
US7354692B2 (en) 2005-05-09 2008-04-08 International Business Machines Corporation Photoresists for visible light imaging
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US8618221B2 (en) 2005-10-14 2013-12-31 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
JP4673266B2 (ja) * 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8147914B2 (en) * 2007-06-12 2012-04-03 Massachusetts Institute Of Technology Orientation-controlled self-assembled nanolithography using a block copolymer
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7763319B2 (en) 2008-01-11 2010-07-27 International Business Machines Corporation Method of controlling orientation of domains in block copolymer films
US7521094B1 (en) 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7754518B2 (en) 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
JP5336283B2 (ja) 2008-09-03 2013-11-06 信越化学工業株式会社 パターン形成方法
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5448536B2 (ja) 2009-04-08 2014-03-19 東京エレクトロン株式会社 レジスト塗布現像装置およびレジスト塗布現像方法、並びにレジスト膜処理装置およびレジスト膜処理方法
JP5222805B2 (ja) * 2009-07-09 2013-06-26 パナソニック株式会社 自己組織化パターン形成方法
JP5394857B2 (ja) * 2009-08-27 2014-01-22 富士フイルム株式会社 高分子膜の製造方法
US8349203B2 (en) 2009-09-04 2013-01-08 International Business Machines Corporation Method of forming self-assembled patterns using block copolymers, and articles thereof
WO2011036778A1 (ja) * 2009-09-25 2011-03-31 株式会社 東芝 パターン形成方法
US8828493B2 (en) 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8696918B2 (en) 2010-05-05 2014-04-15 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
JP5171909B2 (ja) * 2010-09-16 2013-03-27 株式会社東芝 微細パターンの形成方法
JP5820676B2 (ja) 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
US8975327B2 (en) 2010-11-24 2015-03-10 Dow Corning Corporation Controlling morphology of block copolymers
NL2007940A (en) 2010-12-23 2012-06-27 Asml Netherlands Bv Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography.
KR101891987B1 (ko) 2011-05-31 2018-08-28 엘지디스플레이 주식회사 유기 발광장치 및 그 제조방법
US9285676B2 (en) 2011-06-23 2016-03-15 Asml Netherlands B.V. Self-assemblable polymer and method for use in lithography
WO2012175343A1 (en) 2011-06-23 2012-12-27 Asml Netherlands B.V. Self-assemblable polymer and methods for use in lithography
KR101890425B1 (ko) 2011-07-14 2018-08-22 삼성디스플레이 주식회사 포토레지스트 박리용 조성물 및 이를 이용한 표시 기판의 제조 방법
US9182673B2 (en) 2011-07-18 2015-11-10 Asml Netherlands B.V. Method for providing a template for a self-assemblable polymer for use in device lithography
US9718250B2 (en) 2011-09-15 2017-08-01 Wisconsin Alumni Research Foundation Directed assembly of block copolymer films between a chemically patterned surface and a second surface
DE102012105384A1 (de) 2012-06-21 2012-09-06 AP&S International GmbH Lift-off-Verfahren und Vorrichtung zum Durchführen des Lift-off-Verfahrens
KR102003334B1 (ko) 2012-09-04 2019-07-24 삼성전자주식회사 패턴 형성 방법
US8715917B2 (en) 2012-10-04 2014-05-06 International Business Machines Corporation Simultaneous photoresist development and neutral polymer layer formation
US8956808B2 (en) 2012-12-04 2015-02-17 Globalfoundries Inc. Asymmetric templates for forming non-periodic patterns using directed self-assembly materials

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101405216A (zh) * 2006-03-23 2009-04-08 美光科技公司 形貌引导的图案化
CN101578232A (zh) * 2007-02-08 2009-11-11 美光科技公司 使用嵌段共聚物自组装进行亚光刻图案化的方法
US8039196B2 (en) * 2007-08-09 2011-10-18 Samsung Electronics Co., Ltd. Method of forming fine patterns using a block copolymer
CN102037543A (zh) * 2008-05-22 2011-04-27 美光科技公司 形成由半导体衬底支撑的结构的方法

Also Published As

Publication number Publication date
CN104364713A (zh) 2015-02-18
WO2013173249A1 (en) 2013-11-21
JP2015520510A (ja) 2015-07-16
TW201407661A (zh) 2014-02-16
KR20150013778A (ko) 2015-02-05
US20130309457A1 (en) 2013-11-21
US9005877B2 (en) 2015-04-14

Similar Documents

Publication Publication Date Title
CN104364713B (zh) 利用嵌段共聚物形成图案及制品
US11538684B2 (en) UV-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
TWI360161B (en) Pitch multiplication using self-assembling materia
JP6139011B2 (ja) 誘導自己組織化用途における中立層オーバーコートのトポグラフィの最小化
CN107210197B (zh) 用于嵌段共聚物的定向自组装的混杂形貌和化学预制图案
JP5596133B2 (ja) ブロック共重合体を用いてホール又はビアを有するデバイスを形成する方法
US8114573B2 (en) Topography based patterning
US9029271B2 (en) Methods of patterning block copolymer layers
KR101602942B1 (ko) 패턴 형성 방법
CN108369899A (zh) 形成用于亚分辨率基板图案化的蚀刻掩模的方法
CN110993566A (zh) 一种定向自组装和掩膜调控制备半导体纳米结构的方法
KR20170086509A (ko) 반도체 디바이스의 층 내 기둥 또는 구멍 구조들을 제조하는 방법, 그리고 연관된 반도체 구조
US10784108B2 (en) Method for forming a functionalised assembly guide
TW201546872A (zh) 半導體積體電路的製造方法
KR20090009788A (ko) 토포그래피 지향 패터닝
CN109712871B (zh) 半导体结构与其制作方法
CN110993565A (zh) 一种定向自组装制备半导体纳米器件结构的方法
CN106601597A (zh) 半导体装置的形成方法
JP2018160537A (ja) パターン形成方法
US9478506B2 (en) Multilayer pattern transfer for chemical guides
CN112366137A (zh) 一种定向自组装制备半导体纳米器件结构的方法
CN115863152A (zh) 一种图案化方法、图案化结构及半导体器件
BUM et al. Fabrication of Nanopatterns by Using Diblock Copolymer

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant