CN103782349A - 在电子器件中使用的富碳的碳氮化硼介电膜 - Google Patents

在电子器件中使用的富碳的碳氮化硼介电膜 Download PDF

Info

Publication number
CN103782349A
CN103782349A CN201280043509.3A CN201280043509A CN103782349A CN 103782349 A CN103782349 A CN 103782349A CN 201280043509 A CN201280043509 A CN 201280043509A CN 103782349 A CN103782349 A CN 103782349A
Authority
CN
China
Prior art keywords
dielectric film
atomic percents
dielectric
carbon
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201280043509.3A
Other languages
English (en)
Inventor
桑·范·恩古叶恩
A·格瑞尔
T·J海格
S·麦合塔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN103782349A publication Critical patent/CN103782349A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/02Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances
    • H01B3/12Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances ceramics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53276Conductive materials containing carbon, e.g. fullerenes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1606Graphene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Insulating Materials (AREA)

Abstract

提供一种介电常数等于或小于3.6的富碳的碳氮化硼介电膜,它可被用作各种电子器件中的组件。富碳的碳氮化硼介电膜具有式子CxByNz,其中,x为35原子百分比或更大,y为6原子百分比到32原子百分比,以及z为8原子百分比到33原子百分比。

Description

在电子器件中使用的富碳的碳氮化硼介电膜
技术领域
本发明涉及在各种电子器件中使用的介电膜,更具体地,涉及富碳的碳氮化硼介电膜。本发明还涉及包括所述富碳的碳氮化硼介电膜的电子器件以及形成所述富碳的碳氮化硼介电膜的方法。
背景技术
电子器件的规模不断扩大要求降低RC(电阻/电容)延迟以便增大器件电路中的计时速度。通过采用新材料用于前端制程(FEOL)集成和/或后端制程(BEOL)集成,能够实现寄生电容的降低。例如,为了满足标准的BEOL可靠性要求,需要具有小于4.0的低介电常数(k)的新介电膜。另外,FEOL应用需要具有小于4.0的介电常数的新介电膜。
发明内容
本公开提供了一种具有低介电常数k的富碳的碳氮化硼介电膜。“低介电常数”或“低k”意味着本公开的富碳的碳氮化硼介电膜具有等于或小于3.6的介电常数。“富碳”意味着本公开的富碳的碳氮化硼介电膜具有35原子百分比或更大的碳含量。本公开的富碳的碳氮化硼介电膜可被用作各种电子器件中的绝缘体,例如包括用作互连结构的介电盖、金属氧化物半导体(MOS)场效应晶体管(FET)的间隔物、或者用作在含石墨烯器件中使用的介电材料。
在本发明的一个实施例中,提供了一种介电膜,所述介电膜具有式子CxByNz,其中,x是35原子百分比或更大,y是6原子百分比到32原子百分比,z是8原子百分比到33原子百分比。
在再一个实施例中,提供了一种电子器件,所述电子器件包括衬底和位于所述衬底表面上的介电膜。位于衬底表面上的介电膜具有式子CxByNz,其中,x是35原子百分比或更大,y是6原子百分比到32原子百分比,z是8原子百分比到33原子百分比。
在又一个实施例中,提供了一种形成介电膜的方法。具体地,本公开的方法包括:把衬底置于反应室中;向反应室提供至少包括C、B和N原子的至少一种前体;以及在衬底的表面上淀积介电膜。淀积的介电膜具有式子CxByNz,其中,x是35原子百分比或更大,y是6原子百分比到32原子百分比,z是8原子百分比到33原子百分比。
附图说明
图1是图解说明可在本发明的一个实施例中采用的初始结构的横截面图。
图2是图解说明在其上形成富碳的碳氮化硼介电膜之后,图1的初始结构的横截面图。
图3是图解说明可在本发明中采用的另一种初始结构的横截面图,其中,在其上形成富碳的碳氮化硼介电膜。
图4A、4B和4C是描述包括富碳的碳氮化硼介电膜的一些例证电子器件的横截面图。
图5是描述包括富碳的碳氮化硼介电膜作为栅极间隔物的电子器件的横截面图。
图6A和6B是描述包括位于一层碳基衬底上的富碳的碳氮化硼介电膜的电子器件的横截面图。
具体实施方式
下面将参考伴随本申请的以下讨论和附图,更详细地说明本公开,本公开涉及一种富碳的碳氮化硼介电膜、包括富碳的碳氮化硼介电膜的电子器件、和形成富碳的碳氮化硼介电膜的方法。注意,本申请的附图是出于举例说明的目的提供的,因而这些附图不是按比例绘制的。
在下面的说明中,记载了众多的具体细节,比如特定的结构、组件、材料、尺寸、处理步骤和技术,以便充分理解本公开。然而,本领域的技术人员会理解,可以在没有这些具体细节的情况下,利用可行的备选处理选项来实践本公开。在其它情况下,没有详细说明公知的结构或处理步骤,以避免模糊本公开的各个实施例。
应明白,当作为层、区域或衬底的元件被称为在另一个元件上或上方时,该元件可以直接在所述另一个元件上,或者也可存在居间元件。相反,当元件被称为直接在另一个元件上或上方时,则不存在居间元件。另外应明白,当元件被称为在另一个元件下或下面时,该元件可以直接在所述另一个元件下或下面,或者可能存在居间元件。相反,当元件被称为直接在另一个元件下或下面时,则不存在居间元件。
如上所述,本发明的实施例提供了一种碳含量为35原子百分比或更大的富碳的碳氮化硼介电膜。特别地,提供了一种包含35原子百分比或更大量的碳原子,6原子百分比到32原子百分比的量的硼原子,和8原子百分比到33原子百分比的量的氮原子的富碳的碳氮化硼介电膜。本公开的富碳介电膜还可包括作为可选元素的氧和氢至少之一。本发明的实施例的富碳的碳氮化硼介电膜具有等于或小于3.6的介电常数。
在一个实施例中,富碳的碳氮化硼介电膜可被用作各种电子器件中的绝缘体组件,例如包括用作互连结构的介电盖、金属氧化物半导体(MOS)场效应晶体管(FET)的间隔物、或者用作在含石墨烯器件中使用的介电材料。当富碳的碳氮化硼介电膜能被用作介电盖时,富碳介电膜降低互连结构的总有效电容,从而提高器件性能。
现在参考图1,图1图解说明了可在本发明的一个实施例中采用的初始结构10。图1中描述的初始结构包括衬底12。优选采用的衬底12包括半导体材料、绝缘材料、导电材料或者它们的任何组合,包括多层结构。
在一个实施例中,当衬底12是或者包括半导体材料时,半导体材料可以是具有半导体性质的任何材料,例如包括Si、Ge、SiGe、SiGeC、SiC、GaAs、InAs、InP和其它III/V或II/VI化合物半导体。分层的半导体材料,比如Si/SiGe、Si/Ge、Si/SiC、绝缘体上硅(SOI)或者绝缘体上硅锗(SGOI)也可被用作衬底12。
当衬底12是或者包括绝缘材料时,绝缘材料可以是有机绝缘体、无机绝缘体或者它们的组合(包括多层)。当衬底12是或者包括导电材料时,导电材料12可包括例如掺杂B、P或As的多晶硅、单质金属、单质金属的合金、金属硅化物、金属氮化物和它们的组合(包括多层)。
在另一个实施例中,衬底12包括半导体材料和绝缘材料的组合、半导体材料和导电材料的组合、或者半导体材料、绝缘材料和导电材料的组合。
在另一个实施例中,衬底12是碳基材料,例如包括石墨烯、石墨和碳纳米管。在其它实施例中,碳基材料可以位于基础衬底上,所述基础衬底可以是半导体材料、介电材料、导电材料或者它们的任何组合(包括多层堆叠)。
这里,术语“石墨烯”用于描述密集聚集在蜂窝晶格中的sp2-键合碳原子的单原子厚度平面片材。可被用作衬底12的石墨烯具有六角形晶体键合结构。石墨烯可包括单层石墨烯(名义上厚度为0.34nm),几层石墨烯(2到10层石墨烯),多层石墨烯(>10层石墨烯),单层、几层和多层石墨烯的混合物,或者与非晶和/或无序碳相混合的石墨烯层的任何组合。如果需要,这还可包括取代、填隙和/或插层掺杂物。当石墨烯被用作衬底12时,可以利用本领域中公知的技术来形成石墨烯。例如,可以利用石墨的机械剥离,碳化硅上的外延生长,金属衬底上的外延生长,肼还原法(其中将氧化石墨烯纸置于纯肼溶液中,该纯肼溶液把氧化石墨烯纸还原成单层石墨烯),以及乙醇的钠还原法(即,利用金属钠还原乙醇,随后热解乙氧基产物,并洗涤以除去钠盐),来形成石墨烯。形成石墨烯的另一种方法可以是从碳纳米管形成石墨烯。
当碳纳米管被用作衬底12时,碳纳米管可以是单壁或多壁的。碳纳米管一般具有折叠的六角形晶体键合结构。尽管可以使用单个碳纳米管,但一般使用碳纳米管的阵列。当碳纳米管被用作衬底12时,可以利用本领域的技术人员公知的技术来形成碳纳米管。可用于形成碳纳米管的适当技术的例子包括(但不限于)电弧放电、激光消融、化学气相淀积和等离子体增强化学气相淀积。
可被用作衬底12的其它可能的碳基材料包括具有短程六角形和非晶晶体键合结构的石墨,以及具有轻微变形的六角形晶体键合结构的各种形式的碳材料,比如蓝丝黛尔石或者密集聚集的六角形键合相富勒烯。
参见图2,图中图解说明了在衬底12的表面上形成富碳的碳氮化硼介电膜14之后,图1的初始结构10。如上所述,富碳的碳氮化硼介电膜14包含碳(C)原子、硼(B)原子和氮(N)原子。富碳的碳氮化硼介电膜14还可包括氧和氢之一作为可存在于其中的可选元素。富碳的碳氮化硼介电膜14也可被称为具有式子CxByNz的富碳介电膜,其中,x是存在于膜中的碳的原子百分比,y是存在于膜中的硼的原子百分比,z是存在于膜中的氮的原子百分比。这里,将在下面更详细地讨论x、y和z的值。
本公开的富碳的碳氮化硼介电膜14具有等于或小于3.6的介电常数。在一个实施例中,富碳的碳氮化硼介电膜14具有为2.5到3.2的介电常数。除非另有说明,否则所有的介电常数都是相对于真空的。在另一个实施例中,富碳的碳氮化硼介电膜14具有小于2.5的介电常数。在一个实施例中,富碳的碳氮化硼介电膜14是无孔的。在另一个实施例中,富碳的碳氮化硼介电膜14是多孔的。‘多孔’意味着膜中存在孔隙。
如上所述,本发明的富碳介电膜14是富碳的。‘富碳’意味着富碳的碳氮化硼介电膜14具有大于或等于35原子百分比的碳含量(即,x)。在另一个实施例中,富碳的碳氮化硼介电膜14中的碳含量(即,x)为35原子百分比到约70原子百分比。
富碳的碳氮化硼介电膜14还包括硼。富碳的碳氮化硼介电膜14中的硼含量(即,y)为6原子百分比到32原子百分比。在另一个实施例中,富碳的碳氮化硼介电膜14中的硼含量(即,y)为15原子百分比到约32原子百分比。
富碳的碳氮化硼介电膜14还包括氮。富碳的碳氮化硼介电膜14中的氮含量(即,z)为8原子百分比到33原子百分比。在另一个实施例中,富碳的碳氮化硼介电膜14中的氮含量(即,y)为15原子百分比到33原子百分比。在一些实施例中,氮均匀地分布在整个富碳的碳氮化硼介电膜14中。在本公开的另一个实施例中,在富碳的碳氮化硼介电膜14的选择区域中提供氮,从而提供在氮含量方面分级的介电膜。
在一些实施例中,x(碳含量)、y(硼含量)和z(氮含量)之和为100原子百分比。在这样的实施例中,在富碳的碳氮化硼介电膜14中,不存在除碳、硼和氮以外的其它元素。在一些其它实施例中,x(碳含量)、y(硼含量)和z(氮含量)之和不为100原子百分比。在这样的实施例中,在富碳的碳氮化硼介电膜14中,可以存在诸如氧和/或氢之类的元素。
当在富碳的碳氮化硼介电膜14中存在氧时,氧的含量为1原子百分比到10原子百分比。在另一个实施例中,当在富碳的碳氮化硼介电膜14中存在氧时,氧含量为1原子百分比到4原子百分比。
当在富碳的碳氮化硼介电膜14中存在氢时,氢的含量可从10原子百分比变化到40原子百分比。在另一个实施例中,当在富碳的碳氮化硼介电膜14中存在氢时,氢含量可为10原子百分比到25原子百分比。
在一个实施例中,富碳的碳氮化硼介电膜14具有40GPa到90GPa的模量。在另一个实施例中,对于淀积态富碳的碳氮化硼介电膜来说,富碳介电膜具有200MPa到800MPa的压应力。在另一个实施例中,对于UV固化(450秒到1450秒)的富碳的碳氮化硼介电膜来说,富碳介电膜具有150MPa到50MPa的压应力。
可以通过首先把衬底10置于反应室中,向反应室提供至少包含C、B和N原子的至少一种前体,以及在衬底12的表面上淀积富碳的碳氮化硼介电膜14,来形成富碳的碳氮化硼介电膜14。在一个实施例中,可以利用等离子体增强化学气相淀积(PECVD)工艺来进行富碳的碳氮化硼介电膜14的淀积。在另一个实施例中,可以利用化学气相淀积(CVD)、原子层淀积(ALD)或者旋涂工艺,来进行富碳的碳氮化硼介电膜14的淀积。其它淀积工艺也是可能的,只要所述其它淀积工艺能够形成上面说明的富碳的碳氮化硼介电膜。
富碳的碳氮化硼介电膜14的厚度可变;富碳的碳氮化硼介电膜14的一般范围为1nm到500nm,厚度一般为5nm到200nm。
可以利用各种前体来淀积富碳的碳氮化硼介电膜14,所述各种前体可以是液态、气态或蒸汽态。在一个实施例中,可以利用全气态前体来形成富碳的碳氮化硼介电膜14。在这个实施例中,可以采用含硼前体、含氮前体和含烃前体。在一些实施例中,含硼前体和含氮前体可被组合成单个前体。在另外的其它实施例中,含硼前体、含氮前体和含烃前体可全被组合成单个前体。
在另一个实施例中,可以利用至少一种气态前体和至少一种液态前体来形成富碳的碳氮化硼介电膜14。例如,可以利用含硼和氮的液态前体和含烃的气态前体来形成富碳的碳氮化硼介电膜14。
当采用含硼前体(液态、蒸汽态或气态)形成富碳的碳氮化硼介电膜14时,含硼前体可包括包含硼的任何材料。可在本公开中采用的含硼前体的例子包括(但不限于)乙硼烷、三乙基硼烷、三氯化硼和三氟化硼。
当采用含氮前体(液态、蒸汽态或气态)形成富碳的碳氮化硼介电膜14时,含氮前体可包括包含氮的任何材料。可在本公开中采用的含氮前体的例子包括(但不限于)N2和NH3。预期在氮含量方面无分级和分级的富碳介电膜。通过改变引入反应器的含氮前体的量来实现分级。
可用于形成富碳的碳氮化硼介电膜14的含烃前体(液态、蒸汽态或气态)是具有一个或多个碳原子之间的双键或三键的不饱和烃。这里,具有双键的含不饱和烃前体可被称为烯烃,并被表征为具有式子CnH2n,其中,n是等于或大于2的整数,n的值一般取2到16。可被用作含烃前体的烯烃的例子包括(但不限于)C2H4、C2H6、C3H6和C4H8
这里,包含三键的不饱和烃可被称为炔烃,并被表征为具有式子CmH2m-2,其中,m是等于或大于2的整数,m的值一般取2到16。可被用作含烃前体的炔烃的例子包括(但不限于)C2H2
当采用组合的含氮和硼的前体形成富碳的碳氮化硼介电膜14时,所述组合的含氮和硼的前体在单个化合物中包含氮和硼两者。可在本公开中采用的组合的含氮和硼的前体的例子包括(但不限于)环硼氮烷、烷基环硼氮烷、乙烯基环硼氮烷和乙烯基烷基环硼氮烷。
当采用组合的含氮、硼和烃的前体形成富碳的碳氮化硼介电膜14时,所述组合的含氮、硼和烃的前体在单个化合物中包含氮、硼和烃。可以采用的组合的含氮、硼和烃的前体的例子包括(但不限于)乙硼烷、NH3和烯烃的组合。在一些实施例中,可以使用乙硼烷、NH3与单烷基、二烷基、三烷基和四烷基乙硼烷的组合。在其它实施例中,可以使用具有氨和三甲胺烷基硼烷的前体。
在一个实施例中,能够利用包括提供平行板反应器的步骤的方法来淀积富碳的碳氮化硼介电膜14,所述平行板反应器具有85cm2到1600cm2的衬底夹盘导电面积,衬底和上电极之间的间隙为1cm到12cm。可以0.45MHz到200MHz的频率,向电极之一施加高频RF功率。可选地,可向电极之一施加另外的低频功率。本具体实施例中,用于淀积步骤的条件可根据期望的富碳的碳氮化硼介电膜14的最终介电常数而变化。大致地,用于形成介电常数等于或小于3.6的富碳的碳氮化硼介电膜14的条件包括:把衬底温度设定在200℃到425℃的温度;把高频RF功率密度设定在0.1W/cm2到1.5W/cm2的范围内;把液态含硼前体流速设定在100mg/min到3000mg/min的范围内(或者把气态含硼前体流速设定在50sccm到1000sccm的范围内),把含氮前体流速设定在20sccm到1000sccm的范围内,以及把含烃前体流速设定在50sccm到2000sccm的范围内;可选地,把诸如氦气(或/和氩气)之类的惰性载气流速设定在50sccm到2000sccm的范围内;把反应器压力设定在从1000mTorr到7000mTorr范围内的压力;以及把高频RF功率设定在75W到1000W的范围内。可选地,可在30W到400W的范围内,向等离子体增加超低频功率。当按系数X改变衬底夹盘导电面积时,施加于衬底夹盘的RF功率也按系数X被改变。可以使用其它条件和前体,只要它们能够淀积上面说明的富碳的碳氮化硼介电膜14。
在淀积富碳的碳氮化硼介电膜14之后,淀积的富碳的碳氮化硼介电膜14可视情况经受在非氧化环境中进行的固化步骤。“固化”意味着视情况(不过不一定)在加热循环的至少一个循环中,在不低于300℃的温度下,最好在300℃到420℃的温度下,热处理淀积的富碳的碳氮化硼介电膜14至少0.10小时。“非氧化环境”意味着氧含量小于20ppm的气氛。在一些实施例中,可以采用氧含量小于10ppm的非氧化环境。注意,固化可在淀积富碳的碳氮化硼介电膜14时使用的同一个反应室中进行,或者固化可在单独的反应室中进行。热处理步骤(即,固化)可包括快速热退火、炉退火、激光退火或尖峰退火。在一些实施例中,在无氧气氛,比如惰性气体(例如,He、Ar或其混合物)中进行固化。
在本公开的另外的其它实施例中,可在单个温度下进行固化步骤。在本公开的再一些实施例中,可在两个或者更多个不同温度下进行固化步骤。例如,在一个实施例中,可在不高于300℃的温度下持续第一段时间进行固化,随后在不低于400℃的温度下持续第二段时间进行固化,所述第二段时间长于第一段时间。在一些实施例中,第二段时间至少10倍长于第一段时间。
也可利用高能淀积后处理步骤来固化富碳的碳氮化硼介电膜14。可在比上面提及的热固化更短的时间内进行这种高能淀积后处理步骤。具体地,可以利用高能源来处理富碳的碳氮化硼介电膜14。可以用于后处理步骤的适当能源包括化学能源、紫外(UV)光、电子束(E-beam)、微波和等离子体。可以按小于或等于5分钟的较短固化时间单独地或者与热固化一起使用上述能源的组合。利用热和/或高能UV/E-Beam固化的后处理使在利用含烃前体的等离子体淀积期间并入膜结构中的任何游离烃上升。这种处理将在富碳的碳氮化硼介电膜14中产生少量的纳米孔隙。“小”意味着富碳的碳氮化硼介电膜14的纳米孔隙为0.5nm到3nm,其中通常的优选范围为0.5nm到1.2nm。
可通过在一般把晶片温度维持在25℃到500℃的温度(典型的温度为300℃到420℃)的同时,利用能够产生波长500nm到150nm的光的光源来照射衬底,进行UV光处理步骤。波长小于370nm的照射不具有足以离解或活化重要键的能量,从而在本公开中一般采用150到370nm的波长范围。利用文献数据和对淀积的富碳介电膜测得的吸收光谱,发明人发现,由于富碳介电膜的退化,小于170nm的照射并不可取。此外,由于310到370nm范围内,每个光子的能量较低,因此与150到310nm的范围相比,310到370nm的能量范围不太有益。在150到310nm范围内,可视情况利用与淀积膜的吸收光谱的最佳重叠和膜性质(比如疏水性)的最小退化来选择UV光谱的最有效范围,以便改变富碳介电膜的性质。
在把晶片温度保持在25℃到500℃的温度的同时,通过利用能够以0.5keV到25keV的能量和0.1mA/cm2到100mA/cm2的电流密度在晶片上产生均匀电子通量的源,来进行电子束处理步骤。在一个实施例中,在电子束处理步骤中使用的电子的剂量从50mC/cm2到500mC/cm2不等。在一个实施例中,对400到900nm厚的UV固化(450到1450秒)的富碳的碳氮化硼介电膜来说,富碳介电膜具有在30GPa到90GPa范围内的模量、150MPa到800MPa的压应力、以及在3.2到5.0范围内的介电常数。
现在参考图3,图3图解说明了在互连结构20的表面上形成的富碳的碳氮化硼介电膜14。互连结构20包括其中嵌有至少一种导电材料24的互连介电材料22。可以利用本领域技术人员公知的常规处理步骤来形成互连结构20。例如,可以采用单镶嵌或双镶嵌工艺。在这个具体实施例中,富碳的碳氮化硼介电膜14充当互连结构20的介电盖。在本公开的一些实施例中,富碳的碳氮化硼介电膜14完全覆盖互连结构20的整个上表面。在另一个实施例中,富碳的碳氮化硼介电膜14只位于所述至少一种导电材料24之上。在又一个实施例中,富碳的碳氮化硼介电膜部分覆盖所述至少一种导电材料24,并且部分或者完全覆盖互连介电材料22。
互连介电材料22包括任何层间或层内电介质,包括无机电介质或有机电介质。互连介电材料22可以是多孔的、无孔的或者包含多孔的区域和/或表面以及无孔的其它区域和/或表面。可被用作互连介电材料22的适当电介质的一些例子包括(但不限于)二氧化硅、倍半硅氧烷、包括Si、C、O和H原子的掺碳氧化物(即,有机硅酸盐)、热固性聚亚芳基醚、或者它们的多层。本申请中利用术语“聚亚芳基”表示通过键、稠环或者惰性连接基团,比如氧、硫、砜、亚砜、羰基等连接在一起的芳基部分或者惰性取代的芳基部分。所述至少一种导电材料24可包括例如多晶硅、单质金属、单质金属的合金、金属硅化物和/或金属氮化物。可以通过利用本领域中公知的常规技术,例如包括化学气相淀积、等离子体增强化学气相淀积、蒸镀和旋涂,来形成互连介电材料22。同样地,可以通过利用本领域中公知的常规淀积技术,例如包括电镀、溅射、物理气相淀积、蒸镀和化学气相淀积,来形成所述至少一种导电材料24。
图4A、4B和4C中示出了另外的可包含富碳的碳氮化硼膜的电子器件。应注意,图4A、4B和4C中所示的器件仅仅是说明性的例子。
在图4A中,示出了在半导体衬底32上构成的电子器件60。在衬底32之上,首先形成第一互连介电材料层34,在其中嵌入第一导电材料区域36。在对第一导电材料区域36进行CMP处理之后,在第一互连介电材料层34和第一导电材料区域36之上,形成第二互连介电材料层38。第一和第二互连介电材料层可适当地由上面关于互连介电材料22提及的介电材料之一形成,而第一导电材料区域36可包含上面关于导电材料24提及的金属之一。随后在继之以蚀刻的光刻处理中,第二互连介电材料层38被图案化,并在上面淀积导体层40(它可以是与第一导体层36相同或不同的材料)。在进行对导体层40的CMP处理之后,覆盖第二互连介电材料层38和导体层40而形成第三互连介电材料层44(它可以是与第一和第二互连介电层相同或不同的介电材料)。导体层40与第一导电材料区域36电连通。
在进行对第三互连介电材料层44的光刻处理,接下来的蚀刻和随后对第二导体材料的淀积处理之后,形成第二导电材料区域50。第二导电材料区域50可以是上面关于导电材料24提及的材料之一。第二导电材料区域50与第一导电材料区域40电连通,并被嵌入第三互连介电材料层44中。第三互连介电材料层44与第二互连介电材料层38密切接触,在一些实施例中,第二互连介电材料层38可由本发明的富碳的碳氮化硼膜构成。
图4A还示出淀积在第二互连介电材料层38和第三互连介电材料层44之间的介电盖层62。介电盖层62可包括本发明的富碳的碳氮化硼膜。介电盖层62起到扩散阻挡层的作用,用于防止第一导体层40扩散到第三互连材料层44中,或者扩散到下面的各层中,尤其是扩散到层34和层32中。
图4B图解说明备选的电子器件70。在电子器件70中,利用了充当RIE掩模和CMP(化学机械抛光)抛光终止层的额外两个介电盖层72和74。第一介电盖层72淀积在第二互连介电材料层38之上,并用作RIE掩模和CMP终止层,从而在CMP之后,第一导体层40和层72近似共面。第二介电盖层74的作用与层72类似,然而,层74用于使第二导体层50平面化。抛光终止层74可通过淀积适当的介电材料,比如氧化硅、氮化硅、氮氧化硅、碳化硅、氧碳化硅(SiCO)、氮氧碳化硅(SiCON)或者它们的加氢化合物来形成。另一方面,本公开的富碳的碳氮化硼介电膜可被用作抛光终止层74的材料。
图4C图解说明了另外一种备选的电子器件80。在这个实施例中,淀积额外的介电材料层82,从而把第三互连介电材料层44分成独立的两层84和86。在一个实施例中,所述额外的介电材料层82可包括本公开的富碳的碳氮化硼介电膜。在上介电层74之上还淀积额外的扩散阻挡层96(它可由本公开的富碳的碳氮化硼介电膜构成)。电子器件80提供的额外益处在于:介电层82充当提供优良的互连深度控制的RIE蚀刻终止层。从而,选择层82的成分,以提供相对于层86的蚀刻选择性。
另一个备选实施例可包括把绝缘材料层作为配线结构中的层内或层间电介质的电子器件,所述配线结构包括:预处理的半导体衬底,所述半导体衬底具有嵌入第一绝缘材料层中的第一金属区域,嵌入第二绝缘材料层中的第一导体区域,其中,第二绝缘材料层与第一绝缘材料层密切接触,并且第一导体区域与第一金属区域电连通;与第一区域的导体电连通并且嵌入第三层绝缘材料中的第二导体区域,其中,第三层绝缘材料与第二层绝缘材料密切接触;第二绝缘材料层和第三绝缘材料层之间的第一介电盖层;以及第三绝缘材料层之上的第二介电盖层,其中,第一和第二介电盖层由富碳的碳氮化硼介电膜形成。
再一个备选实施例可包括把绝缘材料层作为配线结构中的层内或层间电介质的电子器件,所述配线结构包括:预处理的半导体衬底,所述半导体衬底具有嵌入第一绝缘材料层中的第一金属区域,嵌入第二绝缘材料层中的第一导体区域,第二绝缘材料层与第一绝缘材料层密切接触,第一导体区域与第一金属区域电连通;与第一导体区域电连通并且嵌入第三绝缘材料层中的第二导体区域,第三绝缘材料层与第二绝缘材料层密切接触;以及由淀积在第二绝缘材料层和第三绝缘材料层中的至少一个上的富碳的碳氮化硼介电膜形成的扩散阻挡层。
又一个备选实施例可包括把绝缘材料层作为配线结构中的层内或层间电介质的电子器件,所述配线结构包括:预处理的半导体衬底,所述半导体衬底具有嵌入第一绝缘材料层中的第一金属区域,嵌入第二绝缘材料中的第一导体区域,第二绝缘材料层与第一绝缘材料层密切接触,第一导体区域与第一金属区域电连通;与第一导体区域电连通并且嵌入第三绝缘材料层中的第二导体区域,第三绝缘材料层与第二绝缘材料层密切接触;在第二绝缘材料层之上的反应离子蚀刻(RIE)硬掩模/抛光终止层;以及在RIE硬掩模/抛光终止层之上的扩散阻挡层,其中,RIE硬掩模/抛光终止层和扩散阻挡层由本公开的富碳的碳氮化硼介电膜形成。
又一个备选实施例可包括把绝缘材料层作为配线结构中的层内或层间电介质的电子器件,所述配线结构包括:预处理的半导体衬底,所述半导体衬底具有嵌入第一绝缘材料层中的第一金属区域,嵌入第二绝缘材料层中的第一导体区域,第二绝缘材料层与第一绝缘材料层密切接触,第一导体区域与第一金属区域电连通;与第一导体区域电连通并且嵌入第三绝缘材料层中的第二导体区域,第三绝缘材料层与第二绝缘材料层密切接触;在第二绝缘材料层之上的第一RIE硬掩模/抛光终止层;在第一RIE硬掩模/抛光终止层之上的第一扩散阻挡层;在第三绝缘材料层之上的第二RIE硬掩模/抛光终止层;以及在第二RIE硬掩模/抛光终止层之上的第二扩散阻挡层,其中,RIE硬掩模/抛光终止层和扩散阻挡层由本公开的富碳的碳氮化硼介电膜形成。
现在参考图5,图5图解说明了包括富碳的碳氮化硼介电间隔物114的电子器件100。在本实施例中,可利用任何常规的FET工艺流程(包括置换栅极工艺)来制备电子器件100。在一些实施例中,可使用的FET工艺包括各个材料层的淀积、光刻和蚀刻。
关于间隔物应用的平面器件几何形状的以下讨论只是用于举例说明的目的,因而,本公开并不局限于平面器件体系结构。相反,富碳的碳氮化硼介电间隔物也可以用在诸如finFET、三栅、两栅和Si纳米线器件中。
电子器件100包括半导体衬底102,半导体衬底102包括上述半导体材料之一。位于栅极叠层106之下的半导体衬底102的一部分定义器件的沟道区104。栅极叠层106包括至少一种栅极介电材料108和位于其上的栅极导体110。在栅极叠层108的覆盖区并且位于半导体衬底102中,存在源极区112和漏极区113。源极区112和漏极区113经由沟道区104连接。
最好,一种栅极介电材料108可包括氧化物、氮化物、氮氧化物或者它们的多层叠层。在一个实施例中,所述至少一种栅极介电材料108包括半导体氧化物、半导体氮化物或者半导体氮氧化物。在另一个实施例中,所述至少一种栅极介电材料108包括具有比氧化硅的介电常数,例如3.9大的介电常数的介电金属氧化物或混合金属氧化物。一般,所述至少一种栅极介电材料108的电介质之一具有大于4.0的介电常数,更典型地,大于8.0的介电常数。这里把这种介电材料称为高k电介质。例证的高k电介质包括(但不限于)HfO2、ZrO2、La2O3、A12O3、TiO2、SrTiO3、LaA1O3、Y2O3、HfOxNy、ZrOxNy、La2OxNy、Al2OxNy、TiOxNy、SrTiOxNy、LaAlOxNy、Y2OxNy、SiON、SiNx、其硅酸盐及其合金。这些高k材料的多层叠层也可被用作所述至少一种栅极介电材料108。每个x值独立地选自0.5到3,每个y值独立地选自0到2。所述至少一种栅极介电材料108的厚度一般从1nm到10nm,典型地,所述厚度范围从2nm到5nm。
栅极导体110包括导电材料,比如(但不限于)多晶硅、多晶硅锗、单质金属(例如,钨、钛、钽、铝、镍、钌、钯和铂)、至少一种单质金属的合金、单质金属氮化物(例如,氮化钨、氮化铝和氮化钛)、单质金属硅化物(例如,硅化钨、硅化镍和硅化钛)以及它们的多层组合。在一个实施例中,可被用作栅极导体110的导电材料可由nFET金属栅极构成。在另一个实施例中,可被用作栅极导体110的导电材料可由pFET金属栅极构成。在又一个实施例中,可被用作栅极导体110的导电材料可由多晶硅构成。可以单独地或者与另一种导电材料(比如金属导电材料和/或金属硅化物材料)结合使用多晶硅导电材料。栅极导体110一般具有1nm到100nm的厚度,更典型的是厚度为3nm到30nm。
如图5中所示,富碳的碳氮化硼间隔物114位于栅极叠层106的侧壁。在本实施例中,通过首先在该结构上淀积如上所述的富碳的碳氮化硼膜,随后蚀刻该膜以便在栅极叠层106的侧壁上形成间隔物114,来形成富碳的碳氮化硼间隔物114。本公开的富碳的碳氮化硼间隔物114在栅极叠层106的侧壁上的存在减小了FET器件中的寄生电容。这里说明的关于富碳的碳氮化硼介电膜的多层循环PECVD工艺能够以低的微负载实现优异的逐步覆盖,并且富碳的碳氮化硼介电膜的疏水性产生了在间隔物应用中非常期望的良好抗湿蚀刻性。
现在参考图6A和6B,图6A和6B图解说明了包括位于碳基材料衬底层之上的富碳的碳氮化硼介电膜的例证电子器件。首先参考图6A,图中图解说明了可利用任何常规的FET工艺流程(包括置换栅极工艺)制备的一种电子器件150。在一些实施例中,可以使用的FET工艺包括各个材料层的淀积、光刻和蚀刻。
特别地,图6A图解说明了包括作为衬底152的碳基材料的电子器件150,其中,一部分碳基材料限定器件沟道154。至少一个界面介电材料层156位于器件沟道154的上表面上。界面介电材料156包括本公开的富碳的碳氮化硼介电膜。至少一个介电材料层158位于所述至少一个界面介电材料层156的最上面的表面上,以及至少一个导电材料层160位于所述至少一个介电材料层158的最上面的表面上。注意,在本公开的本具体实施例中提及的介电材料158和导电材料160由上面关于图5中的至少一种栅极介电材料108和栅极导体110提及的介电材料和导电材料之一构成。图6A中所示的电子器件150还包括与碳基材料152的与器件沟道154相邻的部分电接触的至少两个区域162、162'。所述两个区域162、162'是电子器件150的源极/漏极区,并且它们包括上面关于栅极导体110提及的导电材料之一。在一个实施例中,所述至少两个区域162、162'由石墨烯或碳基材料构成。所述至少两个区域162、162'是利用淀积、光刻和蚀刻形成的。在一个实施例中(未示出),所述至少两个区域162、162'直接接触碳基材料152。这种器件是通过利用蚀刻除去所述至少一个界面介电材料层156的多个部分来实现的。在另一个实施例中,所述至少两个区域162、162'直接接触所述至少一个界面介电材料层156的一部分。在电子结构150中,界面介电材料156具有与下面的碳基材料152结合的高亲合力。
现在参见图6B,图中图解说明了包括作为衬底的碳基材料152的第二种电子器件150',其中,碳基材料的一部分限定器件沟道154。在这种电子结构中,存在背栅层151,并且该背栅层可被图案化,或者可以作为覆盖层存在于整个器件阵列中。背栅层包含导电材料,包括掺杂的含Si材料、导电金属、导电金属合金、导电金属氮化物和/或导电金属硅化物。至少一个界面介电材料层156(它由本公开的富碳的碳氮化硼介电膜构成)位于器件沟道154的上表面上。至少一个介电材料层158位于所述至少一个界面介电材料层156的最上面的表面上,以及至少一个导电材料层160位于所述至少一个介电材料层158的最上面的表面上。注意,在本公开的本具体实施例内提及的介电材料158和导电材料160由上面关于图5中的至少一种栅极介电材料108和栅极导体110提及的介电材料和导电材料之一构成。图6B中所示的电子器件150'还包括与碳基材料152的与器件沟道154相邻的部分电接触的至少两个区域162、162'。所述两个区域162、162'是电子器件150'的源极/漏极区,它们包括上面关于栅极导体110提及的导电材料之一。在一个实施例中,所述至少两个区域162、162'由石墨烯或碳基材料构成。所述至少两个区域162、162'是借助淀积、光刻和蚀刻形成的。在一个实施例中(未示出),所述至少两个区域162、162'与碳基材料152直接接触。这种器件是通过利用蚀刻除去所述至少一个界面介电材料层156的多个部分来实现的。在另一个实施例中,所述至少两个区域162、162'直接接触所述至少一个界面介电材料层156的一部分。在电子结构150'中,界面介电材料156具有用于与下面的碳基材料152结合的高亲合力。
尽管关于本公开的优先实施例,特别表示和说明了本公开,不过,本领域的技术人员会理解,可以作出形式和细节方面的上述和其它变化,而不脱离本公开的精神和范围。于是,本公开并不局限于说明和图示的严格形式和细节,而是在附加权利要求的范围内。
工业应用性
本发明可在采用并入集成电路中的富碳的碳氮化硼电介质的电子器件的设计和制备方面获得工业应用,所述集成电路可用在各种电子和电气设备中。

Claims (20)

1.一种具有式子CxByNz的介电膜,其中,x为35原子百分比或更大,y为6原子百分比到32原子百分比,以及z为8原子百分比到33原子百分比。
2.按照权利要求1所述的介电膜,进一步包括等于或小于3.6的介电常数。
3.按照权利要求2所述的介电膜,其中,所述介电常数的范围为2.5到3.2。
4.按照权利要求1所述的介电膜,其中,x为35原子百分比到70原子百分比,y为15原子百分比到32原子百分比,以及z为15原子百分比到33原子百分比。
5.按照权利要求1所述的介电膜,其中,所述氮均匀地分布在整个介电膜中。
6.按照权利要求1所述的介电膜,其中,在介电膜的深度内,在选择的区域中提供所述氮,从而提供分级膜。
7.一种电子器件(60),包括:
衬底(32);和
位于所述衬底的表面上的介电膜(14),其中,所述介电膜具有式子CxByNz,其中,x为35原子百分比或更大,y为6原子百分比到32原子百分比,以及z为8原子百分比到33原子百分比。
8.按照权利要求7所述的电子器件,其中,所述衬底是具有位于其中的至少一种导电材料(24)的互连介电材料(22),以及其中,所述介电膜(14)位于所述至少一种导电材料(20)的至少一个暴露表面上。
9.按照权利要求7所述的电子器件,其中,所述衬底(32)是图案化的栅极叠层(106),以及所述介电膜位于所述图案化的栅极叠层的侧壁(114)上。
10.按照权利要求7所述的电子器件,其中,所述衬底(32)是碳基材料。
11.按照权利要求10所述的电子器件,其中,所述碳基材料选自包含石墨烯、石墨和碳纳米管的组。
12.按照权利要求10所述的电子器件,进一步包括位于所述介电膜之上的至少一个介电材料层和至少一个导电材料层。
13.按照权利要求7所述的电子器件,其中,所述介电膜具有等于或小于3.6的介电常数。
14.按照权利要求7所述的电子器件,其中,x为35原子百分比到70原子百分比,y为15原子百分比到32原子百分比,以及z为15原子百分比到33原子百分比。
15.按照权利要求7所述的半导体结构,其中,在所述介电膜的深度内,在选择的区域中提供所述氮,从而提供分级膜。
16.一种形成介电膜的方法,包括:
把衬底(32)置于反应室中;
向反应室供给至少包括C、B和N原子的至少一种前体;和
在所述衬底(32)的表面上淀积介电膜(14),其中,所述介电膜具有式子CxByNz,其中,x为35原子百分比或更大,y为6原子百分比到32原子百分比,以及z为8原子百分比到33原子百分比。
17.按照权利要求16所述的方法,其中,所述至少一种前体至少包括含硼的气态前体、含氮的气态前体和含烃的气态前体。
18.按照权利要求16所述的方法,其中,所述至少一种前体包括组合的含硼和氮的液态前体和含烃的气态前体。
19.按照权利要求16所述的方法,其中,所述淀积包括等离子体增强的化学气相淀积、化学气相淀积、原子层淀积或旋涂工艺。
20.按照权利要求16所述的方法,其中进一步包括处理富碳的碳氮化硼介电膜,其中,所述处理选自包含热处理、化学处理、UV处理、电子束处理、微波处理、等离子体处理和它们的任意组合的组。
CN201280043509.3A 2011-09-09 2012-07-05 在电子器件中使用的富碳的碳氮化硼介电膜 Pending CN103782349A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/228,857 US8476743B2 (en) 2011-09-09 2011-09-09 C-rich carbon boron nitride dielectric films for use in electronic devices
US13/228,857 2011-09-09
PCT/US2012/045517 WO2013036322A1 (en) 2011-09-09 2012-07-05 C-rich carbon boron nitride dielectric films for use in electronic devices

Publications (1)

Publication Number Publication Date
CN103782349A true CN103782349A (zh) 2014-05-07

Family

ID=47829107

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280043509.3A Pending CN103782349A (zh) 2011-09-09 2012-07-05 在电子器件中使用的富碳的碳氮化硼介电膜

Country Status (5)

Country Link
US (2) US8476743B2 (zh)
JP (1) JP2014532297A (zh)
CN (1) CN103782349A (zh)
DE (1) DE112012003749B4 (zh)
WO (1) WO2013036322A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021047643A1 (zh) * 2019-09-11 2021-03-18 江苏菲沃泰纳米科技有限公司 电子设备外盖增强纳米膜及其制备方法和应用

Families Citing this family (278)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9443728B2 (en) * 2013-08-16 2016-09-13 Applied Materials, Inc. Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing
US9543140B2 (en) * 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
JP6254848B2 (ja) * 2014-01-10 2017-12-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9293557B2 (en) 2014-02-20 2016-03-22 International Business Machines Corporation Low temperature spacer for advanced semiconductor devices
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6320129B2 (ja) * 2014-04-02 2018-05-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9607825B2 (en) 2014-04-08 2017-03-28 International Business Machines Corporation Hydrogen-free silicon-based deposited dielectric films for nano device fabrication
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9362407B1 (en) 2015-03-27 2016-06-07 International Business Machines Corporation Symmetrical extension junction formation with low-K spacer and dual epitaxial process in FinFET device
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20200318237A1 (en) * 2019-04-05 2020-10-08 Asm Ip Holding B.V. Methods for forming a boron nitride film by a plasma enhanced atomic layer deposition process
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11164776B2 (en) * 2019-09-30 2021-11-02 International Business Machines Corporation Metallic interconnect structure
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP2021134412A (ja) * 2020-02-28 2021-09-13 国立研究開発法人産業技術総合研究所 Bcn被膜又はbcno被膜を有する被覆材並びにそれらの製造方法
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11482449B2 (en) 2020-08-03 2022-10-25 General Electric Company Electrical component with a dielectric passivation stack
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11830729B2 (en) * 2021-01-08 2023-11-28 Applied Materials, Inc. Low-k boron carbonitride films
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0504959A2 (en) * 1991-03-18 1992-09-23 General Motors Corporation Carbon-alloyed cubic boron nitride films
US6690091B1 (en) * 1999-11-22 2004-02-10 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a boron carbon nitride passivation layer, etch stop layer, and/or cap layer
CN1513201A (zh) * 2001-03-28 2004-07-14 ��ʽ����ɱ����� 沉积方法、沉积设备、绝缘膜及半导体集成电路
CN101700889A (zh) * 2009-11-19 2010-05-05 中国科学院宁波材料技术与工程研究所 B-c-n三元化合物及其制备方法
CN101919049A (zh) * 2007-01-24 2010-12-15 国际商业机器公司 具有带有实质上阻挡固化处理期间紫外线辐射的光学带隙的材料的电介质盖帽以及相关的方法
US20110012238A1 (en) * 2009-07-14 2011-01-20 International Business Machines Corporation Engineered interconnect dielectric caps having compressive stress and interconnect structures containing same
CN102017081A (zh) * 2008-04-04 2011-04-13 应用材料股份有限公司 氮化硼与硼-氮化物衍生材料的沉积方法
US20110186818A1 (en) * 2010-02-02 2011-08-04 Searete Llc, A Limited Liability Corporation Of The State Of Delaware Doped graphene electronic materials

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4885199A (en) 1986-08-06 1989-12-05 Norton Company Fiber-reinforced silicon nitride composite ceramics
FR2649974B1 (fr) 1989-07-21 1991-09-27 Aerospatiale Materiau carbone protege contre l'oxydation par du carbonitrure de bore
FR2669622B1 (fr) * 1990-11-28 1993-11-19 Aerospatiale Ste Nat Indle Materiau composite a renfort fibreux refractaire et son procede de fabrication.
DE4113791A1 (de) * 1991-04-26 1992-10-29 Solvay Deutschland Verfahren zur abscheidung einer bor und stickstoff enthaltenden schicht
US5605868A (en) 1994-12-29 1997-02-25 Corning Incorporated Oxidative stable ceramic composites
JP3518745B2 (ja) 2000-06-26 2004-04-12 日立金属株式会社 複合蒸着材およびその製造方法
JP4764559B2 (ja) * 2001-03-28 2011-09-07 隆 杉野 成膜方法及び成膜装置
US20050124176A1 (en) * 2001-07-17 2005-06-09 Takashi Sugino Semiconductor device and method for fabricating the same and semiconductor device application system
JP2004119017A (ja) * 2002-09-20 2004-04-15 Watanabe Shoko:Kk 電極、固体素子およびそれを用いた装置
US7427428B1 (en) 2003-06-24 2008-09-23 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Interphase for ceramic matrix composites reinforced by non-oxide ceramic fibers
US6895776B2 (en) * 2003-07-10 2005-05-24 Applied Materials, Inc. Anti-stratification-solution delivery system for spin-on dielectrics
JP4946049B2 (ja) * 2003-10-07 2012-06-06 コニカミノルタホールディングス株式会社 アモルファス窒化硼素薄膜の製造方法
DE102004028112B4 (de) * 2004-06-09 2019-12-12 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Werkzeugsubstrat mit borhaltigem Schichtsystem, bestehend aus einer Borcarbid-, einer B-C-N und einer kohlenstoffmodifizierten kubischen Bornitridschicht sowie Verfahren zur Herstellung eines solchen Schichtsystems und Verwendung
US7132353B1 (en) * 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP5330747B2 (ja) * 2008-06-30 2013-10-30 三菱重工業株式会社 半導体装置用絶縁膜、半導体装置用絶縁膜の製造方法及び製造装置、半導体装置及びその製造方法
US8617986B2 (en) * 2009-11-09 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the integrated circuits
JP5213897B2 (ja) * 2010-03-18 2013-06-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0504959A2 (en) * 1991-03-18 1992-09-23 General Motors Corporation Carbon-alloyed cubic boron nitride films
US6690091B1 (en) * 1999-11-22 2004-02-10 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a boron carbon nitride passivation layer, etch stop layer, and/or cap layer
CN1513201A (zh) * 2001-03-28 2004-07-14 ��ʽ����ɱ����� 沉积方法、沉积设备、绝缘膜及半导体集成电路
CN101919049A (zh) * 2007-01-24 2010-12-15 国际商业机器公司 具有带有实质上阻挡固化处理期间紫外线辐射的光学带隙的材料的电介质盖帽以及相关的方法
CN102017081A (zh) * 2008-04-04 2011-04-13 应用材料股份有限公司 氮化硼与硼-氮化物衍生材料的沉积方法
US20110012238A1 (en) * 2009-07-14 2011-01-20 International Business Machines Corporation Engineered interconnect dielectric caps having compressive stress and interconnect structures containing same
CN101700889A (zh) * 2009-11-19 2010-05-05 中国科学院宁波材料技术与工程研究所 B-c-n三元化合物及其制备方法
US20110186818A1 (en) * 2010-02-02 2011-08-04 Searete Llc, A Limited Liability Corporation Of The State Of Delaware Doped graphene electronic materials

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021047643A1 (zh) * 2019-09-11 2021-03-18 江苏菲沃泰纳米科技有限公司 电子设备外盖增强纳米膜及其制备方法和应用

Also Published As

Publication number Publication date
JP2014532297A (ja) 2014-12-04
WO2013036322A1 (en) 2013-03-14
DE112012003749B4 (de) 2019-08-29
US20130062753A1 (en) 2013-03-14
US8476743B2 (en) 2013-07-02
US8652950B2 (en) 2014-02-18
DE112012003749T5 (de) 2014-09-18
US20130171839A1 (en) 2013-07-04

Similar Documents

Publication Publication Date Title
CN103782349A (zh) 在电子器件中使用的富碳的碳氮化硼介电膜
US20240079266A1 (en) Air gap spacer formation for nano-scale semiconductor devices
US8658488B2 (en) Method for forming semiconductor chip with graphene based devices in an interconnect structure of the chip
US6548313B1 (en) Amorphous carbon insulation and carbon nanotube wires
KR100809330B1 (ko) 게이트 스페이서로 인한 응력이 배제된 반도체 소자 및 그제조 방법
CN1790740B (zh) 半导体器件及用于形成栅极结构的方法
US9576907B2 (en) Wiring structure and method of manufacturing the same
TW201537678A (zh) 互連結構及其製造方法
US11624127B2 (en) Boron nitride layer, apparatus including the same, and method of fabricating the boron nitride layer
CN102598277A (zh) 半导体装置及其制造方法
TW200939394A (en) Method for forming an air gap in multilevel interconnect structure
TW201234607A (en) Semiconductor device
CN103000669A (zh) 类金刚石衬底上源漏掩埋型石墨烯晶体管器件和制作方法
US10978342B2 (en) Interconnect with self-forming wrap-all-around barrier layer
KR20210052172A (ko) 배선 구조체 및 이를 포함한 전자 장치
US20220415801A1 (en) Interconnect structure and electronic apparatus including the same
CN102376624A (zh) 一种石墨烯器件及其制造方法
US11158807B2 (en) Field effect transistor and method of manufacturing the same
US20240105779A1 (en) Integrated circuit structure and method for forming the same
US20240014071A1 (en) Cmos-compatible graphene structures, interconnects and fabrication methods
TW201351586A (zh) 元件與裝置與其形成方法
CN104934300B (zh) 半导体装置及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20140507

RJ01 Rejection of invention patent application after publication