CN102560433A - 旋转基板支撑件及其使用方法 - Google Patents

旋转基板支撑件及其使用方法 Download PDF

Info

Publication number
CN102560433A
CN102560433A CN201210041686XA CN201210041686A CN102560433A CN 102560433 A CN102560433 A CN 102560433A CN 201210041686X A CN201210041686X A CN 201210041686XA CN 201210041686 A CN201210041686 A CN 201210041686A CN 102560433 A CN102560433 A CN 102560433A
Authority
CN
China
Prior art keywords
substrate support
motor
substrate
equipment
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201210041686XA
Other languages
English (en)
Other versions
CN102560433B (zh
Inventor
J·斯密斯
A·特安
R·S·伊叶尔
S·佐伊特
B·特兰
N·梅里
A·布莱烙夫
小罗伯特·谢杜
R·安德芮斯
F·罗伯茨
T·斯密克
G·拉玎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102560433A publication Critical patent/CN102560433A/zh
Application granted granted Critical
Publication of CN102560433B publication Critical patent/CN102560433B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明揭示一种利用旋转基板支撑件处理一基板的方法及设备。于一实施例中,用于处理基板的设备包括一处理室,其中设有一基板支撑组件。该基板支撑组件包括一基板支撑件,该基板支撑件具有一支撑表面及一加热器,该加热器设于支撑表面下方。一轴部耦接至该基板支撑件,且马达经由一转子(rotor)耦接至该轴部,以使基板支撑件转动。密封块则设于该转子周围并与的形成密封。密封块具有至少一密封件及至少一通道,沿该密封块与该轴部间的接口处设置。各通道耦接有端口以连接至泵。升举机构耦接至该轴部以提升与下降基板支撑件。

Description

旋转基板支撑件及其使用方法
本申请是申请号为“200680020502.4”、申请日为2006年5月31日、题为“旋转基板支撑件及其使用方法”的专利申请的分案申请。
技术领域
本发明大致是关于半导体基板的处理,且更具体地讲,是关于半体基板上的材料沉积。更明确而言,本发明是关于用于单基板沉积处理室的旋转基板支撑件。
背景技术
集成电路包括利用不同技术(包括化学气相沉积)沉积多层材料。这样的话,半导体基板上经由化学气相沉积(或CVD)的材料沉积是制造集成电路处理的关键步骤。一般化学气相沉积处理室具有一加热的基板支撑件,以于处理期间加热基板;一气体端口,用于将处理气体引入处理室;以及一抽吸端口,用于维持处理室内的处理压力,并移除过量气体或处理副产物。由于气体引入处理室的流动模式朝向抽吸端口,故难以在基板上维持均匀的沉积轮廓。此外,内处理室部件的发散性差异也会导致处理室内基板上热分布轮廓的不一致。基板表面上如此热分布轮廓的不均匀会进一步导致基板上沉积材料的不均匀。此进而会造成平坦化处理或在进一步处理前修复基板的高成本、或集成电路可能的损坏。
因此,业界对于化学气相沉积处理室中于基板上均匀沉积材料的改良设备仍有需求。
发明内容
本发明提供一种利用旋转基板支撑件处理一基板的方法及设备。于一实施例中,用于处理基板的设备包括一处理室,处理室中设有一基板支撑组件。该基板支撑组件包括一基板支撑件,基板支撑件具有一支撑表面及一加热器,该加热器设于支撑表面下方。一轴部耦接至该基板支撑件,且马达经由一转子(rotor)耦接至该轴部,以使基板支撑件转动。密封块则设于该转子周围并与该转子形成密封。密封块具有至少一密封件及至少一通道,沿该密封块与该轴部之间的接口处设置。各通道耦接有端口以连接至泵。升举机构耦接至该轴部以提升与下降基板支撑件。
于本发明另一实施方面中,是提供各种利用旋转基板支撑件来处理基板的方法。于一实施例中,处理室中利用旋转基板支撑件处理基板的方法包括下列步骤:将欲处理的基板置放于基板支撑件上,并于一处理循环中以360度的整数倍数旋转该基板。于另一实施例中,决定欲形成于基板上的材料层的沉积率,并回应所决定的沉积率来控制基板旋转速率以控制材料层的最后沉积轮廓。于另一实施例中,是响应特定变量或多个变量来控制基板转速。该等变量可至少包括温度、压力、经计算的沉积率或经测量的沉积率。于另一实施例中,可以第一方向(orientation)处理基板达第一时段,并接着指向第二方向并被处理达第二时段。
附图说明
前述方式可详细了解本发明特征,本发明进一步的说明可参照实施方式及附加图示,其中的一些实施方式在附图中得到示出。然应注意的是,附加图示仅用于说明本发明的一般实施例,故不应视为本发明的范围的限制,且本发明亦涵盖其它任何等效实施例。
图1为例示性化学气相沉积处理室的简要截面图,该处理室具有本发明的旋转基板支撑件;
图2为图1所示旋转基板支撑件的概要截面图;
图3为基板轴部及旋转基板支撑件的转子间接口的实施例的细部图示;
图4-5为绘示旋转及不旋转基板的薄膜厚度不均匀性;以及
图6A-6B是分别在非旋转及旋转基板上形成的薄膜的薄膜厚度变化标绘图。
具体实施方式
适用于此处所述旋转基板支撑件的示范性处理室为低压热化学气相沉积反应器,例如,加州圣塔克拉拉美商应用材料公司所上市的SiNgen处理室。应可理解的是,其它处理室也可受惠于此处所述的旋转基板支撑件。
图1绘示合适反应器100的实施例。该反应器100包括一基座104、反应器壁102以及盖件106(合称为处理室本体105)以界定出反应处理室、或处理体积108,处理体积108中处理气体、先驱物气体或反应气体可热分解形成基板上的材料层(未示出)。
盖件中形成至少一端口134,该至少一端口134耦接至气体面板128以供应一或多种气体至该处理体积108。一般而言,气体分配板或喷洒头120是设于盖件106下方,以更均匀分配经由端口134进入的处理气体于整个处理体积108中。于一例示性实施例中,当准备好沉积或处理时,气体面板128所提供的处理气体或先驱物气体会引入处理体积108。处理气体会自端口134经由喷洒头120中的数个孔洞(未示出)作分配。该喷洒头120可将处理气体均匀地分配至处理体积108中。
在处理室本体105中形成了抽气端口126,且抽气端口126耦接至抽气设备(未示出)以按所需选择性维持处理室本体105内的处理压力,抽气设备例如阀门、泵及类似者。也可利用其它部件(例如压力调节器(未示出)、传感器(未示出)及类似者)以监控处理体积108内的处理压力。处理室本体105是以可使处理室承受约10至350托耳间的压力的材料建构而成。于一例示性实施例中,处理室本体105是以铝合金材料建构而成。
处理室本体105可包括数个通道(未示出),以经由该等通道抽吸温度控制流体来冷却处理室本体105。配备有前述温度控制流体通道时,反应器100可称为「冷却壁(cold-wall)」或「温热壁(warm-wall)」反应器。冷却处理室本体105可避免形成处理室本体105的材料因反应物质及高温环境而腐蚀。处理室本体105内部也可衬有温度控制衬垫或绝缘衬垫(未示出),以避免处理室本体105的内表面上有不乐见的凝结粒子。
反应器100更包括一旋转升举组件150,以支撑反应器100的处理体积108内的基板。该升举组件150包括基板支撑件110、轴部112以及基板支撑移动组件124。基板支撑件110一般可屏蔽升举销114,且更可包括数个加热组件、电极、热电耦、背侧气体沟槽以及类似者(为简明起见未全部示出)。
于图1所示实施例中,基板支撑件110包括一加热器136,设于基板接收器116下方。该基板接收器116通常约为基板厚度。基板接收器116可具有数个特征,例如「凸块(bumps)」或「凸起件(stand-offs)」(未示出),以将基板稍微支撑在基板接收器116表面上方。
于处理期间,加热器136也可用以控制基板支撑件110上的基板的温度,以利薄膜形成于该基板上。加热器136通常包括一或多个电阻线圈(未示出),内嵌于导电本体中。电阻线圈可独立控制以形成加热区。并可设温度指示器(未示出)以监控处理室本体105内的处理温度。于一实施例中,温度指示器可为热电耦(未示出),该热电耦可经设置以提供基板支撑件110表面处(或基板支撑件110所支撑的基板表面处)的温度有关的数据。
基板支撑移动组件124可垂直上下移动基板支撑件110并做旋转,如箭头131,132所示。旋转升举组件150的垂直移动有利于传送基板进出处理室本体150,并将基板定位于处理体积108内。
例如,通常藉由例如机械传送机构(未示出),经由处理室本体105的壁102中形成的端口122,将基板置放于基板支撑件110上。基板支撑移动组件124可降下基板支撑件110,以使基板支撑件110的支撑表面低于端口122。传送机构可经由端口122送入基板以将该基板定位于基板支撑件110之上。基板支撑件110中的升举销114会接着藉升起接触升举板118(可移除地耦接至反应器100的基座104)的方式升起。升举销114可将基板举离传送机构,并接着移开。接触升举板118及升举销114接着下降,以将基板置放于基板支撑件110上。
一旦装载好基板且传送机构缩回时,端口122会封闭,且基板支撑移动组件124会将基板支撑件110提升至处理位置。于一例示性实施例中,会在晶片基板与喷洒头120呈短距离(例如400-900密尔)的时候停止提升。基板可藉实质上反转前述步骤的方式由处理室移出。
处理期间旋转升举组件150的旋转移动可使基板上任何不均匀的温度分配更为缓和且均匀,且具有其它多种处理优点,下文将予详述。
图2是绘示旋转升举组件150的一实施例的简化截面图。于一实施例中,旋转升举组件150包括一框架204,该框架204可移除地耦接至该反应器100基座104下的支撑件202。该框架204可藉由适当装置移动地耦接至支撑件202,例如线性轴承及类似者。该框架可经由轴部112支撑基板支撑件110,而该支撑件是经由反应器100的基座104中的开口延伸。
升举机构206耦接至框架204,并于支撑件202内移动框架204,藉以于反应器100内将基板支撑件110上下移动一范围。该升举机构206可为步进马达或其它适合机构,以提供基板支撑件110所欲移动范围。
框架204更包括一可支撑马达208的外罩230,马达208与轴部112及基板支撑件110同轴对齐。马达208可藉一转子210提供基板支撑件110旋转移动,该转子耦接至马达208的轴部209。轴部209可为中空,以容纳欲同轴通过马达208的冷却水、电源、热电耦信号以及类似者。驱动器232可耦接至马达208并对马达208进行控制。
马达208通常是操作在每分钟约0至约60转(rpm),且具有变化约百分之一的稳定转速。于一实施例中,马达208是以约1至约15rpm的范围旋转。马达208具有精确的旋转控制且可标定在约1度内。前述旋转控制应考虑特征的排列,例如,基板的平坦部或基板上形成的凹口,以于处理期间调整基板方向。此外,前述旋转控制应考虑基板上任一点相对于反应器100内固定坐标的位置。
基板支撑件110是经由轴部112及转子210由马达208支撑,以让马达208的轴承可支撑并对准基板支撑件110。当基板支撑件110安装至马达208并由马达208所支撑时,可因此减少组件数目,并减少或排除数个轴承组间的对齐与耦接问题。或者,马达208可利用传送带、滑轮及类似物偏移基板支撑件110,以转动基板支撑件110。
亦可选择的是,可设传感器(未示出,如光学传感器)以在升举销114啮合升举板118时(如图1所示)避免基板支撑件110转动。例如,光学传感器可设于旋转升举组件150外侧上,并在预定高度(例如,上升的处理位置或下降的传送位置)时设定进行检视。
转子210通常包括处理相容的抗蚀材料,以降低摩擦及磨损以利旋转,抗蚀材料例如坚硬的不锈钢、经电镀的铝、陶瓷及类似者。转子210可进一步作研磨。于一实施例中,转子210至少包含17-4PH钢,该17-4PH钢已经加工、磨光、硬化及研磨。轴部112及转子210之间的表面处的安置表面通常会作磨光(ground),以确保基板支撑件110相对于马达208及转子210的中心轴的适当对齐。
基板支撑件110的校准可藉由精确加工达成。或者或结合观之,调整机构(例如顶起螺栓)也可用以协助基板支撑件110校准。前述校准可确保马达208及基板支撑件110的中心轴呈平行,藉以降低基板支撑件110的旋转晃动。于一实施例中,基板支撑件110表面偏转约0.002至约0.003英寸。于一实施例中,基板支撑件110在直径200mm的支撑件表面上的高度变化小于约0.005英寸。利用设有良好轴承的高质量马达208可进一步协助降低基板支撑件的晃动。
基板支撑件110的轴部112可藉任何适当装置(例如销、螺栓、螺旋、焊接、硬焊及类似者)耦接至马达210。于一实施例中,轴部112可移除地耦接至转子210,以在需要时快速且轻易地移除或替换基板支撑件110。于一实施例中,于图3所示,数个销304(为简明图3仅示出一者)是自轴部112的基座302延伸出。孔径310形成在转子210的本体308中,位置对应于各销304,以使轴部以销304延伸至孔径310中的方式下降(如箭头318所示)至转子210上。
旋转轴312部分地延伸至孔径310中。轴部312中所形成的凹口316位置可让凹口316与孔径310的内壁对齐。在对齐时,销304可延伸至不受轴部312阻碍的孔径310。在完全插入时,销304中形成的凹口316会对齐轴部312。轴部312可接着转动,如箭头320所示,以使轴部312本体移至销304的凹口306中。一旦轴部312转动,轴部312本体会将轴部312锁定位。轴部312相对于销304的凹口306呈偏心,以利在轴部312转动时啮合所述销304。或者或结合来看,轴部312可具有形成于轴部312上的一凸轮(未示出),以在轴部312旋转时啮合所述销304。为利转动轴部312,轴部312外端可设特征,例如在轴部312上形成六角头314。六角头314是经定位以利于使用工具转动轴部312。
再参照图2,为维持反应器100内处理体积108以及反应器100外大气之间的压力差,密封块212可环绕转子210并与转子210一起形成密封。此外,基座104及密封块212之间可耦接伸缩部(bellows)216。安装板214可选择性的设于密封块212之上,以利将轴部112的基座对齐转子210。于图2所示实施例中,伸缩部216是耦接至密封块212的顶部上所设的安装板214。
密封块212可包括至少一密封件228,例如唇密封件,密封件228设于密封块212及转子210之间的接口处。密封件228通常可抗磨损,且可由聚乙烯或其它处理兼容材料形成。于一实施例中,该等密封件是由聚四氟乙烯(PTFE)所形成。于图2所示实施例中,此等密封件228是设于密封块212及转子210之间。为利于使密封块212与转子210共轴,密封块212可于设置期间浮动,且藉密封件228的压力使密封块212位于中心。密封块212可接着按设置程序的完成情况作螺合、夹钳或固定。
一或多个沟槽或通道226可进一步沿密封块212及转子210之间的接口设置。通道226可形成于该密封块212及转子210的一者或两个中,且经由管线225连接至泵224。泵224可持续维持通道226内的压力在适当范围,以保持反应器100内处理体积108及反应器100外界大气之间的密封。于图2所示实施例中,两通道226是设于三个密封件228之间的空间中,且藉由两管线225耦接至泵224。
中空轴部112内会设至少一导管242,以将必要设备耦接至基板支撑件110。例如,导管242可具有数个电子线路以提供用于加热器136、热垫耦及其它至基板支撑件的电子连接器的电源。各导管可由绝缘材料形成以屏蔽并保护线路,绝缘材料例如陶瓷。此外,单一导管242也可用于各电性连接,藉以隔离各个线路。其它导管(未示出)也可提供冷却气体或液体,以用于基板支撑件110。可设滑环(slip ring)234以将电供应器240的电性连接驱动至基板支撑件110。
旋转接头(rotary union)236可耦接至冷却供应器及回线(return)238,以提供冷却剂予旋转升举组件,以冷却转子210、轴部112的基座以及/或加热器136。或者或结合观之,转子210可进一步包括气冷式鳍部(air-cooled fins,未示出)以利辐射冷却转子210。于使用气冷式鳍部的实施例中,可额外使用风扇(未示出)以增加冷却鳍部上的气体流率。应可理解其它冷却机制也可结合反应器100或其它具有旋转升举组件150的处理室。例如,风扇(未示出)可设于反应器100外侧,以循环气体并冷却伸缩部216。
虽然滑环234及旋转街头236或这两者的均等物为不受限旋转基板的方法所需,应可理解的是马达208提供的旋转移动也可往复进行,而非单一方向持续转动。这样的话,若仅需往复移动,可选择性设置滑环234及旋转接头236。对此情况下的实施例而言,可藉可弯曲导管(未示出),以及经由图2所示的滑环234及旋转接头236提供电子及冷却功能。
洁净气体供应线225耦接至洁净气体供应器220以提供洁净气体(例如氮或其它任一处理惰性气体)至伸缩部216及轴部112之间所设反应器100的内部体积218。内部体积218中的洁净气体可避免沉积材料被引入反应器100伸缩部216及/或轴部112的内侧上。亦可选择的是,洁净气体可自洁净气体供应器220经由供应线223供应至通道226中。
再参照图1,于一实施例中,控制器130是耦接至处理室本体105,以接收来自传感器的信号,这些信号可指示处理室压力。控制器130也可耦接至气体面板128,以控制一种或多种气体或气体至处理体积108的流动。控制器130可结合一种或多种压力调节器或调节器使用,以调整或维持处理体积108内的所欲压力。此外,控制器130可控制基板支撑件110的温度,并因此控制该基板支撑件110上所置放的基板的温度。控制器更可耦接至旋转升举组件150,以于处理期间控制该旋转升举组件150的转动。控制器130包括一存储器,该存储器含有呈计算机可读取形式的指令,以控制气体流动以及处理室中的压力及基板支撑件110的温度在前述参数范围内,藉以依本发明于基板上形成材料层。
于操作中,可利用旋转升举组件以最小化温度影响及处理室内固有的流动不均匀性。例如,硬件制造及设置容忍度(例如机构及材料容忍度或装置不同部件的精确性)的影响都会因使用旋转升举组件150对流动及温度不均有缓和作用而得到降低。这种旋转所形成的基板环境可对此等不均进行时间平均,从而使基板上有较均匀的薄膜厚度。薄膜厚度均匀度改良可应用于具有设于晶片上的气体流入口的处理室(如图1-2所示),以及用于具有经配设能提供混合流(cross-flow)、或平行基板直径的流的气体流入口的处理室。
例如,图4绘示薄膜厚度不均匀度(轴402)与处理条件的数量表示(轴404)的图表400,以百分比表示。此图表的数据是CVD处理室中利用硅烷(SiH4)及氨(NH3)于300mm裸硅基板上沉积氮化硅薄膜而得,与先前图1-2所述类似。数据点406表示基板处理未经旋转。数据点408表示基板处理同时作旋转。在测量所有处理条件(例如,沿轴404)时,数据点408显示基板处理作旋转时有较低的不均匀度百分比(与数据点406相比)。
如另一实施例所示,图5是绘示薄膜厚度不均匀度(于轴502上以百分比表示)对若干基板处理作旋转或不作旋转(轴504上有连续数字)时的图表500。此图表的数据是CVD处理室中利用二(叔丁氨基)硅烷(bis(tert-butylamino)silane,BTBAS)及氨(NH3)于300mm裸硅基板上沉积硅氮化物薄膜而得,与图1-2所述类似。数据点506代表基板处理同时旋转基板。该数据点508显示旋转基板可改善(亦即,降低)薄膜厚度不均匀百分比,此乃与基板处理不旋转相比而言(例如,数据点506)。
如另一范例所示,图6A-6B是分别在非旋转及旋转基板上形成的薄膜的薄膜厚度变化标绘图。图6A所示的标绘图610是表示基板处理不旋转时,基板表面上的薄膜厚度与标绘图620(如图6B所示,标绘图620是对应基板处理同时旋转基板)相比有较大变化。
旋转升举组件150的另一优点在于,旋转基板所形成的流动可能会进一步降低基板上的微粒污染。此外,因旋转升举组件150而旋转基板所形成的增加流动成分,降低总流率便可减少惰性气体及其它加入所得气体的稀释剂,以便维持均匀流动或处理室内相当均匀的流动。由于反应器100的处理体积108中反应物质有较大浓度,故稀释气体的降低有利于增加沉积率。
前述使用旋转升举组件150的方法范例将详述如下。于一实施例中,可于一特定处理循环中以360度的整数倍数(包括360度)旋转该基板。或者,可在一特定处理循环的处理斜升部、稳定部及/或斜降部以360度的整数倍数旋转基板。
于另一实施例中,基板支撑件110上所支撑的基板可于一特定处理期间旋转,以沉积均匀材料晶种层。于沉积晶种层后,晶种层上的大块沉积可接着旋转或不旋转基板支撑件110来处理。
基板可以适当剖面设备监控,以使支撑于旋转升举组件150上的基板旋转可控制达多个处理循环,以得各处理循环内所欲沉积轮廓。各连续沉积循环也可适当监控及调整沉积轮廓,以使总沉积厚度轮廓等于所欲轮廓(例如,平坦状)。
此外,旋转升举组件150的转速可依据基板处理期间测量或监控的特定变量而变化。例如,已知会影响沉积率的处理变量(例如温度或压力)、或经测量或计算的沉积率也可于处理期间控制基板支撑件110所支撑的基板转速。例如,于慢沉积率期间基板可以较慢速度旋转,而于较快沉积率期间可以较快速度旋转。
此外,处理期间旋转升举组件150所支撑的基板可递增标定,而非一致旋转。例如,可于一位置处理基板一段时间,接着标定基板至新位置一段接续时间。例如,基板可固定第一方向持续第一时间段,旋转180度至第二方向并处理持续第二时间段。
基板也可标定以排列移出处理室的基板。也可使用标定功能以记住处理室内的基板方位,使处理非均匀性或基板上检测得的缺陷可关连至反应器100的特定区域。
虽然前述方法及设备是关于低温化学气相沉积处理室,但应可理解的是其它处理室及其它薄膜沉积处理也可受惠于此处所述的旋转基板支撑件150。例如,该旋转升举组件也可以原子层沉积(ALD)处理提供改良的薄膜厚度均匀性,该原子层沉积(ALD)处理单独脉动地引入气体先驱物,以于每次循环沉积一个原子层的薄膜。或者,旋转升举组件也可以紫外光或等离子体增强型热沉积处理(紫外光或等离子体增强型热沉积处理分别利用紫外光或等离子体以增加化学反应性)提供改善的薄膜厚度均匀性。
虽然前述是关于本发明的该等实施例,然本发明其它及进一步实施例也可于不悖离本发明的基本范围下做变化,且本发明的范围是由权利要求决定的。

Claims (15)

1.一种用于处理基板的设备,包括:
处理室;
基板支撑件,该基板支撑件包括设置于该处理室中的轴部;
加热器,该加热器与该基板支撑件耦合;
第一马达,该第一马达提升与下降该基板支撑件;以及
第二马达,该第二马达向该基板支撑件提供旋转运动,其中该第一马达耦合至框架,该框架罩住该第二马达,该第二马达通过转子耦合至该轴部,以及包含多个密封件的密封块置于该转子周围。
2.如权利要求1所述的设备,其特征在于,该第二马达通过转子耦合至该基板支撑件。
3.如权利要求1所述的设备,其特征在于,该轴部被插入到该转子的开口中。
4.如权利要求1所述的设备,其特征在于,该第一马达和该第二马达通过马达支撑件耦合至该处理室的壁。
5.如权利要求4所述的设备,其特征在于,该密封块接触该马达支撑件和该转子。
6.如权利要求4所述的设备,其特征在于,该密封块与该马达支撑件的内表面和该转子的外表面形成密封。
7.如权利要求4所述的设备,其特征在于,该密封块具有至少一沿该密封块及该转子之间的接口而设置的通道。
8.一种用于处理基板的设备,包括:
处理室;
经加热的基板支撑件,该基板支撑件设置于该处理室中;以及
组件,该组件向该基板支撑件提供旋转运动,该组件包括升举机构以及第二马达,该升举机构包括提升和下降该基板支撑件的第一马达,该第二马达向该基板支撑件提供旋转运动,
其中,该第一马达耦合至罩住该第二马达的框架,该组件通过转子耦合至该基板支撑件的轴部,以及包含多个密封件的密封块置于该转子周围并与该转子接触。
9.如权利要求8所述的设备,其特征在于,加热器设置于该基板支撑件中。
10.如权利要求8所述的设备,其特征在于,该密封块包括至少一沿该密封块及该转子之间的接口而设置的通道。
11.一种用于处理基板的设备,包括:
处理室;
基板支撑件,该基板支撑件设置于该处理室中;
加热器,该加热器耦合至该基板支撑件;
伸缩部,该伸缩部连接至该处理室;
第一马达,该第一马达提升和下降该基板支撑件;
第二马达,该第二马达旋转该基板支撑件;以及
密封块,该密封块将该基板支撑件连接至该伸缩部。
12.如权利要求11所述的设备,其特征在于,该伸缩部具有与该密封块接触的安装板。
13.如权利要求11所述的设备,其特征在于,该设备还包括围住该第二马达的外罩。
14.如权利要求13所述的设备,其特征在于,该第一马达耦合至该外罩。
15.如权利要求11所述的设备,其特征在于,该设备还包括耦合至该基板支撑件的旋转接头。
CN201210041686.XA 2005-06-08 2006-05-31 旋转基板支撑件及其使用方法 Active CN102560433B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/147,938 US20060281310A1 (en) 2005-06-08 2005-06-08 Rotating substrate support and methods of use
US11/147,938 2005-06-08

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2006800205024A Division CN101194040B (zh) 2005-06-08 2006-05-31 旋转基板支撑件及其使用方法

Publications (2)

Publication Number Publication Date
CN102560433A true CN102560433A (zh) 2012-07-11
CN102560433B CN102560433B (zh) 2015-04-08

Family

ID=37398409

Family Applications (3)

Application Number Title Priority Date Filing Date
CN2006800205024A Active CN101194040B (zh) 2005-06-08 2006-05-31 旋转基板支撑件及其使用方法
CN201210041686.XA Active CN102560433B (zh) 2005-06-08 2006-05-31 旋转基板支撑件及其使用方法
CN2011103376840A Pending CN102337521A (zh) 2005-06-08 2006-05-31 旋转基板支撑件及其使用方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2006800205024A Active CN101194040B (zh) 2005-06-08 2006-05-31 旋转基板支撑件及其使用方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2011103376840A Pending CN102337521A (zh) 2005-06-08 2006-05-31 旋转基板支撑件及其使用方法

Country Status (6)

Country Link
US (3) US20060281310A1 (zh)
JP (1) JP2008544491A (zh)
KR (6) KR20140061516A (zh)
CN (3) CN101194040B (zh)
TW (2) TWI364810B (zh)
WO (1) WO2006132878A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107761077A (zh) * 2017-10-20 2018-03-06 京东方科技集团股份有限公司 一种镀膜方法、装置以及pecvd设备

Families Citing this family (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100358098C (zh) 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺件处理装置
JP2007324369A (ja) * 2006-06-01 2007-12-13 Sekisui Chem Co Ltd 基材外周処理装置
CN101140344A (zh) * 2006-09-08 2008-03-12 鸿富锦精密工业(深圳)有限公司 点胶方法
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
EP2122004B1 (en) * 2007-02-20 2013-01-30 Tech M3, Inc. Wear resistant coating for brake disks with unique surface appearance and methods for coating
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US20090194024A1 (en) * 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
US20100075488A1 (en) * 2008-09-19 2010-03-25 Applied Materials, Inc. Cvd reactor with multiple processing levels and dual-axis motorized lift mechanism
US8425977B2 (en) * 2008-09-29 2013-04-23 Applied Materials, Inc. Substrate processing chamber with off-center gas delivery funnel
US20100101730A1 (en) * 2008-10-29 2010-04-29 Jusung Engineering Co., Ltd. Substrate processing apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101671158B1 (ko) * 2009-04-21 2016-11-01 어플라이드 머티어리얼스, 인코포레이티드 박막 두께 불균일성 및 파티클 성능이 개선된 cvd 장치
WO2011017501A2 (en) * 2009-08-05 2011-02-10 Applied Materials, Inc. Cvd apparatus
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
US9018567B2 (en) * 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2014056806A (ja) * 2012-02-27 2014-03-27 Tokyo Electron Ltd マイクロ波加熱処理装置および処理方法
EP2850221B1 (en) * 2012-05-18 2022-07-06 Veeco Instruments Inc. Rotating disk reactor with ferrofluid seal for chemical vapor deposition
US9490150B2 (en) * 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TW201437421A (zh) * 2013-02-20 2014-10-01 Applied Materials Inc 用於旋轉料架原子層沉積之裝置以及方法
TW201437423A (zh) * 2013-02-21 2014-10-01 Applied Materials Inc 用於注射器至基板的空隙控制之裝置及方法
KR102261013B1 (ko) 2013-03-14 2021-06-03 어플라이드 머티어리얼스, 인코포레이티드 다중-구역 히터에서의 온도 측정
US10351956B2 (en) 2013-03-14 2019-07-16 Applied Materials, Inc. Integrated two-axis lift-rotation motor center pedestal in multi-wafer carousel ALD
US20140263275A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Rotation enabled multifunctional heater-chiller pedestal
CN103343334A (zh) * 2013-07-18 2013-10-09 湖南顶立科技有限公司 一种气相沉积方法
WO2015138094A1 (en) 2014-03-12 2015-09-17 Applied Materials, Inc. Wafer rotation in a semiconductor chamber
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
TWI665753B (zh) * 2014-06-05 2019-07-11 美商應用材料股份有限公司 多晶圓旋轉料架ald中的集成兩軸升降旋轉電動機的中央基座
US10186450B2 (en) 2014-07-21 2019-01-22 Asm Ip Holding B.V. Apparatus and method for adjusting a pedestal assembly for a reactor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10081861B2 (en) * 2015-04-08 2018-09-25 Varian Semiconductor Equipment Associates, Inc. Selective processing of a workpiece
JP6054470B2 (ja) * 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP2017228597A (ja) * 2016-06-20 2017-12-28 三星電子株式会社Samsung Electronics Co.,Ltd. 成膜装置
JP6650841B2 (ja) * 2016-06-27 2020-02-19 東京エレクトロン株式会社 基板昇降機構、基板載置台および基板処理装置
RU2638870C1 (ru) * 2016-07-05 2017-12-18 Акционерное общество "Концерн "Центральный научно-исследовательский институт "Электроприбор" Способ изготовления ротора электростатического гироскопа и устройство для осуществления этого способа
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
CN110062816B (zh) * 2016-10-12 2021-09-07 朗姆研究公司 用于半导体处理的晶片定位基座的垫升高机制
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
DE102017100507B4 (de) * 2017-01-12 2021-11-25 Ald Vacuum Technologies Gmbh Vorrichtung und Verfahren zur Beschichtung von Werkstücken
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11495932B2 (en) * 2017-06-09 2022-11-08 Applied Materials, Inc. Slip ring for use in rotatable substrate support
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109423630A (zh) * 2017-09-04 2019-03-05 台湾积体电路制造股份有限公司 升举装置、化学气相沉积装置及方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11133200B2 (en) 2017-10-30 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate vapor drying apparatus and method
US20190131585A1 (en) * 2017-11-01 2019-05-02 Shenzhen China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Apparatus of pecvd and manufacturing method of oled panel
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN110047790B (zh) * 2018-01-15 2021-10-15 北京北方华创微电子装备有限公司 双轴机构和半导体处理设备
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11251067B2 (en) * 2019-04-26 2022-02-15 Applied Materials, Inc. Pedestal lift for semiconductor processing chambers
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
CN111364026B (zh) * 2020-05-27 2020-08-14 上海陛通半导体能源科技股份有限公司 往复式旋转cvd设备及应用方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11501957B2 (en) 2020-09-03 2022-11-15 Applied Materials, Inc. Pedestal support design for precise chamber matching and process control
CN114156196A (zh) * 2020-09-07 2022-03-08 江苏鲁汶仪器有限公司 一种离子束刻蚀机及其升降旋转台装置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230162955A1 (en) * 2021-11-24 2023-05-25 Applied Materials, Inc. Electrostatic chuck with detachable shaft
CN114695245B (zh) * 2022-03-29 2023-02-07 苏州矽行半导体技术有限公司 一种升降轴及晶圆载台

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4929840A (en) * 1989-02-28 1990-05-29 Eaton Corporation Wafer rotation control for an ion implanter
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US20020139307A1 (en) * 2000-12-04 2002-10-03 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
CN1441464A (zh) * 2002-02-25 2003-09-10 联华电子股份有限公司 气相流体运送系统的流体加热注入装置

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4438154A (en) * 1982-04-28 1984-03-20 Stanley Electric Co., Ltd. Method of fabricating an amorphous silicon film
JPS5998520A (ja) * 1982-11-27 1984-06-06 Toshiba Mach Co Ltd 半導体気相成長装置
US4676994A (en) * 1983-06-15 1987-06-30 The Boc Group, Inc. Adherent ceramic coatings
US4591417A (en) * 1983-12-27 1986-05-27 Ford Motor Company Tandem deposition of cermets
US4902531A (en) * 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
JPH01127679A (ja) * 1987-03-27 1989-05-19 Canon Inc 堆積膜形成方法
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US5850089A (en) * 1992-03-13 1998-12-15 American Research Corporation Of Virginia Modulated-structure of PZT/PT ferroelectric thin films for non-volatile random access memories
DE69331659T2 (de) * 1993-01-13 2002-09-12 Applied Materials Inc Verfahren zur Abscheidung von Polysiliziumschichten mit einer verbesserten Uniformität und dazugehörige Vorrichtung
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5407755A (en) * 1993-06-14 1995-04-18 Eastman Kodak Company Multilayer magnetooptic recording media
US5626963A (en) * 1993-07-07 1997-05-06 Sanyo Electric Co., Ltd. Hard-carbon-film-coated substrate and apparatus for forming the same
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5580421A (en) * 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
KR100225916B1 (ko) * 1994-12-16 1999-10-15 황철주 플라즈마가 적용된 저압 화학 증기 증착장치
US5772773A (en) * 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US6264752B1 (en) * 1998-03-13 2001-07-24 Gary L. Curtis Reactor for processing a microelectronic workpiece
US5866204A (en) * 1996-07-23 1999-02-02 The Governors Of The University Of Alberta Method of depositing shadow sculpted thin films
US5747113A (en) * 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6287635B1 (en) * 1997-08-11 2001-09-11 Torrex Equipment Corp. High rate silicon deposition method at low pressures
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US5814365A (en) * 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US20010052392A1 (en) * 1998-02-25 2001-12-20 Masahiko Nakamura Multichamber substrate processing apparatus
EP0982604B1 (en) * 1998-08-26 2003-04-23 Nippon Telegraph and Telephone Corporation Method for manufacturing optical filter
KR20010089376A (ko) * 1998-10-29 2001-10-06 조셉 제이. 스위니 전력을 반도체 웨이퍼 프로세싱 시스템내의 제품을 통하여연결하기 위한 장치
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
JP4574926B2 (ja) * 1999-09-13 2010-11-04 東京エレクトロン株式会社 真空処理装置
US6699004B1 (en) * 2000-03-08 2004-03-02 Advanced Micro Devices, Inc. Wafer rotation in wafer handling devices
US6457557B1 (en) * 2000-06-27 2002-10-01 Leslie Anderson Tool for retrieving a ladder from an elevated position
JP4205294B2 (ja) * 2000-08-01 2009-01-07 キヤノンアネルバ株式会社 基板処理装置及び方法
JP2002050809A (ja) * 2000-08-01 2002-02-15 Anelva Corp 基板処理装置及び方法
JP3579690B2 (ja) * 2000-09-01 2004-10-20 独立行政法人 科学技術振興機構 複合酸化物系薄膜の作製方法及びその装置並びにそれにより作製した複合酸化物系薄膜。
US6506252B2 (en) * 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
US6419802B1 (en) * 2001-03-16 2002-07-16 David Alan Baldwin System and method for controlling deposition thickness by synchronously varying a sputtering rate of a target with respect to a position of a rotating substrate
US6645344B2 (en) * 2001-05-18 2003-11-11 Tokyo Electron Limited Universal backplane assembly and methods
US6592679B2 (en) * 2001-07-13 2003-07-15 Asyst Technologies, Inc. Clean method for vacuum holding of substrates
US7252714B2 (en) * 2002-07-16 2007-08-07 Semitool, Inc. Apparatus and method for thermally controlled processing of microelectronic workpieces
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US20040057343A1 (en) * 2002-09-18 2004-03-25 Matsushita Electric Industrial Co., Ltd. Magnetic recording medium, method for producing the same and magnetic recording/reproducing apparatus
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
JP3972126B2 (ja) * 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 紫外線発生源、紫外線照射処理装置及び半導体製造装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4929840A (en) * 1989-02-28 1990-05-29 Eaton Corporation Wafer rotation control for an ion implanter
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US20020139307A1 (en) * 2000-12-04 2002-10-03 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
CN1441464A (zh) * 2002-02-25 2003-09-10 联华电子股份有限公司 气相流体运送系统的流体加热注入装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107761077A (zh) * 2017-10-20 2018-03-06 京东方科技集团股份有限公司 一种镀膜方法、装置以及pecvd设备
CN107761077B (zh) * 2017-10-20 2019-12-03 京东方科技集团股份有限公司 一种镀膜方法、装置以及pecvd设备

Also Published As

Publication number Publication date
KR101343025B1 (ko) 2013-12-18
CN101194040A (zh) 2008-06-04
JP2008544491A (ja) 2008-12-04
KR20080014072A (ko) 2008-02-13
WO2006132878A3 (en) 2007-11-29
US20100224130A1 (en) 2010-09-09
KR20110058914A (ko) 2011-06-01
US20060281310A1 (en) 2006-12-14
TW201203430A (en) 2012-01-16
TW200717684A (en) 2007-05-01
KR20100035180A (ko) 2010-04-02
KR20100034050A (ko) 2010-03-31
US20120291709A1 (en) 2012-11-22
TWI364810B (en) 2012-05-21
KR100979329B1 (ko) 2010-08-31
KR20140061516A (ko) 2014-05-21
WO2006132878A2 (en) 2006-12-14
CN102560433B (zh) 2015-04-08
KR20130114255A (ko) 2013-10-16
CN102337521A (zh) 2012-02-01
CN101194040B (zh) 2012-04-18
KR101038607B1 (ko) 2011-06-03

Similar Documents

Publication Publication Date Title
CN101194040B (zh) 旋转基板支撑件及其使用方法
KR101671158B1 (ko) 박막 두께 불균일성 및 파티클 성능이 개선된 cvd 장치
TWI718226B (zh) 非金屬性熱化學氣相沉積/原子層沉積氣體注入器與淨化系統
US20190311940A1 (en) Substrate supporting apparatus, substrate processing apparatus including the same, and substrate processing method
US8133323B2 (en) Film forming apparatus and method, gas supply device and storage medium
KR101853274B1 (ko) 기판 상에 재료들을 증착하기 위한 방법들 및 장치
US20090260571A1 (en) Showerhead for chemical vapor deposition
KR20070090117A (ko) 횡단-흐름 라이너를 갖는 열처리 시스템
EP0646285A1 (en) Semiconductor wafer processing method and apparatus with heat and gas flow control
JP2001512789A (ja) ミニ・バッチ式プロセス・チャンバ
US10351951B2 (en) Substrate treatment apparatus including reaction tube with opened lower end, furnace opening member, and flange configured to cover upper surface of the furnace opening member
TWI810333B (zh) 氣相成長裝置
KR20150038406A (ko) 공정 가스를 기판에 전달하기 위한 방법 및 장치
JP5988486B2 (ja) 成膜装置および成膜方法
JP2013098340A (ja) 成膜装置および成膜方法
KR101139692B1 (ko) 화학기상증착장치
US20130108792A1 (en) Loading and unloading system for thin film formation and method thereof
JP6723416B2 (ja) SiCエピタキシャルウェハの製造方法
JP2020191346A (ja) サセプタおよびエピタキシャル成長装置
TW202212626A (zh) 化學氣相沉積裝置及基片溫度控制方法
KR101464202B1 (ko) 기판 처리 장치
US20210395886A1 (en) Spatial Atomic Layer Deposition
JP6748549B2 (ja) SiCエピタキシャルウェハの製造方法及びSiCエピタキシャルウェハの製造装置
KR20130121455A (ko) 박막 증착 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant