CN102005381B - 等离子体处理装置 - Google Patents

等离子体处理装置 Download PDF

Info

Publication number
CN102005381B
CN102005381B CN201010273923.6A CN201010273923A CN102005381B CN 102005381 B CN102005381 B CN 102005381B CN 201010273923 A CN201010273923 A CN 201010273923A CN 102005381 B CN102005381 B CN 102005381B
Authority
CN
China
Prior art keywords
fumarole
gas
container handling
processing apparatus
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201010273923.6A
Other languages
English (en)
Other versions
CN102005381A (zh
Inventor
三原直辉
松本直树
吉川润
村上和生
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102005381A publication Critical patent/CN102005381A/zh
Application granted granted Critical
Publication of CN102005381B publication Critical patent/CN102005381B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及一种等离子体处理装置。在用于将处理气体导入到处理容器中的导入部中抑制碳系附作物的产生。本发明的等离子体处理装置(1)将被导入到处理容器(2)中的处理气体等离子体化以对衬底(W)进行处理,其中,在处理容器(2)的顶面上设有处理气体导入部(55),在导入部(55)形成有气体滞留部(61)和多个喷气孔(66),其中,气体滞留部(61)滞留从处理容器(2)的外部经由供应路径(52)提供的处理气体,喷气孔(66)连通气体滞留部(61)和处理容器(2)内部,在气体滞留部(61)中,与供应路径(52)的开口部(52a)相对的位置上没有设置喷气孔(66),喷气孔(66)的截面为扁平形状。

Description

等离子体处理装置
技术领域
本发明涉及用于半导体制造中的等离子体处理装置。
背景技术
以往,在半导体设备的制造领域中,使用以下等离子体处理装置:使微波从形成在径向线缝隙天线板(Radial Line Slot Antenna:RLSA)上的缝隙传播到处理容器内,并生成等离子体(例如,参照专利文献1)。该RLSA型的等离子体处理装置具有以下的优点:能够均匀地形成高密度且低电子温度的等离子体,并且能够对大型的半导体晶片均匀且高速地进行等离子体处理。作为该等离子体处理的一个例子,公知有以下工艺:利用CF系气体或CFH系气体对衬底的表面进行蚀刻。
在该等离子体处理装置中,微波经由配置在处理容器的顶面上的电介质被传播到处理容器内部。然后,通过微波的能量使得导入到处理容器内的处理气体等离子体化,进行衬底表面的蚀刻。通常,用于将处理气体导入到处理容器中的导入部例如被配置在处理容器的侧面。另外,最近,除了配置在处理容器的侧面的导入部之外,还在处理容器的顶面上设置处理气体导入部,通过使得从这些侧面的导入部和顶面上的导入部导入的处理气体的导入量的比最优,实现衬底表面的蚀刻均匀性(Radical DistributionControl:RDC)。
专利文献1:日本专利文献特开2009-99807号公报。
发明内容
然而,在以往的等离子体处理装置中,在用于向处理容器导入处理气体导入部中,存在产生碳系附着物的情况。并且,由于设置在导入部的喷气孔被该附着物堵塞,而可能无法顺畅地将处理气体导入到处理容器中。另外,通过喷气孔被附着物堵塞,改变来自侧面的导入部和顶面的导入部的处理气体导入量之间的平衡,可能破坏衬底表面的蚀刻的均匀性。另外,消除喷气孔堵塞的作业烦杂,降低了维护性。
本发明的目的在于在用于将处理气体导入到处理容器中的导入部抑制碳系附着物的产生。
根据本发明提供一种等离子体处理装置,所述等离子体处理装置将被导入到处理容器中的处理气体等离子体化以对衬底进行处理,其中,在所述处理容器的顶面上设有处理气体导入部,在所述导入部形成有气体滞留部和多个喷气孔,其中,所述气体滞留部滞留从处理容器的外部经由供应路径提供的处理气体,所述喷气孔连通所述气体滞留部和所述处理容器的内部,在所述气体滞留部中,与所述供应路径的开口部相对的位置上没有设置所述喷气孔,所述喷气孔的截面为扁平形状。
在所述气体滞留部的与所述供应路径的开口部相对的位置上也可以设有凹部,所述凹部接收从所述供应路径提供给所述气体滞留部的处理气体。在该情况下,所述供应路径的开口部可以位于例如所述气体滞留部的中央,所述喷气孔可以配置在以所述供应路径的开口部为中心的圆周方向上。所述喷气孔也可以具有在以所述供应路径的开口部为中心的圆周方向上长、并且在以所述供应路径的开口部为中心的半径方向上短的扁平的截面形状。另外,所述喷气孔可以形成在由例如导电性材料构成的喷气座上,所述喷气座可以被电接地。在该情况下,面对所述处理容器的内部的所述喷气座的表面上可以设置有提高剥离性的保护膜。另外,面对所述处理容器的内部的所述喷气孔的开口部的周边部可以为锥形。另外,可以在所述处理容器的顶面设有电介质窗,在从所述处理容器的内部朝上方看的状态下,所述喷气孔被所述电介质窗遮挡,从而成为无法直接看到所述喷气孔的状态。
根据本发明能够在用于将处理气体导入到处理容器中的导入部抑制碳系附着物的产生。因此,在将被导入到处理容器内的处理气体等离子体化以处理衬底的等离子体处理装置中,能够流畅地将处理气体导入到处理容器中。另外,良好地维持来自侧面的导入部和顶面的导入部的处理气体导入量之间的平衡,提高衬底表面的蚀刻均匀性。另外,减少消除喷气孔的堵塞的作业,提高维护性。
附图说明
图1是表示本发明实施方式所涉及的等离子体处理装置的简要构成的纵截面图;
图2是图1中的X-X截面图,表示电介质窗下表面的状态。
图3是设置在处理容器的顶面的处理气体导入部的放大截面图;
图4是安装在处理气体导入部的喷气部件的平面图;
图5是图4的Y-Y位置中的喷气部件的截面图;
图6是喷气孔的放大图;
图7是以往的处理气体导入部的放大截面图;
图8是比较例1~5和实施例1、2的喷气座的说明图;
图9是表示比较例1和实施例1的分离器中的压力和处理气体流量之间的关系的曲线图;
图10是表示比较例1和实施例1的气体滞留部中的内压和处理气体的流量之间的关系的曲线图。
具体实施方式
以下,参照附图说明本发明的实施方式的一个例子。在本说明书以及附图中,对于实质上相同的构成要素标注同一个符号,从而省略重复说明。
如图1所示,该等离子体处理装置1具备圆筒形的处理容器2。处理容器2的上部开口,并且底部封闭。处理容器2由例如铝构成,并且被电接地。处理容器2的内壁表面被例如氧化铝等保护膜覆盖。
在处理容器2的底部设置有作为载放台的基座3,所述载放台用于载放作为衬底的例如半导体晶片(以下,称作晶片)W。基座3由例如铝构成,在基座3的内部设置有加热器5,所述加热器5通过从外部电源4供应的电力来发热。
处理容器2的底部连接有排气管11,排气管11用于通过真空泵等排气装置10来排出处理容器2内的气氛。
处理容器2的上部通过用于确保气密性的O环等密封部件15设置有由例如石英等电介质构成的电介质窗16。如图2所示,电介质窗16大致为圆盘形状。作为电介质窗16的材料也可以代替石英使用其他的电介质材料,例如Al2O3、AlN等陶瓷。
电介质窗16的上方设有平面形状的缝隙板,例如,圆板状的径向线缝隙天线板20。径向线缝隙天线板20由具有导电性的材料构成,例如由镀或涂了Ag、Au等的铜制的薄圆板构成。径向线缝隙天线板20上以多圈的同心圆形状配置有多个缝隙21。
径向线缝隙天线板20的上面配置有用于缩短微波的波长的电介质板25。电介质板25由例如Al2O3等电介质材料构成。作为电介质板25的材料代替Al2O3还可以使用其他的电介质材料,例如石英、AlN等陶瓷。电介质板25被导电性的盖子26覆盖。盖子26上设有圆环形的载热体流路27,通过在该载热体流路27中流动的载热体,将盖子26和电介质窗16维持在预定的温度。
盖子26的中央连接有同轴导波管30。同轴导波管30由内部导体31和外部导体32来构成。内部导体31贯穿电介质板25的中央与上述的径向线缝隙天线板20的上部中央连接。形成在径向线缝隙天线板20上的多个缝隙21都配置在以内部导体31为中心的多个圆周上。
微波供应装置35经由矩形导波管36和模式转换器37与同轴导波管30连接。在微波供应装置35产生的例如2.45GHz的微波经由矩形导波管36、模式转换器37、同轴导波管30、电介质板25、径向线缝隙天线板20被放射到电介质窗16。并且,此时通过微波在电介质窗16的下面形成电场,在处理容器2内生成等离子体。
与径向线缝隙天线板20连接的内部导体31的下端40形成为圆锥台形状。通过如上所述地内部导体31的下端40被形成为圆锥台形状,微波从同轴导波管30向电介质板25和径向线缝隙天线板20有效地传播。
从气体提供源50提供的处理气体被分离器(splitter)51分离,经由两个供应路径52、53被导入到处理容器2中。处理气体为例如氮气、Ar、氧气等用于生成等离子体的气体,例如使用CF系气体或CFH系气体等源气体等。
处理容器2内的顶面和内侧面设有处理气体导入部55、56。顶面的导入部55配置在处理容器2的顶面的中央。顶面的导入部55与贯穿30的内部导体31的一个供应路径52连接。
处理容器2的内侧面的多个导入部56以围绕载放在基座3上的晶片W的上方的方式分布设置在处理容器2的内侧面的圆周方向上。处理容器2的内侧面的导入部56与贯穿处理容器2的侧面的其他的供应路径53连接。并且,被分离器51分离的处理气体经由供应路径52、53从两个导入部55、56被导入到径向线缝隙天线板20内。
如图3所示,顶面的导入部55具有以下的构成:与同轴导波管30的内部导体31的下表面有间隙地配置有圆筒形状的喷气座(injector block)60。喷气座60由例如铝等导电性材料构成,并且喷气座60被电接地。内部导体31的下端面上安装有支撑块59,所述支撑块59与喷气座60的上表面具有适当的间隔。在该支撑块59和内部导体31的下端面之间支撑有径向线缝隙天线板20。贯穿内部导体31和支撑块59的供应路径52的开口部52a位于支撑块59的下表面的中央。支撑块59的下表面和喷气座60的上表面之间形成有以开口部52a圆筒形的气体滞留部61。
电介质窗16的中央设有用于保持喷气座60的保持部62。保持部62的上半部成为了具有可容纳喷气座60的大小的圆筒形的空间。保持部62的下半部设有圆锥形的锥面63,锥面63越往下半径越小。
喷气座60的上表面的中央设有接收从内部导体31的下表面中央的开口部52a提供给气体滞留部61的处理气体的凹部65。该凹部65与供应路径52的开口部52a相对配置。
如图4、5所示,喷气座60上形成有多个连通气体滞留部61和处理容器2的内部的喷气孔66。喷气孔66没有设置在与内部导体31的下表面的中央(支撑块59的下表面中央)的开口部52a相对的位置,多个喷气孔66都设置在凹部65的周围。
喷气孔66都具有在以凹部65为中心的圆周方向上长且在以凹部65为中心的半径方向上短的扁平的截面形状。考虑电子的平均自由程和电导,喷气孔66在半径方向的宽度t为例如小于1mm(优选的是0.3~0.5mm左右),圆周方向的长度L例如几mm~十几mm左右。在图示的例子中,多个喷气孔66配置在以凹部65为中心的3圈的圆周上。
由于保持喷气座60的保持部62的下半部形成为了圆锥形的锥面63,因此,如图2所示,在从处理容器2的内部朝上方看的状态下,成为被电介质窗16遮挡而无法直接看到喷气孔66的状态。
如图6所示,喷气座60的下表面设有针对气体的保护膜67。保护膜67由例如氧化钇构成。出现于喷气座60的下表面的喷气孔66的开口部的周边部66a形成为锥形。在如上形成为锥形的喷气孔66的开口部的周边部66a也设置有保护膜67。
接下来,说明如上所述地构成的等离子体处理装置1的作用。作为等离子体处理装置的一个例子,说明使用包含Ar、氧气等等离子体生成气体和CF系气体、CFH系气体等源气体在内的处理气体来对晶片W的表面进行蚀刻的例子。
如图1所示,在该等离子体处理装置1中,首先,晶片W被运入处理容器2内,并在放在基座3上。然后,从排气管11进行排气,处理容器2内被减压。并且,从气体提供源50向处理容器2内导入包含Ar、氧气等等离子体生成气体和CF系气体、CFH系气体等源气体在内的处理气体。
从设置在处理容器2的顶面和内侧面上的导入部55、56同时进行对处理容器2的处理气体的导入。通过分离器51调整来自导入部55、56的处理气体导入量的比,以对晶片W的表面整体进行均匀的蚀刻处理。
然后,通过微波供应装置35工作,在电介质窗16的下面产生电场,处理气体被等离子体化,通过此时产生的活性粒子(active species),对晶片W表面进行蚀刻处理。然后,在进行预定时间的蚀刻处理之后,停止微波供应装置35的工作,并且停止向处理容器2内提供处理气体,晶片W被从处理容器2内运出,结束一系列的等离子体蚀刻处理。
然而,在如上的等离子体处理装置1中,通过使处理气体等离子体化,特别是在设置在处理容器2的顶面的导入部55,在喷气座60的喷气孔66容易附着碳系附着物。并且,一旦喷气孔66被盖附着物堵塞,则无法将处理气体流畅地导入到处理容器2内。并且,来自处理容器2的内侧面的导入部56和顶面的55的处理气体的导入量之间的平衡被改变,破坏对晶片W表面的蚀刻的均匀性。
在这里,对于以往的处理气体导入部讨论了对喷气孔的碳系附着物的产生原因。如图7所示,以往的处理处理气体导入部100具有以下构成:在圆筒形的喷气座101的整体上分布形成有多个喷气孔102。各喷气孔102具有直径为0.5mm左右的圆形截面形状。喷气座101的上表面为平面,不存在相当于凹部65的构件。另外,在喷气座101的下表面的整体上均匀分布有多个喷气孔102的开口,在与内部导体31的下表面中央的开口部52a相对的位置上也设有喷气孔102。
由于这样的以往的处理气体导入部100的各喷气孔102的截面积小,因此当从供应路径52提供给气体滞留部61的处理气体通过喷气孔102时的阻抗大,并且气体滞留部61的内压成为高压状态。并且,在处理气体以高浓度滞留在气体滞留部61内部的状态下,可以推测出:处理容器2内的电子等从喷气孔102朝向气体滞留部61进入,处理气体在喷气孔102的内部被等离子体化,碳系附着物被附着到喷气孔66。
另外,在以往的处理气体导入部100中,处理气体集中地流入位于与内部导体31的下表面中央的开口部52a相对的位置上的喷气孔102,流入到位于远离内部导体31的下表面中央(支撑块59的下表面中央)的开口部52a的位置的喷气孔102的处理气体的流入量变少。因此,即使增加喷气孔102的数量,也难以有效地降低气体滞留部61的内压。另外,均匀地设置多个直径为0.5mm左右的喷气孔102的加工是困难的。
与此相对,在本实施方式所示的等离子体处理装置1中,设置在导入部55的喷气座60的喷气孔66具有扁平的截面形状,圆周方向的长度L与以往的喷气孔102的直径(0.5mm左右)足够长。因此,根据本发明的实施方式的等离子体处理装置1,喷气孔66的截面积与以往相比相当大,处理气体容易从气体滞留部61漏到处理容器2内。由此,气体滞留部61的内压降低,气体滞留部61内的处理气体的浓度也降低。喷气孔102不与气体滞留部61相通,处理气体难以被电子等等离子体化。其结果是,即便例如处理容器2内的电子等从喷气孔102朝向气体滞留部61进入,处理气体在喷气孔102内部被等离子体化的可能性也降低,可以避免碳系附着物附着到喷气孔66的问题。
另外,该实施方式的等离子体处理装置1没有在与内部导体31的下表面中央(支撑块59的下表面中央)的开口部52a相对的位置设置喷气孔66,而是多个喷气孔66都配置在凹部065的周围。因此,从贯穿内部导体31的中心的供应路径52提供给气体滞留部61的处理气体从气体滞留部61的中央向周边部部扩散之后,流入各喷气孔66。因此,处理气体以几乎相等的状态(压力)流入多个喷气孔66,能够有效地降低气体滞留部61的内压。在该情况下,由于在喷气座60的上表面的中央设有凹部65,因此,通过供应路径52流进来的处理气体的趋势(惯性)在当流入凹部65时被显著降低。其结果是,从供应路径52提供给气体滞留部61的处理气体扩散到气体滞留部61的整体,从设置在喷气座60的所有喷气孔66被导入到处理容器2内。由此,有效地降低气体滞留部61的内压,气体滞留部61内的处理气体的浓度降低,并且进一步回避对于喷气孔66的碳系附着物的附着。
另外,在本实施方式的等离子体处理装置1中,在从处理容器2的内部看上方的状态下,由于被电介质窗16遮挡而成为从处理容器2的内部无法直接看到多个喷气孔66中的任一个的状态。因此,在处理容器2内部生成的电子等难以进入喷气孔66,难以对喷气孔66产生碳系附着物。
另外,喷气座60由例如铝等导电性材料构成,并且喷气座60电接地。因此,即使电子进入了喷气孔66的情况下,通过与喷气孔66的内壁表面接触,电子也容易被捕获(trap)。在该情况下,喷气孔66的宽度t例如为0.55mm这样窄,因此电子不与喷气孔66的内壁表面接触就到达气体滞留部61的可能性极低。
另外,通过设置在喷气座60的下表面的氧化钇等保护膜67保护喷气座60的下表面。在该情况下,由于在形成为锥形的喷气孔66的开口部的周边部66a也设置有保护膜67,因此从气体保护喷气孔66的开口部。另外,通过将喷气孔66的开口部形成为锥形,能够容易地在开口部的周边部66a设置保护膜67。
因此,根据本实施方式的等离子体装置1,能够流畅地进行对处理容器2的处理气体的导入。另外,良好地维持来自处理容器2的顶面的导入部55和内侧面的导入部56的处理气体的导入量之间的平衡,提高对晶片W的表面的蚀刻均匀性。另外,减少消除喷气孔66的堵塞的作业,提高维护性。
以上,虽然说明的本发明的优先的实施方式的一个例子,然而,本发明不限于这里例示的方式。只要是本领域技术人员就可以在权利要求书的记载范围内获得各种变形例或修正例,这些也当然属于本发明的技术范围内。
例如,通过在喷气座60的上表面或喷气孔66的内表面实施渗铝处理(alumite treatment),能够提高喷气座60的上表面和喷气孔66的内表面的耐久性。在该情况下,也可以将喷气座60的上表面上的喷气孔66的入口部的周边部形成为锥形。形成在喷气座60上的多个喷气孔66的大小既可以相同,也可以不同。另外,多个喷气孔66的也可以配置成例如漩涡形。
在以上的实施方式中,将本发明适用在了进行蚀刻处理的等离子体处理装置1,然而你,本发明也可以适用于蚀刻处理之外的衬底处理,例如也可以适用于进行成膜处理的等离子体处理装置中。另外,在本发明的等离子体处理装置中处理的衬底可以是半导体衬底、有机EL衬底、FPD(平板显示器)用衬底等中的任一个。
考察了设置在喷气座上的喷气孔的截面形状和气体滞留部的内压之间的关系。
(比较例1(现有示例))
如图8的(a)所示,比较例1在厚度为8mm的圆板形状的喷气座101上形成了19个直径为0.5mm的圆形截面形状的喷气孔102。在作为与处理气体的供应路径的开口部相对的位置的、喷气座101的中央也设置了喷气孔102。喷气座101的上表面为平面,并没有凹部。
(比较例2)
如图8的(b)所示,比较例2在厚度为8mm的圆板形状的喷气座101上形成了324个直径为0.5mm的圆形截面形状的喷气孔102。在作为与处理气体的供应路径的开口部相对的位置的、喷气座101的中央没有设置喷气孔102。喷气座101的上表面的中央设有凹部65。
(比较例3)
如图8的(c)所示,比较例3在厚度为4mm的圆板形状的喷气座101上形成了324个直径为0.5mm的圆形截面形状的喷气孔102。在作为与处理气体的供应路径的开口部相对的位置的、喷气座101的中央没有设置喷气孔102。喷气座101的上表面的中央设有凹部65。
(比较例4)
如图8的(d)所示,比较例4在厚度为8mm的圆板形状的喷气座101上形成了48个直径为0.5mm的圆形截面形状的喷气孔102。在作为与处理气体的供应路径的开口部相对的位置的、喷气座101的中央没有设置喷气孔102。喷气座101的上表面的中央设有凹部65。
(实施例1)
如图8的(e)所示,实施例1在厚度为8mm的圆板形状的喷气座60上形成了24个具有扁平的截面形状的喷气孔66。喷气孔66的喷气座60的半径方向上的宽度t为0.5mm、圆周方向上的长度L为几mm~十几mm。在作为与处理气体的供应路径的开口部相对的位置的、喷气座60的中央没有设置喷气孔66。喷气座101的上表面的中央设有凹部65。
(实施例2)
如图8的(f)所示,实施例2在厚度为8mm的圆板形状的喷气座60上形成了12个具有扁平的截面形状的喷气孔66。喷气孔66的喷气座60的半径方向上的宽度t为0.5mm、圆周方向上的长度L为几mm~十几mm。在作为与处理气体的供应路径的开口部相对的位置的、喷气座60的中央没有设置喷气孔66。喷气座101的上表面的中央设有凹部65。
(比较例5)
如图8的(g)所示,比较例5在厚度为8mm的圆板形状的喷气座101的中央上仅形成了1个直径为2.5mm的圆形截面形状的喷气孔102。该喷气孔102位于与处理气体的供应路径的开口部相对的位置。喷气座101的上表面为平面,并没有凹部。
对于这些比较例1~5和实施例1、2的喷气座,测量气体滞留部的内压和处理容器的内压之间的差。测量是在使Ar气体以100mtorr、100sccm流动的状态下进行的。将比较例1~5和实施例1、2的气体滞留部的内压和处理容器的内压的测量结果表示在表1中。
表1
Figure GSB00000778346300111
根据表1的结果,实施例1、2的喷气座与比较例1~5的喷气座相比气体滞留部的内压和处理器的内压之间的差小。
接下来,关于比较例1的喷气座,改变流量,测量气体滞留部的内压的改变。在50~100sccm的范围内改变Ar气体(100mtorr)的流量。将其结果表示在图9、10中。如图9所示,在成为供应侧的分离器中,比较例1的压力A和实施例1的压力B基本上成比例地上升。与此相对,如图10所示,在实施例1中气体滞留部的内压D与流量的增加无关地几乎不变。另一方面,在比较例1中,气体滞留部的内压C与流量成比例地上升。
本发明应用于例如半导体制造领域。

Claims (9)

1.一种等离子体处理装置,所述等离子体处理装置将被导入到处理容器中的处理气体等离子体化以对衬底进行处理,其中,
在所述处理容器的顶面上设有处理气体的导入部,
在所述导入部形成有气体滞留部和多个喷气孔,其中,所述气体滞留部滞留从处理容器的外部经由供应路径提供的处理气体,所述喷气孔连通所述气体滞留部和所述处理容器的内部,
在所述气体滞留部中,与所述供应路径的开口部相对的位置上没有设置所述喷气孔,
所述喷气孔的截面为扁平形状。
2.如权利要求1所述的等离子体处理装置,其中,
在所述气体滞留部的与所述供应路径的开口部相对的位置上设有凹部,所述凹部接收从所述供应路径提供给所述气体滞留部的处理气体。
3.如权利要求1或2所述的等离子体处理装置,其中,
所述供应路径的开口部位于所述气体滞留部的中央,
所述喷气孔配置在以所述供应路径的开口部为中心的圆周方向上。
4.如权利要求3所述的等离子体处理装置,其中,
所述喷气孔具有在以所述供应路径的开口部为中心的圆周方向上长、并且在以所述供应路径的开口部为中心的半径方向上短的扁平的截面形状。
5.如权利要求4所述的等离子体处理装置,其中,
所述喷气孔在以所述供应路径的开口部为中心的半径方向上的宽度小于等于1mm、圆周方向上的长度为几mm至十几mm。
6.如权利要求1或2所述的等离子体处理装置,其中,
所述喷气孔形成在由导电性材料构成的喷气座上,
所述喷气座被电接地。
7.如权利要求6所述的等离子体处理装置,其中,
面对所述处理容器的内部的所述喷气座的表面上设置有提高剥离性的保护膜。
8.如权利要求7所述的等离子体处理装置,其中,
面对所述处理容器的内部的所述喷气孔的开口部的周边部为锥形。
9.如权利要求1或2所述的等离子体处理装置,其中,
在所述处理容器的顶面设有电介质窗,在从所述处理容器的内部朝上方看的状态下,所述喷气孔被所述电介质窗遮挡,从而成为无法直接看到所述喷气孔的状态。
CN201010273923.6A 2009-09-02 2010-09-02 等离子体处理装置 Active CN102005381B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009-202634 2009-09-02
JP2009202634A JP5457109B2 (ja) 2009-09-02 2009-09-02 プラズマ処理装置

Publications (2)

Publication Number Publication Date
CN102005381A CN102005381A (zh) 2011-04-06
CN102005381B true CN102005381B (zh) 2012-12-12

Family

ID=43623090

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010273923.6A Active CN102005381B (zh) 2009-09-02 2010-09-02 等离子体处理装置

Country Status (5)

Country Link
US (2) US8920596B2 (zh)
JP (1) JP5457109B2 (zh)
KR (1) KR101094723B1 (zh)
CN (1) CN102005381B (zh)
TW (1) TWI440084B (zh)

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011125524A1 (ja) * 2010-03-31 2011-10-13 東京エレクトロン株式会社 プラズマ処理装置用誘電体窓、プラズマ処理装置、およびプラズマ処理装置用誘電体窓の取り付け方法
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5525504B2 (ja) * 2011-11-08 2014-06-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9984906B2 (en) * 2012-05-25 2018-05-29 Tokyo Electron Limited Plasma processing device and plasma processing method
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5717888B2 (ja) * 2013-02-25 2015-05-13 東京エレクトロン株式会社 プラズマ処理装置
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6714978B2 (ja) * 2014-07-10 2020-07-01 東京エレクトロン株式会社 プラズマ処理装置用の部品、プラズマ処理装置、及びプラズマ処理装置用の部品の製造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6501493B2 (ja) * 2014-11-05 2019-04-17 東京エレクトロン株式会社 プラズマ処理装置
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN108878248B (zh) * 2017-05-16 2020-03-17 东京毅力科创株式会社 等离子体处理装置
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN112514044A (zh) * 2018-07-31 2021-03-16 朗姆研究公司 用于衬底处理系统的具有介电窗的蜂窝式喷射器
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11261522B2 (en) * 2018-10-18 2022-03-01 Diamond Foundry Inc. Axisymmetric material deposition from plasma assisted by angled gas flow
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2021195594A (ja) * 2020-06-15 2021-12-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115448422B (zh) * 2022-09-22 2023-09-22 西安电子科技大学 一种基于等离子体的液体处理系统及方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101030538A (zh) * 2006-02-27 2007-09-05 东京毅力科创株式会社 等离子体蚀刻装置和等离子体蚀刻方法
CN101489344A (zh) * 2008-01-14 2009-07-22 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备、其气体分配装置及工艺气体提供方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04316325A (ja) * 1991-04-15 1992-11-06 Mitsubishi Electric Corp プラズマ処理装置
KR0156011B1 (ko) * 1991-08-12 1998-12-01 이노우에 아키라 플라즈마 처리장치 및 방법
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JPH09180897A (ja) * 1995-12-12 1997-07-11 Applied Materials Inc 高密度プラズマリアクタのためのガス供給装置
JP3155199B2 (ja) * 1996-04-12 2001-04-09 東京エレクトロン株式会社 プラズマ処理装置
JP3318241B2 (ja) * 1997-09-19 2002-08-26 富士通株式会社 アッシング方法
WO1999049705A1 (fr) * 1998-03-20 1999-09-30 Tokyo Electron Limited Dispositif de traitement plasmique
KR100331555B1 (ko) 1999-09-30 2002-04-06 윤종용 복수의 통공이 형성된 배플 및 그를 구비한 반도체소자 제조장비
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100447248B1 (ko) * 2002-01-22 2004-09-07 주성엔지니어링(주) Icp 에쳐용 가스 확산판
JP3921234B2 (ja) * 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US6845734B2 (en) * 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
KR100734775B1 (ko) * 2005-09-15 2007-07-04 주식회사 아이피에스 샤워헤드
TWI331770B (en) * 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US8100082B2 (en) * 2007-05-18 2012-01-24 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
JP2008311385A (ja) * 2007-06-14 2008-12-25 Hitachi High-Technologies Corp 基板処理装置
JP5374853B2 (ja) 2007-10-17 2013-12-25 東京エレクトロン株式会社 プラズマ処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101030538A (zh) * 2006-02-27 2007-09-05 东京毅力科创株式会社 等离子体蚀刻装置和等离子体蚀刻方法
CN101489344A (zh) * 2008-01-14 2009-07-22 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备、其气体分配装置及工艺气体提供方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2003-257943A 2003.09.12

Also Published As

Publication number Publication date
TWI440084B (zh) 2014-06-01
TW201125034A (en) 2011-07-16
JP5457109B2 (ja) 2014-04-02
JP2011054781A (ja) 2011-03-17
US8920596B2 (en) 2014-12-30
US10062547B2 (en) 2018-08-28
KR20110025064A (ko) 2011-03-09
CN102005381A (zh) 2011-04-06
US20140338602A1 (en) 2014-11-20
KR101094723B1 (ko) 2011-12-16
US20110048642A1 (en) 2011-03-03

Similar Documents

Publication Publication Date Title
CN102005381B (zh) 等离子体处理装置
US9574270B2 (en) Plasma processing apparatus
TWI414017B (zh) Plasma processing device and plasma processing method
KR101336446B1 (ko) 기판 에지로부터의 가스 주입을 튜닝하는 프로세스
KR101736070B1 (ko) 플라즈마 처리 장치 및 샤워 플레이트
JP4118954B2 (ja) プロセスガスの均一な分配のためのシャワーヘッド
TWI794808B (zh) 半導體反應腔室及原子層等離子體蝕刻設備
US20100288439A1 (en) Top plate and plasma process apparatus employing the same
TW201636452A (zh) 電漿處理裝置
US8261691B2 (en) Plasma processing apparatus
KR20060103334A (ko) 플라즈마 성막 장치 및 플라즈마 성막 방법
KR102015698B1 (ko) 플라즈마 성막 장치 및 기판 배치대
WO2006009213A1 (ja) プラズマ処理装置
CN109314055A (zh) 原子层生长装置及原子层生长方法
JP2008235611A (ja) プラズマ処理装置及びプラズマ処理方法
US10968514B2 (en) Substrate mounting table
JP2011044567A (ja) プラズマエッチング処理装置とプラズマエッチング処理方法
JP2007273773A (ja) プラズマ処理装置およびプラズマ処理装置のクリーニング方法
JP2003203869A (ja) プラズマ処理装置
KR20150073086A (ko) 기판 처리 장치
US11049699B2 (en) Gas box for CVD chamber
KR100725614B1 (ko) 플라즈마 처리 장치
KR20150046736A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP5722469B2 (ja) インジェクターブロック
JP4139833B2 (ja) エッチング処理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant