WO2016136533A1 - 重合性化合物及び光学異方体 - Google Patents

重合性化合物及び光学異方体 Download PDF

Info

Publication number
WO2016136533A1
WO2016136533A1 PCT/JP2016/054399 JP2016054399W WO2016136533A1 WO 2016136533 A1 WO2016136533 A1 WO 2016136533A1 JP 2016054399 W JP2016054399 W JP 2016054399W WO 2016136533 A1 WO2016136533 A1 WO 2016136533A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
formula
oco
coo
compound represented
Prior art date
Application number
PCT/JP2016/054399
Other languages
English (en)
French (fr)
Inventor
雅弘 堀口
豊 門本
Original Assignee
Dic株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dic株式会社 filed Critical Dic株式会社
Priority to JP2016567448A priority Critical patent/JP6164509B2/ja
Priority to CN201680009332.3A priority patent/CN107207419B/zh
Priority to KR1020177022782A priority patent/KR20170121174A/ko
Priority to US15/547,949 priority patent/US10597371B2/en
Publication of WO2016136533A1 publication Critical patent/WO2016136533A1/ja

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D277/00Heterocyclic compounds containing 1,3-thiazole or hydrogenated 1,3-thiazole rings
    • C07D277/60Heterocyclic compounds containing 1,3-thiazole or hydrogenated 1,3-thiazole rings condensed with carbocyclic rings or ring systems
    • C07D277/62Benzothiazoles
    • C07D277/68Benzothiazoles with hetero atoms or with carbon atoms having three bonds to hetero atoms with at the most one bond to halogen, e.g. ester or nitrile radicals, directly attached in position 2
    • C07D277/82Nitrogen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C243/00Compounds containing chains of nitrogen atoms singly-bound to each other, e.g. hydrazines, triazanes
    • C07C243/10Hydrazines
    • C07C243/20Hydrazines having nitrogen atoms of hydrazine groups bound to carbon atoms of rings other than six-membered aromatic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C243/00Compounds containing chains of nitrogen atoms singly-bound to each other, e.g. hydrazines, triazanes
    • C07C243/10Hydrazines
    • C07C243/22Hydrazines having nitrogen atoms of hydrazine groups bound to carbon atoms of six-membered aromatic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C251/00Compounds containing nitrogen atoms doubly-bound to a carbon skeleton
    • C07C251/72Hydrazones
    • C07C251/86Hydrazones having doubly-bound carbon atoms of hydrazone groups bound to carbon atoms of six-membered aromatic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C251/00Compounds containing nitrogen atoms doubly-bound to a carbon skeleton
    • C07C251/72Hydrazones
    • C07C251/88Hydrazones having also the other nitrogen atom doubly-bound to a carbon atom, e.g. azines
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C323/00Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups
    • C07C323/50Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and carboxyl groups bound to the same carbon skeleton
    • C07C323/51Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and carboxyl groups bound to the same carbon skeleton having the sulfur atoms of the thio groups bound to acyclic carbon atoms of the carbon skeleton
    • C07C323/52Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and carboxyl groups bound to the same carbon skeleton having the sulfur atoms of the thio groups bound to acyclic carbon atoms of the carbon skeleton the carbon skeleton being acyclic and saturated
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D209/00Heterocyclic compounds containing five-membered rings, condensed with other rings, with one nitrogen atom as the only ring hetero atom
    • C07D209/56Ring systems containing three or more rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D241/00Heterocyclic compounds containing 1,4-diazine or hydrogenated 1,4-diazine rings
    • C07D241/36Heterocyclic compounds containing 1,4-diazine or hydrogenated 1,4-diazine rings condensed with carbocyclic rings or ring systems
    • C07D241/38Heterocyclic compounds containing 1,4-diazine or hydrogenated 1,4-diazine rings condensed with carbocyclic rings or ring systems with only hydrogen or carbon atoms directly attached to the ring nitrogen atoms
    • C07D241/40Benzopyrazines
    • C07D241/42Benzopyrazines with only hydrogen atoms, hydrocarbon or substituted hydrocarbon radicals, directly attached to carbon atoms of the hetero ring
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D263/00Heterocyclic compounds containing 1,3-oxazole or hydrogenated 1,3-oxazole rings
    • C07D263/52Heterocyclic compounds containing 1,3-oxazole or hydrogenated 1,3-oxazole rings condensed with carbocyclic rings or ring systems
    • C07D263/54Benzoxazoles; Hydrogenated benzoxazoles
    • C07D263/58Benzoxazoles; Hydrogenated benzoxazoles with hetero atoms or with carbon atoms having three bonds to hetero atoms with at the most one bond to halogen, e.g. ester or nitrile radicals, directly attached in position 2
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D277/00Heterocyclic compounds containing 1,3-thiazole or hydrogenated 1,3-thiazole rings
    • C07D277/60Heterocyclic compounds containing 1,3-thiazole or hydrogenated 1,3-thiazole rings condensed with carbocyclic rings or ring systems
    • C07D277/84Naphthothiazoles
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D303/00Compounds containing three-membered rings having one oxygen atom as the only ring hetero atom
    • C07D303/02Compounds containing oxirane rings
    • C07D303/12Compounds containing oxirane rings with hydrocarbon radicals, substituted by singly or doubly bound oxygen atoms
    • C07D303/18Compounds containing oxirane rings with hydrocarbon radicals, substituted by singly or doubly bound oxygen atoms by etherified hydroxyl radicals
    • C07D303/20Ethers with hydroxy compounds containing no oxirane rings
    • C07D303/24Ethers with hydroxy compounds containing no oxirane rings with polyhydroxy compounds
    • C07D303/27Ethers with hydroxy compounds containing no oxirane rings with polyhydroxy compounds having all hydroxyl radicals etherified with oxirane containing compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D317/00Heterocyclic compounds containing five-membered rings having two oxygen atoms as the only ring hetero atoms
    • C07D317/08Heterocyclic compounds containing five-membered rings having two oxygen atoms as the only ring hetero atoms having the hetero atoms in positions 1 and 3
    • C07D317/44Heterocyclic compounds containing five-membered rings having two oxygen atoms as the only ring hetero atoms having the hetero atoms in positions 1 and 3 ortho- or peri-condensed with carbocyclic rings or ring systems
    • C07D317/46Heterocyclic compounds containing five-membered rings having two oxygen atoms as the only ring hetero atoms having the hetero atoms in positions 1 and 3 ortho- or peri-condensed with carbocyclic rings or ring systems condensed with one six-membered ring
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D333/00Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom
    • C07D333/50Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom condensed with carbocyclic rings or ring systems
    • C07D333/52Benzo[b]thiophenes; Hydrogenated benzo[b]thiophenes
    • C07D333/54Benzo[b]thiophenes; Hydrogenated benzo[b]thiophenes with only hydrogen atoms, hydrocarbon or substituted hydrocarbon radicals, directly attached to carbon atoms of the hetero ring
    • C07D333/58Radicals substituted by nitrogen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D401/00Heterocyclic compounds containing two or more hetero rings, having nitrogen atoms as the only ring hetero atoms, at least one ring being a six-membered ring with only one nitrogen atom
    • C07D401/02Heterocyclic compounds containing two or more hetero rings, having nitrogen atoms as the only ring hetero atoms, at least one ring being a six-membered ring with only one nitrogen atom containing two hetero rings
    • C07D401/12Heterocyclic compounds containing two or more hetero rings, having nitrogen atoms as the only ring hetero atoms, at least one ring being a six-membered ring with only one nitrogen atom containing two hetero rings linked by a chain containing hetero atoms as chain links
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D403/00Heterocyclic compounds containing two or more hetero rings, having nitrogen atoms as the only ring hetero atoms, not provided for by group C07D401/00
    • C07D403/02Heterocyclic compounds containing two or more hetero rings, having nitrogen atoms as the only ring hetero atoms, not provided for by group C07D401/00 containing two hetero rings
    • C07D403/12Heterocyclic compounds containing two or more hetero rings, having nitrogen atoms as the only ring hetero atoms, not provided for by group C07D401/00 containing two hetero rings linked by a chain containing hetero atoms as chain links
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D405/00Heterocyclic compounds containing both one or more hetero rings having oxygen atoms as the only ring hetero atoms, and one or more rings having nitrogen as the only ring hetero atom
    • C07D405/02Heterocyclic compounds containing both one or more hetero rings having oxygen atoms as the only ring hetero atoms, and one or more rings having nitrogen as the only ring hetero atom containing two hetero rings
    • C07D405/12Heterocyclic compounds containing both one or more hetero rings having oxygen atoms as the only ring hetero atoms, and one or more rings having nitrogen as the only ring hetero atom containing two hetero rings linked by a chain containing hetero atoms as chain links
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D409/00Heterocyclic compounds containing two or more hetero rings, at least one ring having sulfur atoms as the only ring hetero atoms
    • C07D409/14Heterocyclic compounds containing two or more hetero rings, at least one ring having sulfur atoms as the only ring hetero atoms containing three or more hetero rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D413/00Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and oxygen atoms as the only ring hetero atoms
    • C07D413/14Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and oxygen atoms as the only ring hetero atoms containing three or more hetero rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D417/00Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and sulfur atoms as the only ring hetero atoms, not provided for by group C07D415/00
    • C07D417/02Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and sulfur atoms as the only ring hetero atoms, not provided for by group C07D415/00 containing two hetero rings
    • C07D417/12Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and sulfur atoms as the only ring hetero atoms, not provided for by group C07D415/00 containing two hetero rings linked by a chain containing hetero atoms as chain links
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D417/00Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and sulfur atoms as the only ring hetero atoms, not provided for by group C07D415/00
    • C07D417/14Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and sulfur atoms as the only ring hetero atoms, not provided for by group C07D415/00 containing three or more hetero rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D495/00Heterocyclic compounds containing in the condensed system at least one hetero ring having sulfur atoms as the only ring hetero atoms
    • C07D495/02Heterocyclic compounds containing in the condensed system at least one hetero ring having sulfur atoms as the only ring hetero atoms in which the condensed system contains two hetero rings
    • C07D495/04Ortho-condensed systems
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D513/00Heterocyclic compounds containing in the condensed system at least one hetero ring having nitrogen and sulfur atoms as the only ring hetero atoms, not provided for in groups C07D463/00, C07D477/00 or C07D499/00 - C07D507/00
    • C07D513/02Heterocyclic compounds containing in the condensed system at least one hetero ring having nitrogen and sulfur atoms as the only ring hetero atoms, not provided for in groups C07D463/00, C07D477/00 or C07D499/00 - C07D507/00 in which the condensed system contains two hetero rings
    • C07D513/04Ortho-condensed systems
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F2/00Processes of polymerisation
    • C08F2/46Polymerisation initiated by wave energy or particle radiation
    • C08F2/48Polymerisation initiated by wave energy or particle radiation by ultraviolet or visible light
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F2/00Processes of polymerisation
    • C08F2/46Polymerisation initiated by wave energy or particle radiation
    • C08F2/48Polymerisation initiated by wave energy or particle radiation by ultraviolet or visible light
    • C08F2/50Polymerisation initiated by wave energy or particle radiation by ultraviolet or visible light with sensitising agents
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F20/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride, ester, amide, imide or nitrile thereof
    • C08F20/02Monocarboxylic acids having less than ten carbon atoms, Derivatives thereof
    • C08F20/10Esters
    • C08F20/26Esters containing oxygen in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F22/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals each having only one carbon-to-carbon double bond, and at least one being terminated by a carboxyl radical and containing at least one other carboxyl radical in the molecule; Salts, anhydrides, esters, amides, imides or nitriles thereof
    • C08F22/10Esters
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K19/00Liquid crystal materials
    • C09K19/04Liquid crystal materials characterised by the chemical structure of the liquid crystal components, e.g. by a specific unit
    • C09K19/06Non-steroidal liquid crystal compounds
    • C09K19/08Non-steroidal liquid crystal compounds containing at least two non-condensed rings
    • C09K19/10Non-steroidal liquid crystal compounds containing at least two non-condensed rings containing at least two benzene rings
    • C09K19/20Non-steroidal liquid crystal compounds containing at least two non-condensed rings containing at least two benzene rings linked by a chain containing carbon and oxygen atoms as chain links, e.g. esters or ethers
    • C09K19/2007Non-steroidal liquid crystal compounds containing at least two non-condensed rings containing at least two benzene rings linked by a chain containing carbon and oxygen atoms as chain links, e.g. esters or ethers the chain containing -COO- or -OCO- groups
    • C09K19/2014Non-steroidal liquid crystal compounds containing at least two non-condensed rings containing at least two benzene rings linked by a chain containing carbon and oxygen atoms as chain links, e.g. esters or ethers the chain containing -COO- or -OCO- groups containing additionally a linking group other than -COO- or -OCO-, e.g. -CH2-CH2-, -CH=CH-, -C=C-; containing at least one additional carbon atom in the chain containing -COO- or -OCO- groups, e.g. -(CH2)m-COO-(CH2)n-
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K19/00Liquid crystal materials
    • C09K19/04Liquid crystal materials characterised by the chemical structure of the liquid crystal components, e.g. by a specific unit
    • C09K19/06Non-steroidal liquid crystal compounds
    • C09K19/08Non-steroidal liquid crystal compounds containing at least two non-condensed rings
    • C09K19/30Non-steroidal liquid crystal compounds containing at least two non-condensed rings containing saturated or unsaturated non-aromatic rings, e.g. cyclohexane rings
    • C09K19/3001Cyclohexane rings
    • C09K19/3066Cyclohexane rings in which the rings are linked by a chain containing carbon and oxygen atoms, e.g. esters or ethers
    • C09K19/3068Cyclohexane rings in which the rings are linked by a chain containing carbon and oxygen atoms, e.g. esters or ethers chain containing -COO- or -OCO- groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K19/00Liquid crystal materials
    • C09K19/04Liquid crystal materials characterised by the chemical structure of the liquid crystal components, e.g. by a specific unit
    • C09K19/06Non-steroidal liquid crystal compounds
    • C09K19/32Non-steroidal liquid crystal compounds containing condensed ring systems, i.e. fused, bridged or spiro ring systems
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K19/00Liquid crystal materials
    • C09K19/04Liquid crystal materials characterised by the chemical structure of the liquid crystal components, e.g. by a specific unit
    • C09K19/06Non-steroidal liquid crystal compounds
    • C09K19/32Non-steroidal liquid crystal compounds containing condensed ring systems, i.e. fused, bridged or spiro ring systems
    • C09K19/322Compounds containing a naphthalene ring or a completely or partially hydrogenated naphthalene ring
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K19/00Liquid crystal materials
    • C09K19/04Liquid crystal materials characterised by the chemical structure of the liquid crystal components, e.g. by a specific unit
    • C09K19/06Non-steroidal liquid crystal compounds
    • C09K19/34Non-steroidal liquid crystal compounds containing at least one heterocyclic ring
    • C09K19/3491Non-steroidal liquid crystal compounds containing at least one heterocyclic ring having sulfur as hetero atom
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K19/00Liquid crystal materials
    • C09K19/04Liquid crystal materials characterised by the chemical structure of the liquid crystal components, e.g. by a specific unit
    • C09K19/06Non-steroidal liquid crystal compounds
    • C09K19/34Non-steroidal liquid crystal compounds containing at least one heterocyclic ring
    • C09K19/3491Non-steroidal liquid crystal compounds containing at least one heterocyclic ring having sulfur as hetero atom
    • C09K19/3497Non-steroidal liquid crystal compounds containing at least one heterocyclic ring having sulfur as hetero atom the heterocyclic ring containing sulfur and nitrogen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2602/00Systems containing two condensed rings
    • C07C2602/36Systems containing two condensed rings the rings having more than two atoms in common
    • C07C2602/42Systems containing two condensed rings the rings having more than two atoms in common the bicyclo ring system containing seven carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2603/00Systems containing at least three condensed rings
    • C07C2603/02Ortho- or ortho- and peri-condensed systems
    • C07C2603/04Ortho- or ortho- and peri-condensed systems containing three rings
    • C07C2603/06Ortho- or ortho- and peri-condensed systems containing three rings containing at least one ring with less than six ring members
    • C07C2603/10Ortho- or ortho- and peri-condensed systems containing three rings containing at least one ring with less than six ring members containing five-membered rings
    • C07C2603/12Ortho- or ortho- and peri-condensed systems containing three rings containing at least one ring with less than six ring members containing five-membered rings only one five-membered ring
    • C07C2603/18Fluorenes; Hydrogenated fluorenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2603/00Systems containing at least three condensed rings
    • C07C2603/02Ortho- or ortho- and peri-condensed systems
    • C07C2603/04Ortho- or ortho- and peri-condensed systems containing three rings
    • C07C2603/22Ortho- or ortho- and peri-condensed systems containing three rings containing only six-membered rings
    • C07C2603/24Anthracenes; Hydrogenated anthracenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2603/00Systems containing at least three condensed rings
    • C07C2603/02Ortho- or ortho- and peri-condensed systems
    • C07C2603/04Ortho- or ortho- and peri-condensed systems containing three rings
    • C07C2603/30Ortho- or ortho- and peri-condensed systems containing three rings containing seven-membered rings
    • C07C2603/34Benzoheptalenes; Hydrogenated benzoheptalenes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F222/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a carboxyl radical and containing at least one other carboxyl radical in the molecule; Salts, anhydrides, esters, amides, imides, or nitriles thereof
    • C08F222/10Esters
    • C08F222/1006Esters of polyhydric alcohols or polyhydric phenols
    • C08F222/102Esters of polyhydric alcohols or polyhydric phenols of dialcohols, e.g. ethylene glycol di(meth)acrylate or 1,4-butanediol dimethacrylate
    • C08F222/1025Esters of polyhydric alcohols or polyhydric phenols of dialcohols, e.g. ethylene glycol di(meth)acrylate or 1,4-butanediol dimethacrylate of aromatic dialcohols
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K19/00Liquid crystal materials
    • C09K19/04Liquid crystal materials characterised by the chemical structure of the liquid crystal components, e.g. by a specific unit
    • C09K2019/0444Liquid crystal materials characterised by the chemical structure of the liquid crystal components, e.g. by a specific unit characterized by a linking chain between rings or ring systems, a bridging chain between extensive mesogenic moieties or an end chain group
    • C09K2019/0448Liquid crystal materials characterised by the chemical structure of the liquid crystal components, e.g. by a specific unit characterized by a linking chain between rings or ring systems, a bridging chain between extensive mesogenic moieties or an end chain group the end chain group being a polymerizable end group, e.g. -Sp-P or acrylate
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K19/00Liquid crystal materials
    • C09K19/04Liquid crystal materials characterised by the chemical structure of the liquid crystal components, e.g. by a specific unit
    • C09K19/06Non-steroidal liquid crystal compounds
    • C09K19/08Non-steroidal liquid crystal compounds containing at least two non-condensed rings
    • C09K19/10Non-steroidal liquid crystal compounds containing at least two non-condensed rings containing at least two benzene rings
    • C09K19/20Non-steroidal liquid crystal compounds containing at least two non-condensed rings containing at least two benzene rings linked by a chain containing carbon and oxygen atoms as chain links, e.g. esters or ethers
    • C09K19/2007Non-steroidal liquid crystal compounds containing at least two non-condensed rings containing at least two benzene rings linked by a chain containing carbon and oxygen atoms as chain links, e.g. esters or ethers the chain containing -COO- or -OCO- groups
    • C09K2019/2078Ph-COO-Ph-COO-Ph
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K19/00Liquid crystal materials
    • C09K19/04Liquid crystal materials characterised by the chemical structure of the liquid crystal components, e.g. by a specific unit
    • C09K19/06Non-steroidal liquid crystal compounds
    • C09K19/32Non-steroidal liquid crystal compounds containing condensed ring systems, i.e. fused, bridged or spiro ring systems
    • C09K2019/328Non-steroidal liquid crystal compounds containing condensed ring systems, i.e. fused, bridged or spiro ring systems containing a triphenylene ring system

Definitions

  • the present invention relates to a compound having a polymerizable group, a polymerizable composition containing the compound, a polymerizable liquid crystal composition, and an optical anisotropic body using the polymerizable liquid crystal composition.
  • a compound having a polymerizable group is used in various optical materials.
  • a polymer having a uniform orientation by aligning a polymerizable composition containing a polymerizable compound in a liquid crystal state and then polymerizing it.
  • Such a polymer can be used for polarizing plates, retardation plates and the like necessary for displays.
  • two or more types of polymerization are used to satisfy the required optical properties, polymerization rate, solubility, melting point, glass transition temperature, polymer transparency, mechanical strength, surface hardness, heat resistance and light resistance.
  • a polymerizable composition containing a functional compound is used. In that case, the polymerizable compound to be used is required to bring good physical properties to the polymerizable composition without adversely affecting other properties.
  • the brightness of the screen may be uneven, the color may be unnatural, or the desired optical characteristics may not be obtained.
  • the quality of the product is greatly reduced. Therefore, development of a polymerizable liquid crystal compound having reverse wavelength dispersion or low wavelength dispersion capable of solving such a problem has been demanded.
  • the problem to be solved by the present invention is to provide a polymerizable compound having a high storage stability without causing precipitation of crystals when added to the polymerizable composition, and a polymerizable compound containing the polymerizable compound
  • An object of the present invention is to provide a polymerizable composition in which discoloration or peeling from a substrate does not easily occur when a film-like polymer obtained by polymerizing the composition is irradiated with ultraviolet light. Furthermore, it is providing the polymer obtained by polymerizing the said polymeric composition, and the optical anisotropic body using the said polymer.
  • R 1 and R 2 each independently represents a hydrogen atom or a hydrocarbon group having 1 to 80 carbon atoms, the group may have a substituent, and any carbon atom is An atom may be substituted, but at least one of R 1 and R 2 represents a group containing a polymerizable group;
  • a 1 , A 2 and A 3 are each independently 1,4-phenylene group, 1,4-cyclohexylene group, pyridine-2,5-diyl group, pyrimidine-2,5-diyl group, naphthalene-2, Represents a 6-diyl group, a naphthalene-1,4-diyl group, a tetrahydronaphthalene-2,6-diyl group, a decahydronaphthalene-2,6-diyl group, or a 1,3-dioxane-2,5-diyl group.
  • Z 1 , Z 2 and Z 3 are each independently —O—, —S—, —OCH 2 —, —CH 2 O—, —CH 2 CH 2 —, —CO—, —COO—, —OCO—.
  • W 1 represents an optionally substituted aromatic group having 1 to 80 carbon atoms and / or a non-aromatic group, and the aromatic group is a hydrocarbon ring or a heterocyclic ring.
  • the non-aromatic group may be a hydrocarbon group or a group in which any carbon atom of the hydrocarbon group is substituted with a hetero atom (however, oxygen atoms are not directly bonded to each other).
  • the group may be unsubstituted or substituted by one or more substituents L W ;
  • W 2 is a hydrogen atom, or one —CH 2 — or two or more non-adjacent —CH 2 — are each independently —O—, —S—, —CO—, —COO—, — OCO—, —CO—S—, —S—CO—, —O—CO—O—, —CO—NH—, —NH—CO—, —CH ⁇ CH—COO—, —CH ⁇ CH—OCO—.
  • —COO—CH ⁇ CH—, —OCO—CH ⁇ CH—, —CH ⁇ CH—, —CF ⁇ CF— or —C ⁇ C— which may be substituted by a straight chain having 1 to 20 carbon atoms Or a branched alkyl group, wherein any hydrogen atom in the alkyl group may be substituted with a fluorine atom, or W 2 is an optionally substituted aromatic group having 1 to 80 carbon atoms and / Or represents a group containing a non-aromatic group, the aromatic group may be a hydrocarbon ring or a heterocyclic ring, The aromatic group may be a hydrocarbon group or a group in which any carbon atom of the hydrocarbon group is substituted with a hetero atom (however, oxygen atoms are not directly bonded to each other), and the group is unsubstituted.
  • W 2 may represent a group represented by P W — (Sp W —X W ) kW —, where P 2 W represents a polymerizable group, and Sp W represents a spacer group or a single bond, but when there are a plurality of Sp W, they may be the same or different, and X W represents —O—, —S—.
  • LW is fluorine atom, chlorine atom, bromine atom, iodine atom, pentafluorosulfuranyl group, nitro group, cyano group, isocyano group, amino group, hydroxyl group, mercapto group, methylamino group, dimethylamino group, diethylamino group , A diisopropylamino group, a trimethylsilyl group, a dimethylsilyl group, a thioisocyano group, or one —CH 2 — or two or more non-adjacent —CH 2 — are each independently —O—, —S—, —CO—, —COO—, —OCO—, —CO—S—, —S—CO—, —O—CO—O—, —CO—NH—, —NH—CO—, —CH ⁇ CH—COO.
  • X LW is —O—, —S—, —OCH 2 —, —CH 2 O—, —CO—, —COO—, —OCO—, —CO—S—, —S—CO—.
  • kLW represents an integer of 0 to 10
  • Y is a hydrogen atom, fluorine atom, chlorine atom, bromine atom, iodine atom, pentafluorosulfuranyl group, nitro group, cyano group, isocyano group, amino group, hydroxyl group, mercapto group, methylamino group, dimethylamino group, A diethylamino group, a diisopropylamino group, a trimethylsilyl group, a dimethylsilyl group, a thioisocyano group or one —CH 2
  • atom represents a linear or branched alkyl group of 1 to 20, wherein any hydrogen atom in the alkyl group may be substituted with a fluorine atom, or Y is P Y- (S Y -X Y ) kY -Y may represent a group represented by-, P Y represents a polymerizable group, Sp Y represents a spacer group or a single bond, and when a plurality of Sp Y are present, they may be the same or different.
  • Represents a group selected from L is fluorine atom, chlorine atom, bromine atom, iodine atom, pentafluorosulfuranyl group, nitro group, cyano group, isocyano group, amino group, hydroxyl group, mercapto group, methylamino group, dimethylamino group, diethylamino group, A diisopropylamino group, a trimethylsilyl group, a dimethylsilyl group, a thioisocyano group, or one —CH 2 — or two or more non-adjacent —CH 2 — are each independently —O—, —S—, — CO—, —COO—, —OCO—, —CO—S—, —S—CO—, —O—CO—O—, —CO—NH—, —NH—CO—, —CH ⁇ CH—COO—.
  • Number 1 20 represents a linear or branched alkyl group, and any hydrogen atom in the alkyl group may be substituted with a fluorine atom, or L is P L- (Sp L -X L ) kL-. Wherein P L represents a polymerizable group, and Sp L represents a spacer group or a single bond, but when there are a plurality of Sp L, they may be the same or different.
  • X L may be —O—, —S—, —OCH 2 —, —CH 2 O—, —CO—, —COO—, —OCO—, —CO—S—, —S—CO—, —O—CO—O—, —CO—NH—, —NH—CO—, —SCH 2 —, —CH 2 S—, —CF 2 O—, —OCF 2 —, —CF 2 S—, —SCF 2 —, —CH ⁇ CH—COO—, —CH ⁇ CH—OCO—, —COO—CH ⁇ CH—, —OCO—CH ⁇ C H—, —COO—CH 2 CH 2 —, —OCO—CH 2 CH 2 —, —CH 2 CH 2 —COO—, —CH 2 CH 2 —OCO—, —COO—CH 2 —, —OCO—CH 2 CH 2 —, —CH 2 CH 2 —COO—, —CH
  • KL represents an integer of 0 to 10, but when a plurality of L are present in the compound, they may be the same or different, and the group connecting TM is a single bond. Or a double bond.
  • the present invention provides a polymerizable liquid crystal composition, a polymer obtained by polymerizing the polymerizable liquid crystal composition, and an optical anisotropic body using the polymer.
  • the compound of the present invention has high storage stability when constituting a polymerizable composition, and is useful as a constituent member of the polymerizable composition.
  • the optical anisotropic body using the polymerizable liquid crystal composition containing the compound of the present invention is difficult to cause discoloration or peeling from the substrate when irradiated with ultraviolet light. Useful for material applications.
  • the present invention provides a reverse dispersible compound represented by the general formula (I), together with a polymerizable composition containing the compound, a resin, a resin additive, an oil, a filter, an adhesive using the compound, Adhesives, oils and fats, inks, pharmaceuticals, cosmetics, detergents, building materials, packaging materials, liquid crystal materials, organic EL materials, organic semiconductor materials, electronic materials, display elements, electronic devices, communication equipment, automobile parts, aircraft parts, mechanical parts
  • the present invention provides a pesticide and food, a product using them, a polymerizable liquid crystal composition, a polymer obtained by polymerizing the polymerizable liquid crystal composition, and an optical anisotropic body using the polymer.
  • the film indicates “reverse wavelength dispersion.
  • "Or” reverse dispersibility is commonly referred to by those skilled in the art.
  • a compound constituting a retardation film exhibiting reverse dispersion is called a reverse dispersion compound.
  • R 1 and R 2 may each independently have a hydrogen atom or a substituent, and an arbitrary carbon atom may be substituted with a heteroatom having 1 to 80 carbon atoms Although it represents a hydrocarbon group, it is preferable that at least one of R 1 and R 2 represents a group containing a polymerizable group from the viewpoint of mechanical strength when the film is formed. From the viewpoint of mechanical strength and liquid crystallinity.
  • the groups represented by R 1 and R 2 are each independently represented by the following general formula (IR)
  • P represents a polymerizable group
  • Sp represents a spacer group or a single bond, and when a plurality of Sp are present, they may be the same or different
  • X represents —O—, —S. —, —OCH 2 —, —CH 2 O—, —CO—, —COO—, —OCO—, —CO—S—, —S—CO—, —O—CO—O—, —CO—NH—.
  • these polymerizable groups are polymerized by radical polymerization, radical addition polymerization, cationic polymerization and anionic polymerization.
  • the formula (P-1), formula (P-2), formula (P-3), formula (P-4), formula (P-5), formula (P ⁇ 7), formula (P-11), formula (P-13), formula (P-15) or formula (P-18) are preferred, and formula (P-1), formula (P-2), formula (P-18) P-7), formula (P-11) or formula (P-13) is more preferred, formula (P-1), formula (P-2) or formula (P-3) is more preferred, and formula (P- Particular preference is given to 1) or formula (P-2).
  • Sp represents a spacer group or a single bond, and when a plurality of Sp are present, they may be the same or different.
  • the spacer group may be unsubstituted or may be substituted with one or more of the following substituents L.
  • the spacer group, one -CH 2 - or nonadjacent two or more -CH 2 - are each independently -O -, - COO -, - OCO -, - OCO-O -, - CO It preferably represents an alkylene group having 1 to 20 carbon atoms which may be replaced by —NH—, —NH—CO—, —CH ⁇ CH— or —C ⁇ C—.
  • a plurality of Sps are present from the viewpoint of easy availability of raw materials and ease of synthesis, they may be the same or different from each other, and each independently represents one —CH 2 — or not adjacent 2 It is more preferable that two or more —CH 2 — each independently represents an alkylene group having 1 to 10 carbon atoms or a single bond that may be independently replaced by —O—, —COO—, or —OCO—, each independently And more preferably an alkylene group having 1 to 10 carbon atoms or a single bond, and when there are a plurality of alkylene groups, they may be the same or different and each independently an alkylene group having 1 to 8 carbon atoms. Is particularly preferred.
  • X represents —O—, —S—, —OCH 2 —, —CH 2 O—, —CO—, —COO—, —OCO—, —CO—S—, —S. —CO—, —O—CO—O—, —CO—NH—, —NH—CO—, —SCH 2 —, —CH 2 S—, —CF 2 O—, —OCF 2 —, —CF 2 S —, —SCF 2 —, —CH ⁇ CH—COO—, —CH ⁇ CH—OCO—, —COO—CH ⁇ CH—, —OCO—CH ⁇ CH—, —COO—CH 2 CH 2 —, —OCO -CH 2 CH 2 -, - CH 2 CH 2 -COO -, - CH 2 CH 2 -OCO -, - COO-CH 2 -, - OCO-CH 2 -, - CH 2 -COO
  • k represents an integer of 0 to 10, preferably represents an integer of 0 to 5, more preferably represents an integer of 0 to 2, and particularly preferably represents 1. preferable.
  • R 1 and R 2 may each independently have a hydrogen atom or a substituent, and from 1 carbon atom in which any carbon atom may be substituted with a heteroatom.
  • 80 represents a hydrocarbon group, and at least one of R 1 and R 2 represents a group containing a polymerizable group.
  • R 1 or R 2 represents a group that does not contain a polymerizable group
  • R 1 or R 2 are each independently a hydrogen atom, a fluorine atom, a chlorine atom, a bromine atom, iodine from the viewpoint of liquid crystallinity and ease of synthesis.
  • atom, pentafluorosulfuranyl group, a cyano group, a nitro group, an isocyano group, Chioisoshiano group, or any hydrogen atom in the group may be substituted by a fluorine atom, one -CH 2 - or adjacent
  • Two or more —CH 2 — are each independently —O—, —S—, —CO—, —COO—, —OCO—, —CO—S—, —S—CO—, —O—.
  • R 1 and R 2 are each independently a hydrogen atom, a fluorine atom, a chlorine atom, a cyano group, or one —CH 2 — or two or more non-adjacent —CH 2 —. And more preferably represents a straight-chain or branched alkyl group having 1 to 12 carbon atoms which may be substituted by —O—, —COO—, —OCO—, —O—CO—O—, a hydrogen atom, fluorine It is more preferable to represent an atom, a chlorine atom, a cyano group, or a linear alkyl group having 1 to 12 carbon atoms or a linear alkoxy group, and a linear alkyl group or linear alkoxy group having 1 to 12 carbon atoms. It is particularly preferred to represent.
  • a 1 , A 2 and A 3 are each independently unsubstituted or substituted by one or more of the following substituents L, 1,4-phenylene group, 1,4- Cyclohexylene group, pyridine-2,5-diyl group, pyrimidine-2,5-diyl group, naphthalene-2,6-diyl group, naphthalene-1,4-diyl group, tetrahydronaphthalene-2,6-diyl group, It represents a decahydronaphthalene-2,6-diyl group or a 1,3-dioxane-2,5-diyl group.
  • Substituent L is fluorine atom, chlorine atom, bromine atom, iodine atom, pentafluorosulfuranyl group, nitro group, cyano group, isocyano group, amino group, hydroxyl group, mercapto group, methylamino group, dimethylamino group, diethylamino Group, diisopropylamino group, trimethylsilyl group, dimethylsilyl group, thioisocyano group, or one —CH 2 — or two or more non-adjacent —CH 2 — are each independently —O—, —S—.
  • Carbon atom Represents a linear or branched alkyl group of 1 to 20, wherein any hydrogen atom in the alkyl group may be substituted with a fluorine atom, or L is P L- (Sp L -X L ).
  • kL - may represent a group represented by wherein P L represents a polymerizable group, Sp L each represents a spacer group or a single bond, even they are the same if the Sp L there are multiple X L is —O—, —S—, —OCH 2 —, —CH 2 O—, —CO—, —COO—, —OCO—, —CO—S—, —S—CO.
  • L is a fluorine atom, chlorine atom, pentafluorosulfuranyl group, nitro group, methylamino group, dimethylamino group, diethylamino group, diisopropylamino group, or any hydrogen atom May be substituted with a fluorine atom, and one —CH 2 — or two or more non-adjacent —CH 2 — may each independently represent —O—, —S—, —CO—, —COO—.
  • a straight chain having 1 to 20 carbon atoms which may be substituted by a group selected from: —OCO—, —O—CO—O—, —CH ⁇ CH—, —CF ⁇ CF— or —C ⁇ C—
  • a fluorine atom, a chlorine atom, or an arbitrary hydrogen atom may be substituted with a fluorine atom, and one —CH 2 — or two or more non-adjacent ones may be substituted.
  • -CH 2 - are each independently - More preferably, it represents a linear or branched alkyl group having 1 to 12 carbon atoms which may be substituted with a group selected from-, -COO- or -OCO-, and is a fluorine atom, a chlorine atom, or It is more preferable that an arbitrary hydrogen atom represents a linear or branched alkyl group or alkoxy group having 1 to 12 carbon atoms that may be substituted with a fluorine atom, and includes a fluorine atom, a chlorine atom, or 1 carbon atom. To 8 linear alkyl groups or linear alkoxy groups are particularly preferred.
  • a 1 , A 2 and A 3 are each independently unsubstituted or optionally substituted by one or more substituents L It preferably represents a phenylene group, 1,4-cyclohexylene group or naphthalene-2,6-diyl group, and each independently represents the following formulas (A-1) to (A-11):
  • each group independently represents a group selected from formula (A-1) to formula (A-8), and each independently represents a group selected from formula (A-1). It is particularly preferable to represent a group selected from the formula (A-4).
  • Z 1 , Z 2 and Z 3 are each independently —O—, —S—, —OCH 2 —, —CH 2 O—, —CH 2 CH 2 —, —CO—, — COO—, —OCO—, —CO—S—, —S—CO—, —O—CO—O—, —CO—NH—, —NH—CO—, —OCO—NH—, —NH—COO—.
  • CH 2 -, - COO -, - OCO -, - COO-CH 2 CH 2 -, - OCO-CH 2 CH 2 -, - CH 2 CH 2 -COO -, - CH 2 CH 2 -OCO- or a single bond are more preferable, and it is particularly preferable that each independently represents —COO—, —OCO—, or a single bond.
  • Z 2 is —OCH 2 —, —CH 2 O—, —COO—, —OCO— from the viewpoint of difficulty in peeling from the substrate.
  • M represents a trivalent aromatic group which may be substituted. From the viewpoint of liquid crystallinity of the compound, availability of raw materials, and ease of synthesis, M represents the following formula (M- 1) or formula (M-2)
  • a bond may be present at any position, and any —CH ⁇ may be independently replaced by —N ⁇ .
  • a bond may be present at any position.
  • L M is fluorine atom, chlorine atom, bromine atom, iodine atom, pentafluoro sul Furanyl group, nitro group, cyano group, isocyano group, amino group, hydroxyl group, mercapto group, methylamino group, dimethylamino group, diethylamino group, diisopropylamino group, trimethylsilyl group, dimethylsilyl group, thioisocyano group, or
  • One -CH 2 - or nonadjacent two or more -CH 2 - are each independently -O -, - S -, - CO -, - COO -, - OCO -, - CO-S —, —S—CO—, —O—CO—O—, —CO—NH—, —NH—CO—, —CH ⁇ CH—COO—,
  • any hydrogen atom in the alkyl group may be substituted by a fluorine atom, but, L M is those when there are a plurality of preferably a group selected from may be the same or different.).
  • Examples of the group represented by the above formula (M-1) include the following formula (M-1-1) or formula (M-1-2)
  • M is unsubstituted or one or more of the above-described substituents L M from the viewpoint of difficulty in discoloration and difficulty in peeling from the substrate.
  • a group selected from the above formula (M-1-1), formula (M-1-2), formula (M-2-3), and formula (M-2-4), which may be substituted by And M is an unsubstituted group selected from the above formulas (M-1-1), (M-1-2), (M-2-3), and (M-2-4). It is more preferable that M represents a group selected from the above-mentioned formulas (M-1-1) and (M-1-2) which are unsubstituted.
  • T is the following formula (T-1) or formula (T-2)
  • W 1 represents an optionally substituted aromatic group having 1 to 80 carbon atoms and / or a non-aromatic group, and the aromatic group is a hydrocarbon ring or a heterocyclic ring.
  • the non-aromatic group may be a hydrocarbon group or a group in which any carbon atom of the hydrocarbon group is substituted with a hetero atom (however, oxygen atoms are not directly bonded to each other).
  • the group may be unsubstituted or substituted by one or more substituents L W , where W 2 is a hydrogen atom, or one —CH 2 — or two or more non-adjacent — CH 2 — is independently —O—, —S—, —CO—, —COO—, —OCO—, —CO—S—, —S—CO—, —O—CO—O—, —CO.
  • the aromatic group may be a hydrocarbon ring or a heterocyclic ring
  • the non-aromatic group may be a hydrocarbon group or a group in which any carbon atom of the hydrocarbon group is substituted with a hetero atom.
  • the group may be unsubstituted or substituted by one or more substituents L W , or W 2 may be P W- ( sp W -X W) kW - it may represent a group represented by, this
  • P W represents a polymerizable group
  • Sp W each represents a spacer group or a single bond, they if Sp W there are a plurality may be different even in the same
  • X W is -O -, - S-, —OCH 2 —, —CH 2 O—, —CO—, —COO—, —OCO—, —CO—S—, —S—CO—, —O—CO—O—, —CO—NH —, —
  • KW represents an integer of 0 to 10, but W 1 and W 2 may be combined to form a ring structure
  • LW is fluorine atom, chlorine atom, bromine atom, iodine atom, pentafluorosulfuranyl group, nitro group, cyano group, isocyano group, amino group, hydroxyl group, mercapto group, methylamino group, dimethylamino group, diethylamino group , A diisopropylamino group, a trimethylsilyl group, a dimethylsilyl group, a thioisocyano group, or one —CH 2 — or two or more non-adjacent —CH 2 — are each independently —O—, —S—, —CO—, —COO—, —OCO—, —CO—S—, —S—CO—, —O—CO—O—, —CO—NH—, —NH—CO—, —CH ⁇ CH
  • X LW is —O—, —S—, —OCH 2 —, —CH 2 O—, —CO—, —COO—, —OCO—, —CO—S—, —S—CO—.
  • Y is a hydrogen atom, Fluorine atom, chlorine atom, bromine atom, iodine atom, pentafluorosulfurani Group, a nitro group, a cyano group, isocyano group, an amino group, a hydroxyl group, a mercapto group, methylamino group, dimethylamino group, diethylamino group, diisopropylamino group, trimethylsilyl group, dimethylsilyl group, Chioisoshiano group or one -CH 2 or
  • any hydrogen atom May be substituted by a fluorine atom, or Y is P Y - (Sp Y -X Y ) kY - may represent a group represented by, P Y represents a polymerizable group, Sp Y is a spacer group or When a plurality of Sp Y are present, they may be the same or different, and XY represents —O—, —S—, —OCH 2 —, —CH 2 O—, —CO -, -COO-, -OCO-, -CO-S-, -S-CO-, -O-CO-O-, -CO-NH-, -NH-CO-, -SCH 2- , -CH 2 S—, —CF 2 O—, —OCF 2 —, —CF 2 S—, —SCF 2 —, —CH ⁇ CH—COO—, —CH ⁇ CH—OCO—, —COO—CH ⁇ CH—, — O
  • Y is a hydrogen atom, a fluorine atom, a chlorine atom, a nitro group, a cyano group, or any hydrogen in the group from the viewpoint of liquid crystallinity and ease of synthesis.
  • the atom may be substituted with a fluorine atom, and one —CH 2 — or two or more non-adjacent —CH 2 — may each independently represent —O—, —S—, —CO—, —COO.
  • Y may be any hydrogen atoms in the hydrogen atom or a group substituted by fluorine atoms, one -CH 2 - or nonadjacent two or more -CH 2 - are each independently - More preferably, it represents a linear or branched alkyl group having 1 to 12 carbon atoms which may be substituted by O—, —COO— or —OCO—, and Y represents a hydrogen atom or any hydrogen atom in the group Is more preferably a linear or branched alkyl group having 1 to 12 carbon atoms which may be substituted with a fluorine atom, and Y represents a hydrogen atom or a linear alkyl group having 1 to 12 carbon atoms. More preferably, Y particularly preferably represents a hydrogen atom.
  • W 1 represents an optionally substituted aromatic group having 1 to 80 carbon atoms and / or a non-aromatic group, and the aromatic group may be a hydrocarbon ring or a heterocyclic ring,
  • the non-aromatic group may be a hydrocarbon group or a group in which any carbon atom of the hydrocarbon group is substituted with a hetero atom (however, oxygen atoms are not directly bonded to each other). it may be substituted by unsubstituted or substituted with one or more substituents L W.
  • the aromatic group contained in W 1 is unsubstituted or substituted by one or more of the above-described substituents L W from the viewpoint of availability of raw materials and ease of synthesis. -1) to formula (W-20)
  • the ring structure may have a bond at an arbitrary position, and may form a group in which two or more aromatic groups selected from these groups are linked by a single bond.
  • CH ⁇ may each independently be replaced by —N ⁇
  • —CH 2 — each independently represents —O—, —S—, —NR T — (wherein R T represents a hydrogen atom or a carbon atom) Represents an alkyl group of the number 1 to 20, and may be replaced by —CS— or —CO—, but does not contain an —O—O— bond, and these groups are unsubstituted or include one or more the substituents L W may be substituted.
  • the group represented by the above formula (W-1) is a group represented by the following formula (W-1-1), which may be unsubstituted or substituted by one or more of the above-described substituents L W. (W-1-7)
  • the group represented by the above formula (W-2) is a group represented by the following formula (W-2-1) which may be unsubstituted or substituted by one or more of the above-described substituents L W (W-2-8)
  • these groups may have a bond at an arbitrary position), preferably a group selected from the group represented by the above formula (W-3) is unsubstituted. Or may be substituted by one or more of the above-described substituents L W (Formula (W-3-1) to Formula (W-3-6))
  • the group represented by the above formula (W-4) is a group represented by the following formula (W-4-1), which may be unsubstituted or substituted by one or more of the above-described substituents L W (W-4-9)
  • the group represented by the above formula (W-5) is a group represented by the following formula (W-5-1), which may be unsubstituted or substituted by one or more of the above-described substituents L W. (W-5-13)
  • these groups may have a bond at an arbitrary position, and RT represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms).
  • RT represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms.
  • Examples of the group represented by the above formula (W-6) include those represented by the following formula (W-6-1) which may be unsubstituted or substituted by one or more of the above-described substituents L W. (W-6-12)
  • the group represented by the above formula (W-7) is a group represented by the following formula (W-7-1) which may be unsubstituted or substituted by one or more of the above-described substituents L W. (W-7-8)
  • these groups may have a bond at an arbitrary position, and RT represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms).
  • RT represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms.
  • Examples of the group represented by the above formula (W-8) include those represented by the following formula (W-8-1), which may be unsubstituted or substituted by one or more of the above-described substituents L W. (W-8-19)
  • the group represented by the above formula (W-9) is a group represented by the following formula (W-9-1), which may be unsubstituted or substituted by one or more of the above-described substituents L W (W-9-7)
  • these groups may have a bond at an arbitrary position), preferably a group selected from the group represented by the above formula (W-10) is unsubstituted. Or may be substituted by one or more of the above-described substituents L W below (W-10-1) to (W-10-16)
  • the group represented by the above formula (W-11) is a group represented by the following formula (W-11-1) which may be unsubstituted or substituted by one or more of the above-described substituents L W (W-11-10)
  • the group represented by the above formula (W-12) is a group represented by the following formula (W-12-1), which may be unsubstituted or substituted by one or more of the above-described substituents L W (W-12-4)
  • the group represented by the above formula (W-13) is a group represented by the following formula (W-13-1) which may be unsubstituted or substituted by one or more of the above-described substituents L W (W-13-8)
  • the group represented by the above formula (W-14) is a group represented by the following formula (W-14-1) which may be unsubstituted or substituted by one or more of the above-described substituents L W. (W-14-8)
  • the group represented by the above formula (W-15) is a group represented by the following formula (W-15-1), which may be unsubstituted or substituted by one or more of the above-described substituents L W. (W-15-10)
  • the group represented by the above formula (W-16) is a group represented by the following formula (W-16-1) which may be unsubstituted or substituted by one or more of the above-described substituents L W (W-16-8)
  • these groups may have a bond at an arbitrary position), preferably a group selected from the group represented by the above formula (W-17) is unsubstituted. Or may be substituted by one or more of the above-described substituents L W below (W-17-1) to (W-17-4)
  • the group represented by the above formula (W-18) is a group represented by the following formula (W-18-1) which may be unsubstituted or substituted by one or more of the above-described substituents L W. (W-18-4)
  • these groups may have a bond at an arbitrary position), preferably a group selected from the group represented by the above formula (W-19) is unsubstituted. Or may be substituted by one or more of the above-described substituents L W below (W-19-1) to (W-19-16)
  • the group represented by the above formula (W-20) is a group represented by the following formula (W-20-1), which may be unsubstituted or substituted by one or more of the above-described substituents L W (W-20-4)
  • W 1 is represented by the following formulas (W-7-7-1) to (W-14-7-1):
  • L W1 is a fluorine atom, a chlorine atom, a nitro group, a cyano group, or one —CH 2 — or two or more non-adjacent —CH 2 — are each independently —O—
  • s represents an integer of 0 to 4
  • t represents an integer of 0 to 3. It is more preferable that u represents a group selected from 0 to 2, and W 1 represents the following formula (W-7-7-1) to formula (W-14-7- 1-1)
  • W 1 represents a group selected from the above formula (W-7-7-1-1).
  • W 2 may be a hydrogen atom, or any hydrogen atom in the group may be substituted with a fluorine atom, and one —CH 2 — or two or more non-adjacent —CH 2 — may each independently —O—, —S—, —CO—, —COO—, —OCO—, —CO—S—, —S—CO—, —O—CO—O—, —CO—NH—, —NH—CO —, —CH ⁇ CH—COO—, —CH ⁇ CH—OCO—, —COO—CH ⁇ CH—, —OCO—CH ⁇ CH—, —CH ⁇ CH—, —CF ⁇ CF— or —C ⁇ C Represents a linear or branched alkyl group having 1 to 20 carbon atoms which may be substituted by-, or W 2 is an aromatic group having 1 to 80 carbon atoms which may be substituted and / or non-substituted Represents a group containing an aromatic group
  • W 2 is preferably a hydrogen atom.
  • W 2 may be any hydrogen atom in the group substituted by a fluorine atom, and one —CH 2 — or two or more non-adjacent —CH 2 — are each independently —O—,
  • a linear or branched alkyl group having 1 to 20 carbon atoms which may be substituted by —CO—, —COO— or —OCO—, or represented by P W — (Sp W —X W ) kW — Preferably represents a group.
  • W 2 has 1 to 12 carbon atoms in which one —CH 2 — or two or more non-adjacent —CH 2 — may be each independently substituted by —O—. It is even more preferable to represent a linear alkyl group or a group represented by P W — (Sp W —X W ) kW —.
  • P W (Sp W —X W ) kW —.
  • a preferable structure of P W is the same as that described for P.
  • Sp W preferably represents a spacer group, and from the viewpoint of liquid crystallinity, availability of raw materials, and ease of synthesis, when there are a plurality of them, they may be the same or different, and each independently One —CH 2 — or two or more non-adjacent —CH 2 — are each independently —O—, —S—, —OCH 2 —, —CH 2 O—, —CO—, —COO.
  • Each may independently represent one —CH 2 — or two or more non-adjacent —CH 2 — each independently —O—, —COO—, —OCO—, — More preferably, it represents a linear alkylene group having 1 to 20 carbon atoms which may be replaced by OCO-O-, and when there are a plurality of them, they may be the same or different, and each independently Te one -CH 2 - or two or more -C nonadjacent 2 - It is further preferred that represent are each independently -O- from good 1 -C be replaced in 12 linear alkylene group.
  • Sp W is a carbon in which one —CH 2 — or two or more non-adjacent —CH 2 — may be independently replaced with —O—. It is particularly preferable to represent a linear alkylene group having 1 to 12 atoms.
  • kw preferably represents an integer of 1 to 3 from the viewpoints of liquid crystallinity and availability of raw materials, and particularly preferably 1 from the viewpoint of curing shrinkage when formed into a film.
  • the group directly bonded to the N atom in the group represented by P W — (Sp W —X W ) kW — is preferably —CH 2 — from the viewpoint of ease of synthesis.
  • the group represented by P W- (Sp W -X W ) kW- is from the viewpoint of the stability over time of phase difference and reverse wavelength dispersion and peeling from the substrate when irradiated with ultraviolet light for a long time.
  • kwa represents an integer of 0 to 20 and kwb represents an integer of 1 to 10
  • kwa is more preferably an integer of 2 to 12 and particularly preferably an integer of 2 to 8 from the viewpoint of liquid crystallinity.
  • kwb is more preferably an integer of 1 to 3, and particularly preferably 1 or 2, from the viewpoint of liquid crystallinity.
  • W 2 represents an optionally substituted aromatic group having 1 to 80 carbon atoms and / or a non-aromatic group
  • the preferred structure of W 2 is the same as described for W 1 .
  • W 1 and W 2 may be combined to form a ring structure, in which case the cyclic group represented by —NW 1 W 2 is unsubstituted or substituted with one or more of the above-described substituents.
  • any —CH ⁇ may be independently replaced by —N ⁇ , and —CH 2 — may be independently —O—, —S—, —NR T — (where R T represents a hydrogen atom or an alkyl group having 1 to 20 carbon atoms.), May be replaced by —CS— or —CO—, but does not contain an —O—O— bond, and these groups are may be substituted by unsubstituted or one or more of the aforementioned substituents L W.) preferably represents a group selected from.
  • the group represented by the above formula (W-21) is a group represented by the following formula (W-21-1), which may be unsubstituted or substituted by one or more of the above-described substituents L W (W-21-3)
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-23 represents a group represented by the above formula (W-23).
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • R T represents a group represented by the above formula (W-24).
  • W-24-1 to (W-24-4) which may be substituted or substituted by one or more of the above-described substituents L W
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-27 preferably represents a group represented by the above formula (W-27).
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-28 represents a group represented by the above formula (W-28).
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-29 preferably represents a group represented by the above formula (W-29).
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • R T represents a group represented by the above formula (W-30).
  • W-30 represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-30-6 which are substituted or optionally substituted by one or more of the above-mentioned substituents L W
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-31 represents a group represented by the above formula (W-31).
  • the group represented by the above formula (W-32) is preferably unsubstituted or substituted with one or more of the above-described substituents L W as described below. From formula (W-32-1) to formula (W-32-3)
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-34 represents a group represented by the above formula (W-34).
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-35 represents a group represented by the above formula (W-35).
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-37 represents a group represented by the above formula (W-37).
  • W-37-1 which may be substituted or substituted by one or more of the above-described substituents L W
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • R T represents a group represented by the above formula (W-39).
  • W-39-1) to (W-39-3) which may be substituted or optionally substituted by one or more of the above-described substituents L W
  • the group represented by the above formula (W-40) is unsubstituted or substituted by one or more of the above-described substituents L W as described below.
  • Formula (W-40-1) to Formula (W-40-4) is unsubstituted or substituted by one or more of the above-described substituents L W as described below.
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-41 represents a group represented by the above formula (W-41).
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-42-1 represents a group represented by the above formula (W-42).
  • W-42-1 which may be substituted or substituted by one or more of the above-mentioned substituents L W
  • the cyclic group represented by —NW 1 W 2 may be unsubstituted or substituted with one or more of the above-described substituents L W ( W-21-1), Formula (W-23-2), Formula (W-23-3), Formula (W-23-4), Formula (W-25-2), Formula (W-25-3) ), Formula (W-27-1), Formula (W-27-2), Formula (W-27-3), Formula (W-32-2), Formula (W-32-3), Formula (W -37-1), a formula (W-38-2), a formula (W-38-3), a formula (W-38-4), and a group selected from formula (W-42-1) preferable.
  • W 1 and W 2 may be combined to form a ring structure, in which case the cyclic group represented by ⁇ CW 1 W 2 is unsubstituted or contains one or more of the above-mentioned substituents
  • any —CH ⁇ may be independently replaced by —N ⁇ , and —CH 2 — may be independently —O—, —S—, —NR T — (where R T represents a hydrogen atom or an alkyl group having 1 to 20 carbon atoms.), May be replaced by —CS— or —CO—, but does not contain an —O—O— bond, and these groups are may be substituted by unsubstituted or one or more of the aforementioned substituents L W.) preferably represents a group selected from.
  • the group represented by the above formula (W-43) is a group represented by the following formula (W-43-1) which may be unsubstituted or substituted by one or more of the above-described substituents L W. (W-43-3)
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-45 represents a group represented by the above formula (W-45).
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-46 represents a group represented by the above formula (W-46).
  • the group represented by the above formula (W-47) is unsubstituted or substituted by one or more of the above-described substituents L W as described below.
  • Formula (W-47-1) to Formula (W-47-4) is unsubstituted or substituted by one or more of the above-described substituents L W as described below.
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-48 represents a group represented by the above formula (W-48).
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-49 represents a group represented by the above formula (W-49).
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • R T represents a group represented by the above formula (W-50).
  • W-50-7 which are substituted or optionally substituted by one or more of the above-mentioned substituents L W
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-51 represents a group represented by the above formula (W-51).
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-52 represents a group represented by the above formula (W-52).
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-53 represents a group represented by the above formula (W-53).
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-56 represents a group represented by the above formula (W-56).
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • R T represents a group represented by the above formula (W-57).
  • W-57 represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-57-3 which are substituted or optionally substituted by one or more of the above-mentioned substituents L W
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-59 represents a group represented by the above formula (W-59).
  • W-59-1 which may be substituted or substituted by one or more of the above-mentioned substituents L W
  • the group represented by the above formula (W-60) is preferably a group represented by the following formula (W—) which may be unsubstituted or substituted by one or more of the above-described substituents L W. 60-1) to formula (W-60-6)
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-61 represents a group represented by the above formula (W-61).
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-63 represents a group represented by the above formula (W-63).
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • R T represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms
  • W-64 represents a group represented by the above formula (W-64).
  • W-64-1 which may be substituted or substituted by one or more of the above-mentioned substituents L W
  • LW is a fluorine atom, a chlorine atom, a nitro group, a cyano group, a methylamino group, a dimethylamino group, a diethylamino group, a diisopropylamino group, or a group from the viewpoint of ease of synthesis, availability of raw materials, and liquid crystallinity.
  • Any hydrogen atom therein may be substituted with a fluorine atom, and one —CH 2 — or two or more non-adjacent —CH 2 — are each independently —O—, —S—, 1 to 20 carbon atoms which may be substituted by —CO—, —COO—, —OCO—, —O—CO—O—, —CH ⁇ CH—, —CF ⁇ CF— or —C ⁇ C—.
  • It preferably represents a linear or branched alkyl group, and a fluorine atom, a chlorine atom, a nitro group, a cyano group, a methylamino group, a dimethylamino group, or any hydrogen atom in the group is substituted with a fluorine atom.
  • One -CH 2 -Or two or more non-adjacent —CH 2 — may be each independently substituted by —O—, —S— or —CO—, linear or branched alkyl having 1 to 20 carbon atoms
  • —CH 2 — or two or more non-adjacent —CH 2 — each independently represents a linear alkyl group having 1 to 10 carbon atoms which may be substituted by —O—, Fluorine atom, chlorine atom, nitro group, cyano group, dimethylamino group, or any hydrogen atom in the group may be substituted with fluorine atom, one —CH 2 — or two not adjacent
  • —CH 2 — or two or more non-adjacent —CH 2 — each independently represents a linear alkyl group having 1 to 10 carbon atoms which may be substituted by —O—, Fluorine atom, chlorine atom, nitro group, cyano group, dimethylamino group, or any hydrogen atom in the group may be substituted with fluorine atom, one —CH 2 — or two not adjacent
  • Each of the above -CH 2 - is independent Even more preferably, it represents a linear alkyl group having 1 or 2 carbon atoms which may
  • the total number of ⁇ electrons contained in W 1 and W 2 is preferably 4 to 24 from the viewpoints of wavelength dispersion characteristics, storage stability, liquid crystallinity, and ease of synthesis.
  • R 11 and R 21 are each independently A hydrogen atom, a fluorine atom, a chlorine atom, a cyano group, or a straight-chain alkyl group or straight-chain alkoxy group having 1 to 12 carbon atoms).
  • the compound represented by the general formula (IA) or (IB) is preferable, and the orientation is further improved.
  • a compound represented by the general formula (IA) is preferable, and when importance is placed on reverse wavelength dispersion, a compound represented by the general formula (IB) is preferable.
  • the compound represented by the general formula (IC) is preferable.
  • a 12 represents a group selected from the above formula (A-1), formula (A-3) or formula (A-4), and A 22 and A 32 represent Represents a group represented by the formula (A-2), Z 12 represents —OCH 2 —, —CH 2 O—, —COO— or —OCO—, and R 22 represents a hydrogen atom, a fluorine atom, or a carbon atom.
  • a compound represented by a straight-chain alkyl group or straight-chain alkoxy group having 1 to 12 atoms is more preferred.
  • a 13 and A 23 represent a group represented by the above formula (A-2), and A 33 represents the above formula (A-1) or (A-3). Or a group selected from formula (A-4), Z 23 and Z 33 each represent —OCH 2 —, —CH 2 O—, —COO— or —OCO—, and R 13 represents a hydrogen atom, a fluorine atom, Or a straight-chain alkyl group having 1 to 12 carbon atoms or a straight-chain alkoxy group).
  • a 14 and A 34 represent groups selected from the above formula (A-1), formula (A-3) or formula (A-4), and A 24 represents the above-mentioned groups.
  • a group selected from formulas (A-1) to (A-4), and Z 14 and Z 34 represent —OCH 2 —, —CH 2 O—, —COO—, or —OCO—. More preferred is a compound.
  • the compound of this invention can be manufactured with the following manufacturing methods.
  • (Production method 1) Production of a compound represented by the following formula (S-14)
  • P, Sp, X, L, W 1 and W 2 each independently represent the same as defined in the general formula (I) and the general formula (IR); Independently represents an integer of 0 to 4, t represents an integer of 0 to 3, PG represents a protecting group, and halogen represents a halogen atom or a halogen equivalent.
  • the hydroxyl group of the compound represented by the formula (S-1) is protected by a protecting group (PG).
  • the protecting group (PG) is not particularly limited as long as it can be stably protected until the deprotection step.
  • Specific examples of the protecting group include a tetrahydropyranyl group.
  • a compound represented by the formula (S-4) can be obtained by reacting a compound represented by the formula (S-2) with a compound represented by the formula (S-3).
  • Examples of the reaction include a method of cross-coupling in the presence of a metal catalyst and a base.
  • the metal catalyst include [1,1′-bis (diphenylphosphino) ferrocene] palladium (II) dichloride, palladium (II) acetate, and tetrakis (triphenylphosphine) palladium (0).
  • the base include triethylamine.
  • Reaction conditions include, for example, Metal-Catalyzed Cross-Coupling Reactions (Co-authored by Armin de Meijere, Francois Diedrich, Wiley-VCH), Palladium Reagents and Catalysts: New Persent: 21 Cross-Coupling Reactions: A Practical Guide (Topics in Current Chemistry) (SL Buchwald, K. Fugami, T. Hiyama, M. Kosumi, M. Miura, M. Miura. R.Muci, M.Nomura, E.Shirakawa, K.Tamao al, include the methods described in literature Springer) and the like.
  • the compound represented by the formula (S-6) can be obtained by reacting the compound represented by the formula (S-4) with the compound represented by the formula (S-5).
  • the reaction conditions for example, a method using a condensing agent or a compound represented by the formula (S-5) is converted to an acid chloride, mixed acid anhydride or carboxylic acid anhydride and then represented by the general formula (S-4). The method of making it react with a compound in base presence is mentioned.
  • examples of the condensing agent include N, N′-dicyclohexylcarbodiimide, N, N′-diisopropylcarbodiimide, and 1-ethyl-3- (3-dimethylaminopropyl) carbodiimide hydrochloride.
  • examples of the base include triethylamine and diisopropylethylamine.
  • the compound represented by the formula (S-9) can be obtained by reacting the compound represented by the formula (S-7) with the compound represented by the formula (S-8).
  • Examples of the reaction conditions include the above-mentioned conditions.
  • the compound represented by the formula (S-11) can be obtained by reacting the compound represented by the formula (S-10) with, for example, hydrazine monohydrate.
  • the compound represented by the formula (S-13) can be obtained by reacting the compound represented by the formula (S-11) with a compound represented by the formula (S-12) in the presence of a base.
  • a base include potassium carbonate and cesium carbonate.
  • the compound represented by the formula (S-14) can be obtained by reacting the compound represented by the formula (S-13) with a compound represented by the formula (S-9) in the presence of an acid catalyst.
  • the acid include p-toluenesulfonic acid, pyridinium p-toluenesulfonate, 10-camphorsulfonic acid and the like.
  • P, Sp, X, L, W 1 and W 2 each independently represent the same as defined in the general formula (I) and the general formula (IR); Independently represents an integer of 0 to 4, t represents an integer of 0 to 3, and PG represents a protecting group.
  • the hydroxyl group of the compound represented by the formula (S-15) is protected with a protecting group (PG).
  • the protecting group (PG) is not particularly limited as long as it can be stably protected until the deprotection step.
  • GREEN'S PROTECTIVE GROUPS IN ORGANIC SYNTHESIS (Fourth Edition), PETER GM.
  • Protecting groups (PG) mentioned in WUTS, THEODORA W. GREENE, John Wiley & Sons, Inc., Publication) and the like are preferred.
  • Specific examples of the protecting group include a tetrahydropyranyl group.
  • the compound represented by the formula (S-17) can be obtained by formylating the compound represented by the formula (S-16).
  • Examples of the reaction conditions include a method of reacting with magnesium chloride and paraformaldehyde in the presence of a base.
  • An example of the base is triethylamine.
  • the compound represented by the formula (S-19) can be obtained by reacting the compound represented by the formula (S-17) with the compound represented by the formula (S-18).
  • Examples of the reaction conditions include the conditions described in Production Method 1.
  • a compound represented by the formula (S-22) can be obtained by reacting a compound represented by the formula (S-20) with a compound represented by the formula (S-21).
  • Examples of the reaction conditions include the conditions described in Production Method 1.
  • the compound represented by the formula (S-25) can be obtained by reacting the compound represented by the formula (S-23) with the compound represented by the formula (S-24).
  • Examples of the reaction conditions include the conditions described in Production Method 1.
  • the compound represented by the formula (S-27) can be obtained by reacting the compound represented by the formula (S-26) with, for example, hydrazine monohydrate.
  • the compound represented by the formula (S-28) can be obtained by reacting the compound represented by the formula (S-27) with the compound represented by the formula (S-25).
  • Examples of the reaction conditions include the conditions described in Production Method 1.
  • Reaction conditions other than those described in each step of production method 1 and production method 2 include, for example, an experimental chemistry course (edited by the Chemical Society of Japan, published by Maruzen Co., Ltd.), Organic Synthesis (John Wiley & Sons, Inc., Publication), and Bielstein Handbook of. Organic Chemistry (Beilstein-Institut futer Literatur der Organischen Chemie, & J Wr.Berlin and Heidelberg GmbH & Co.K), Springer-Verlag Berlin and Heidelberg GmbH & Cos. Or SciFinder (Chemical Abstracts Service, American Chemical Society) or Reaxys conditions provided from the online search services (Elsevier Ltd.) and the like.
  • a reaction solvent can be appropriately used in each step.
  • the solvent is not particularly limited as long as it gives the target compound.
  • phase transfer catalyst When the reaction is carried out in an organic solvent and water two-phase system, a phase transfer catalyst can be added.
  • the phase transfer catalyst include benzyltrimethylammonium chloride, polyoxyethylene (20) sorbitan monolaurate [Tween 20], sorbitan monooleate [Span 80], and the like.
  • purification can be performed as necessary in each step.
  • the purification method include chromatography, recrystallization, distillation, sublimation, reprecipitation, adsorption, and liquid separation treatment.
  • a purification agent silica gel, alumina, activated carbon, activated clay, celite, zeolite, mesoporous silica, carbon nanotube, carbon nanohorn, Bincho charcoal, charcoal, graphene, ion exchange resin, acid clay, silicon dioxide, diatomaceous earth, Examples include perlite, cellulose, organic polymer, and porous gel.
  • the compound of the present invention is preferably used in a nematic liquid crystal composition, a smectic liquid crystal composition, a chiral smectic liquid crystal composition, and a cholesteric liquid crystal composition.
  • a compound other than the present invention may be added.
  • polymerizable compounds used by mixing with the polymerizable compound of the present invention include those represented by the general formula (X-11)
  • P 11 , P 12 and P 13 each independently represent a polymerizable group
  • Sp 11 , Sp 12 and Sp 13 each independently represent a single bond or an alkylene group having 1 to 20 carbon atoms.
  • X 11, X 12 and X 13 are each independently —O—, —S—, —OCH 2 —, —CH 2 O—, —CO—, —COO—, —OCO—, —CO—S—, —S—CO.
  • W 11 and W 12 each independently represent a hydrogen atom or a methyl group
  • Sp 14 and Sp 15 each independently represent an alkylene group having 2 to 18 carbon atoms
  • X 14 and X 15 each independently -O-, -COO-, -OCO- or a single bond
  • Z 13 and Z 14 each independently represent -COO- or -OCO-
  • a 15 , A 16 and A 17 each independently And may be unsubstituted or substituted by a fluorine atom, a chlorine atom, a linear or branched alkyl group having 1 to 4 carbon atoms, or a linear or branched alkoxy group having 1 to 4 carbon atoms.
  • 4-phenylene group is preferred, and the following formulas (X-11a-1) to (X-11a-4)
  • W 13 and W 14 each independently represent a hydrogen atom or a methyl group
  • Sp 16 and Sp 17 each independently represent an alkylene group having 2 to 18 carbon atoms. Is mentioned.
  • compounds in which Sp 16 and Sp 17 are each independently an alkylene group having 2 to 8 carbon atoms are particularly preferred.
  • P 14 represents a polymerizable group
  • Sp 18 represents a single bond or an alkylene group having 1 to 20 carbon atoms, but one —CH 2 — or two or more non-adjacent — CH 2 — may be replaced by —O—, —COO—, —OCO—, —O—CO—O—
  • X 16 represents a single bond, —O—, —COO—, or —OCO—.
  • Z 15 represents a single bond, —COO— or —OCO—
  • L 11 is independently a fluorine atom, a chlorine atom, one —CH 2 — or two or more non-adjacent —CH 2 —.
  • —O—, —CO—NH—, —NH—CO—, —CH ⁇ CH—COO—, —CH ⁇ CH—OCO—, —COO—CH ⁇ CH—, —OCO—CH ⁇ CH—, —CH ⁇ CH—, —CF ⁇ CF— or —C ⁇ C— represents a linear or branched alkyl group having 1 to 20 carbon atoms which may be substituted.
  • a polymerizable compound that does not exhibit liquid crystallinity can be added to the extent that the liquid crystallinity of the composition is not significantly impaired.
  • any compound that is recognized as a polymer-forming monomer or polymer-forming oligomer in this technical field can be used without particular limitation. Specific examples include those described in “Photocuring Technology Data Book, Materials (Monomer, Oligomer, Photopolymerization Initiator)” (supervised by Kunihiro Ichimura, Kiyosuke Kato, Technonet).
  • the compound of the present invention can be polymerized without using a photopolymerization initiator, but a photopolymerization initiator may be added depending on the purpose.
  • concentration of the photopolymerization initiator is preferably 0.1% by mass to 15% by mass, more preferably 0.2% by mass to 10% by mass, and 0.4% by mass to 8% by mass with respect to the compound of the present invention. % Is more preferable.
  • the photopolymerization initiator include benzoin ethers, benzophenones, acetophenones, benzyl ketals, and acylphosphine oxides.
  • the photopolymerization initiator examples include 2-methyl-1- (4-methylthiophenyl) -2-morpholinopropan-1-one (IRGACURE 907), benzoic acid [1- [4- (phenylthio) benzoyl] heptylidene] Amino (IRGACURE OXE 01) etc. are mentioned.
  • the thermal polymerization initiator examples include azo compounds and peroxides.
  • Specific examples of the thermal polymerization initiator include 2,2'-azobis (4-methoxy-2,4-dimethylvaleronitrile), 2,2'-azobis (isobutyronitrile) and the like.
  • One type of polymerization initiator may be used, or two or more types of polymerization initiators may be used in combination.
  • a stabilizer can be added to the liquid crystal composition of the present invention in order to improve its storage stability.
  • the stabilizer examples include hydroquinones, hydroquinone monoalkyl ethers, tert-butylcatechols, pyrogallols, thiophenols, nitro compounds, ⁇ -naphthylamines, ⁇ -naphthols, nitroso compounds, and the like. It is done.
  • the addition amount is preferably in the range of 0.005% by mass to 1% by mass, more preferably 0.02% by mass to 0.8% by mass, and 0.03% by mass with respect to the composition. To 0.5% by mass is more preferable.
  • One kind of stabilizer may be used, or two or more kinds of stabilizers may be used in combination. Specific examples of the stabilizer include those represented by formulas (X-13-1) to (X-13-35).
  • n represents an integer of 0 to 20
  • the polymerizable liquid crystal composition containing the compound of the present invention is used for applications such as films, optical elements, functional pigments, pharmaceuticals, cosmetics, coating agents, synthetic resins, Depending on the purpose, metals, metal complexes, dyes, pigments, dyes, fluorescent materials, phosphorescent materials, surfactants, leveling agents, thixotropic agents, gelling agents, polysaccharides, ultraviolet absorbers, infrared absorbers, antioxidants Further, metal oxides such as ion exchange resin and titanium oxide can be added.
  • the polymer obtained by polymerizing the polymerizable liquid crystal composition containing the compound of the present invention can be used for various applications.
  • a polymer obtained by polymerizing a polymerizable liquid crystal composition containing the compound of the present invention without orientation can be used as a light scattering plate, a depolarizing plate, and a moire fringe prevention plate.
  • polymerizing after orientating has optical anisotropy, and is useful.
  • Such an optical anisotropic body includes, for example, a substrate obtained by rubbing a polymerizable liquid crystal composition containing the compound of the present invention with a cloth, a substrate on which an organic thin film is formed, or an alignment film on which SiO 2 is obliquely deposited. It can be produced by polymerizing the polymerizable liquid crystal composition after it is supported on a substrate having it or sandwiched between substrates.
  • Examples of the method for supporting the polymerizable liquid crystal composition on the substrate include spin coating, die coating, extrusion coating, roll coating, wire bar coating, gravure coating, spray coating, dipping, and printing.
  • an organic solvent may be added to the polymerizable liquid crystal composition during coating.
  • the organic solvent hydrocarbon solvents, halogenated hydrocarbon solvents, ether solvents, alcohol solvents, ketone solvents, ester solvents, aprotic solvents and the like can be used.
  • Toluene or hexane as the solvent methylene chloride as the halogenated hydrocarbon solvent, tetrahydrofuran, acetoxy-2-ethoxyethane or propylene glycol monomethyl ether acetate as the ether solvent, methanol, ethanol or alcohol as the alcohol solvent Isopropanol, acetone, methyl ethyl ketone, cyclohexanone, ⁇ -butyl lactone or N-methyl pyrrolidinone as the ketone solvent, ethyl acetate or cellosolve as the ester solvent, and dimethyl as the aprotic solvent It can be mentioned formamide or acetonitrile.
  • the polymerizable liquid crystal composition may be used alone or in combination, and may be appropriately selected in consideration of the vapor pressure and the solubility of the polymerizable liquid crystal composition.
  • a method for volatilizing the added organic solvent natural drying, heat drying, reduced pressure drying, or reduced pressure heat drying can be used.
  • it is also effective to provide an intermediate layer such as a polyimide thin film on the substrate or to add a leveling agent to the polymerizable liquid crystal material.
  • the method of providing an intermediate layer such as a polyimide thin film on a substrate is effective for improving the adhesion between a polymer obtained by polymerizing a polymerizable liquid crystal material and the substrate.
  • Examples of the alignment treatment other than the above include use of flow alignment of liquid crystal material, use of electric field or magnetic field. These orientation means may be used alone or in combination. Furthermore, a photo-alignment method can be used as an alignment treatment method instead of rubbing. As a shape of the substrate, in addition to a flat plate, a curved surface may be included as a constituent part.
  • substrate can be used regardless of an organic material and an inorganic material.
  • organic material used as the substrate material examples include polyethylene terephthalate, polycarbonate, polyimide, polyamide, polymethyl methacrylate, polystyrene, polyvinyl chloride, polytetrafluoroethylene, polychlorotrifluoroethylene, polyarylate, polysulfone, and triacetyl.
  • Cellulose, cellulose, polyetheretherketone and the like can be mentioned, and examples of the inorganic material include silicon, glass and calcite.
  • the polymerizable liquid crystal composition containing the compound of the present invention When the polymerizable liquid crystal composition containing the compound of the present invention is polymerized, it is desirable that the polymerization proceeds rapidly. Therefore, a method of polymerizing by irradiating active energy rays such as ultraviolet rays or electron beams is preferable. When ultraviolet rays are used, a polarized light source or a non-polarized light source may be used. Further, when the polymerization is carried out with the liquid crystal composition sandwiched between two substrates, at least the substrate on the irradiation surface side must have appropriate transparency to the active energy rays.
  • the orientation state of the unpolymerized part is changed by changing conditions such as an electric field, a magnetic field, or temperature, and further irradiation with active energy rays is performed. Then, it is possible to use a means for polymerization. Moreover, it is preferable that the temperature at the time of irradiation exists in the temperature range by which the liquid crystal state of the polymeric liquid crystal composition of this invention is hold
  • the polymerization is carried out at a temperature as close to room temperature as possible from the viewpoint of avoiding unintentional induction of thermal polymerization, that is, typically at a temperature of 25 ° C. It is preferable to make it.
  • the intensity of the active energy ray is preferably 0.1 mW / cm 2 to 2 W / cm 2 . When the intensity is 0.1 mW / cm 2 or less, a great amount of time is required to complete the photopolymerization and the productivity is deteriorated. When the intensity is 2 W / cm 2 or more, the polymerizable liquid crystal compound or the polymerizable liquid crystal is used. There is a risk that the composition will deteriorate.
  • the optical anisotropic body obtained by polymerization can be subjected to heat treatment for the purpose of reducing initial characteristic changes and achieving stable characteristic expression.
  • the heat treatment temperature is preferably in the range of 50 to 250 ° C.
  • the heat treatment time is preferably in the range of 30 seconds to 12 hours.
  • optical anisotropic body manufactured by such a method may be peeled off from the substrate and used alone or without peeling. Further, the obtained optical anisotropic bodies may be laminated or bonded to another substrate for use.
  • a compound represented by the formula (I-5-1) was obtained by the method described in Bioorganic & Medicinal Chemistry Letters, 2005, Vol. 15, No. 6, pp. 1675-1681.
  • To the reaction vessel 2.0 g of the compound represented by the formula (I-5-1) and 20 mL of dichloromethane were added.
  • the reaction vessel was cooled to ⁇ 78 ° C., and 8.2 g of boron tribromide was added dropwise and stirred. After pouring into water, it was diluted with ethyl acetate and washed with brine. Purification was performed by column chromatography (dichloromethane / methanol) to obtain 1.6 g of a compound represented by the formula (I-5-2).
  • a compound represented by the formula (I-6-9) was obtained by the method described in JP2011-207765A. To the reaction vessel, 2.0 g of the compound represented by the formula (I-6-9) and 30 mL of dichloromethane were added. While cooling with ice, 2.1 g of bromine was added and stirred. After usual post-treatment, purification was performed by column chromatography to obtain 2.4 g of a compound represented by the formula (I-6-10).
  • a compound represented by the formula (I-7-2) was obtained by the method described in Macromolecular Chemistry and Physics, 2009, Vol. 210, No. 7, pages 531-541. Under a nitrogen atmosphere, 5.0 g of the compound represented by the formula (I-7-1), 7.1 g of the compound represented by the formula (I-7-2), 9.5 g of triphenylphosphine, and 60 mL of tetrahydrofuran were added. . While cooling with ice, 7.3 g of diisopropyl azodicarboxylate was added and stirred. After usual post-treatment, purification was performed by column chromatography to obtain 8.1 g of a compound represented by the formula (I-7-3).
  • a compound represented by the formula (I-7-16) was obtained by the method described in Synthetic Communications, 2011, Vol. 41, No. 9, pp. 1381-1393. Under a nitrogen atmosphere, 2.0 g of the compound represented by the formula (I-7-16), 2.5 g of the compound represented by the formula (I-7-15), 0.03 g of copper (I) iodide in a reaction vessel. , 30 mL of triethylamine, 90 mL of N, N-dimethylformamide, and 0.1 g of tetrakis (triphenylphosphine) palladium (0) were added and stirred. After usual post-treatment, purification was performed by column chromatography to obtain 2.7 g of a compound represented by the formula (I-7-17).
  • a compound represented by the formula (I-7-21) was obtained by the method described in WO2012-141245A1.
  • a reaction vessel 2.7 g of a compound represented by the formula (I-7-20), 0.5 g of a compound represented by the formula (I-7-21), 0.3 g of ( ⁇ ) -10-camphorsulfonic acid, Tetrahydrofuran 30mL and ethanol 20mL were added and stirred.
  • purification was performed by column chromatography and recrystallization to obtain 2.2 g of a compound represented by the formula (I-7).
  • reaction solution 5.0 g of methyl 6-hydroxy-2-naphthalenecarboxylate, 3.0 g of paraformaldehyde, 20 mL of triethylamine, 3.5 g of magnesium chloride, and 30 mL of acetonitrile were added and stirred.
  • the reaction solution was poured into hydrochloric acid and subjected to usual post-treatment, followed by purification by column chromatography to obtain 4.5 g of a compound represented by the formula (I-8-10).
  • a compound represented by the formula (I-8-17) was obtained by the method described in WO2012-141245A1.
  • a reaction vessel 2.8 g of a compound represented by the formula (I-8-16), 0.7 g of a compound represented by the formula (I-8-17), 0.3 g of ( ⁇ ) -10-camphorsulfonic acid, Tetrahydrofuran 30mL and ethanol 20mL were added and stirred.
  • purification was performed by column chromatography and recrystallization to obtain 2.4 g of a compound represented by the formula (I-8).
  • a compound represented by the formula (I-9-3) was obtained by the method described in European Journal of Organic Chemistry, 2008, No. 10, pages 1797-1801. Under a nitrogen atmosphere, 2.0 g of the compound represented by the formula (I-9-2), 3.0 g of the compound represented by the formula (I-9-3), 2.0 g of potassium carbonate, 20 mL of tetrahydrofuran, Water (20 mL) and tetrakis (triphenylphosphine) palladium (0) (0.1 g) were added and the mixture was heated and stirred. After usual post-treatment, purification was performed by column chromatography to obtain 2.3 g of a compound represented by the formula (I-9-4).
  • a compound represented by the formula (I-9-5) was obtained by the method described in JP2012-240945A. To the reaction vessel were added 2.0 g of the compound represented by the formula (I-9-5), 0.8 g of 3-chloropropanol, 3.7 g of cesium carbonate, and 30 mL of dimethyl sulfoxide, and the mixture was heated and stirred. After usual post-treatment, purification was performed by column chromatography and recrystallization to obtain 1.9 g of a compound represented by the formula (I-9-6).
  • a compound represented by the formula (I-10-1) was obtained by the method described in Organic Letters, 2014, Vol. 16, No. 13, pages 3544-3547. Under a nitrogen atmosphere, 5.0 g of the compound represented by the formula (I-10-1), 2.3 g of pyridine, and 50 mL of dichloromethane were added to the reaction vessel. While cooling with ice, 8.3 g of trifluoromethanesulfonic anhydride was added and stirred. After usual post-treatment, purification was performed by column chromatography to obtain 7.7 g of a compound represented by the formula (I-10-2).
  • a compound represented by the formula (I-10-12) was obtained by the method described in WO2008-010985. Under a nitrogen atmosphere, 3.0 g of the compound represented by the formula (I-10-12), 3.5 g of N-ethyldiisopropylamine, and 30 mL of dichloromethane were added to the reaction vessel. While cooling with ice, 2.1 g of acryloyl chloride was added and stirred. After usual post-treatment, purification was performed by column chromatography to obtain 3.4 g of a compound represented by the formula (I-10-13).
  • a compound represented by the formula (I-112-2) was produced by the method described in JP2010-31223A.
  • a reaction vessel 2.0 g of the compound represented by the formula (I-112-1), 3.4 g of the compound represented by the formula (I-112-2), 0.4 g of N, N-dimethylaminopyridine, 30 mL of dichloromethane was added.
  • 1.3 g of diisopropylcarbodiimide was added dropwise and stirred at room temperature. After the precipitate was filtered, the filtrate was washed with hydrochloric acid, water and brine. Purification was performed by column chromatography (silica gel) and recrystallization to obtain 3.7 g of a compound represented by the formula (I-112-3).
  • Transition temperature (temperature rise 5 ° C./min): C 117 N 220 I 1 H NMR (CDCl 3 ) ⁇ 0.92 (t, 3H), 1.07 (q, 2H), 1.24 to 2.06 (m, 27H), 2.35 (m, 2H), 2.
  • a compound represented by formula (I-113) was obtained in the same manner as described above. Transition temperature (temperature rise 5 ° C./min): C 90 S 156 N 1 H NMR (CDCl 3 ) ⁇ 0.92 (t, 3H), 1.09 (m, 2H), 1.31 (m, 13H), 1.48 (m, 6H), 1.74 (t, 3H), 1.81 (t, 3H), 1.93 (m, 6H), 2.54 (t, 1H), 2.72 (t, 1H), 3.94 (t, 2H), 4.
  • Transition temperature (temperature rise 5 ° C./min): C 64-77 N> 220
  • Transition temperature (temperature rise 5 ° C./min): C 147-156 N 173 I 1 H NMR (CDCl 3 ) ⁇ 0.92 (t, 3H), 1.11 (q, 2H), 1.25 (m, 2H), 1.37-1.55 (m, 9H), 71 (m, 6H), 1.78 (m, 2H), 1.94 (m, 4H), 2.33 (m, 4H), 2.56 (m, 2H), 2.70 (m, 1H) ), 3.72 (s, 3H), 3.94 (t, 2H), 4.17 (t, 2H), 5.82 (dd, 1H), 6.13 (dd, 1H), 6.40.
  • reaction vessel 166.5 g of a compound represented by the formula (I-118-3), 77.4 g of triethylamine, and 830 mL of dichloromethane were added. 55.4 g of acryloyl chloride was added dropwise while cooling with ice, followed by stirring at room temperature for 4 hours. The reaction solution was washed with brine and purified by column chromatography (alumina) to obtain an oily substance. To this was added 35 mL of 10% hydrochloric acid, 580 mL of methanol, and 580 mL of tetrahydrofuran, and the mixture was stirred at room temperature for 2 hours.
  • alumina column chromatography
  • reaction solution was washed with a saturated aqueous sodium hydrogen carbonate solution, water and brine in this order, and the solvent was distilled off. Purification was performed by column chromatography (silica gel) to obtain 116.8 g of a compound represented by the formula (I-118-5).
  • Transition temperature (temperature increase 5 ° C./min, temperature decrease 5 ° C./min): C 101-105 (N 82) I 1 H NMR (CDCl 3 ) ⁇ 0.92 (t, 3H), 1.08-1.91 (m, 26H), 2.06 (d, 2H), 2.24 (d, 2H), 2.
  • the stable storage concentration of the compound to be evaluated was measured.
  • the stable storage concentration was determined by preparing a composition in which the compound to be evaluated was added to the base liquid crystal in 5% increments from 5% to 25%, and after leaving the prepared composition at 17.5 ° C. for 10 weeks, Is defined as the maximum concentration of the compound at which no precipitation occurs.
  • Is defined as the maximum concentration of the compound at which no precipitation occurs.
  • a compound having a large maximum addition concentration has a high stable storage concentration, meaning that no crystal precipitation occurs even after long-term storage.
  • a 120 J sun test was performed at 60 mW / cm 2 and 26 ° C. using a xenon lamp irradiation tester (Sun Test XLS manufactured by Atlas Co., Ltd.) on the 10 films produced. About each obtained film, external appearance, such as discoloration and peeling by visual observation, was evaluated. ⁇ discoloration> The yellow index (YI) was measured for each of the ten films subjected to the sun test. The difference ( ⁇ YI) between the 10 YI average values before the sun test and the 10 YI average values after the sun test was calculated.
  • the yellow index (YI) was calculated by measuring the absorption spectrum of the polymer with JASCO UV / VIS Spectrophotometer V-560 and using the attached color diagnostic program.
  • ⁇ Peeling> The film was divided into an area of 10 squares ⁇ 10 squares, for a total of 100 squares. For each of the 10 films on which the sun test was performed, the squares where peeling occurred were counted, and the ratio (%) of the squares where peeling occurred among all squares (100 squares / 10 sheets). evaluated. The results are shown in the table below.
  • any of the films produced using the compounds of the present invention of Example 45 to Example 66 hardly undergoes discoloration or peeling from the substrate when irradiated with ultraviolet light. Therefore, the compound of the present invention is useful as a constituent member of the polymerizable composition. Moreover, the optical anisotropic body using the polymeric liquid crystal composition containing the compound of this invention is useful for uses, such as an optical film.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Engineering & Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Thiazole And Isothizaole Compounds (AREA)
  • Plural Heterocyclic Compounds (AREA)
  • Liquid Crystal Substances (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

 本発明は、重合性組成物に添加した際に結晶の析出等が起こらず高い保存安定性を有するような重合性化合物を提供する。また、当該重合性化合物を含有する重合性組成物を重合して得られるフィルム状の重合物に対し紫外光を照射した場合に、変色や基材からの剥離が生じにくい重合性組成物を提供することを課題とする。更に、当該重合性組成物を重合させることで得られる重合体及び当該重合体を用いた光学異方体を提供することを課題とする。

Description

重合性化合物及び光学異方体
 本発明は重合性基を有する化合物、当該化合物を含有する重合性組成物、重合性液晶組成物及び当該重合性液晶組成物を用いた光学異方体に関する。
 重合性基を有する化合物(重合性化合物)は種々の光学材料に使用される。例えば、重合性化合物を含む重合性組成物を液晶状態で配列させた後、重合させることにより、均一な配向を有する重合体を作製することが可能である。このような重合体は、ディスプレイに必要な偏光板、位相差板等に使用することができる。多くの場合、要求される光学特性、重合速度、溶解性、融点、ガラス転移温度、重合体の透明性、機械的強度、表面硬度、耐熱性及び耐光性を満たすために、2種類以上の重合性化合物を含む重合性組成物が使用される。その際、使用する重合性化合物には、他の特性に悪影響を及ぼすことなく、重合性組成物に良好な物性をもたらすことが求められる。
 液晶ディスプレイの視野角を向上させるために、位相差フィルムの複屈折率の波長分散性を小さく、若しくは逆にすることが求められている。そのための材料として、逆波長分散性若しくは低波長分散性を有する重合性液晶化合物が種々開発されてきた。しかしながら、それらの重合性化合物は、重合性組成物に添加した場合に結晶の析出が起こり、保存安定性が不十分であった(特許文献2及び特許文献3)。また、重合性組成物を基材に塗布し重合させ得られたフィルム状の重合物に対し紫外光を照射した場合に、変色や基材からの剥離が生じやすい問題があった(特許文献1から特許文献3)。変色や剥離が生じたフィルムを、例えばディスプレイに使用した場合、画面の明るさにムラが生じたり、色味が不自然であったり、目的の光学特性が得られなかったりしてしまい、ディスプレイ製品の品質を大きく低下させてしまう問題がある。そのため、このような問題を解決することができる逆波長分散性若しくは低波長分散性を有する重合性液晶化合物の開発が求められていた。
WO2012-147904A1号公報 WO2014-010325A1号公報 特開2010-031223号公報
 本発明が解決しようとする課題は、重合性組成物に添加した際に結晶の析出等が起こらず高い保存安定性を有するような重合性化合物を提供し、当該重合性化合物を含有する重合性組成物を重合して得られるフィルム状の重合物に対し紫外光を照射した場合に、変色や基材からの剥離が生じにくい重合性組成物を提供することである。更に、当該重合性組成物を重合させることで得られる重合体及び当該重合体を用いた光学異方体を提供することである。
 本発明者らは、上記課題を解決すべく、鋭意研究を行った結果、下記一般式(I)で表される化合物の開発に至った。すなわち、本願発明は一般式(I)
Figure JPOXMLDOC01-appb-C000005
(式中、R及びRは各々独立して水素原子又は炭素原子数1から80の炭化水素基を表すが、当該基は置換基を有していても良く、任意の炭素原子はヘテロ原子に置換されていても良いが、R及びRのうち少なくとも1つが重合性基を含む基を表し、
、A及びAは各々独立して1,4-フェニレン基、1,4-シクロヘキシレン基、ピリジン-2,5-ジイル基、ピリミジン-2,5-ジイル基、ナフタレン-2,6-ジイル基、ナフタレン-1,4-ジイル基、テトラヒドロナフタレン-2,6-ジイル基、デカヒドロナフタレン-2,6-ジイル基又は1,3-ジオキサン-2,5-ジイル基を表すが、これらの基は無置換であるか又は1つ以上の置換基Lによって置換されても良く、
、Z及びZは各々独立して-O-、-S-、-OCH-、-CHO-、-CHCH-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-OCO-NH-、-NH-COO-、-NH-CO-NH-、-NH-O-、-O-NH-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-、-N=CH-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表し、
Mは置換されていても良い3価の芳香族基を表し、
Tは下記の式(T-1)又は式(T-2)
Figure JPOXMLDOC01-appb-C000006
(式中、Wは置換されていても良い炭素原子数1から80の芳香族基及び/又は非芳香族基を含む基を表すが、当該芳香族基は炭化水素環又は複素環であっても良く、当該非芳香族基は炭化水素基又は炭化水素基の任意の炭素原子がヘテロ原子に置換された基であっても良く(但し、酸素原子同士が直接結合することは無い。)、当該基は無置換であるか又は1つ以上の置換基Lによって置換されても良く、
は水素原子、又は、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すが、当該アルキル基中の任意の水素原子はフッ素原子に置換されても良く、若しくは、Wは置換されていても良い炭素原子数1から80の芳香族基及び/又は非芳香族基を含む基を表すが、当該芳香族基は炭化水素環又は複素環であっても良く、当該非芳香族基は炭化水素基又は炭化水素基の任意の炭素原子がヘテロ原子に置換された基であっても良く(但し、酸素原子同士が直接結合することは無い。)、当該基は無置換であるか又は1つ以上の置換基Lによって置換されても良く、若しくは、WはP-(Sp-XkW-で表される基を表しても良く、ここでPは重合性基を表し、Spはスペーサー基又は単結合を表すが、Spが複数存在する場合それらは同一であっても異なっていても良く、Xは-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表すが、Xが複数存在する場合それらは同一であっても異なっていても良く(ただし、P-(Sp-XkW-には-O-O-結合を含まない。)、kWは0から10の整数を表すが、W及びWは一緒になって環構造を形成しても良く、
はフッ素原子、塩素原子、臭素原子、ヨウ素原子、ペンタフルオロスルフラニル基、ニトロ基、シアノ基、イソシアノ基、アミノ基、ヒドロキシル基、メルカプト基、メチルアミノ基、ジメチルアミノ基、ジエチルアミノ基、ジイソプロピルアミノ基、トリメチルシリル基、ジメチルシリル基、チオイソシアノ基、又は、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すが、当該アルキル基中の任意の水素原子はフッ素原子に置換されても良く、若しくは、LはPLW-(SpLW-XLWkLW-で表される基を表しても良く、ここでPLWは重合性基を表し、SpLWはスペーサー基又は単結合を表すが、SpLWが複数存在する場合それらは同一であっても異なっていても良く、XLWは-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表すが、XLWが複数存在する場合それらは同一であっても異なっていても良く(ただし、PLW-(SpLW-XLWkLW-には-O-O-結合を含まない。)、kLWは0から10の整数を表すが、化合物内にLが複数存在する場合それらは同一であっても異なっていても良く、
Yは水素原子、フッ素原子、塩素原子、臭素原子、ヨウ素原子、ペンタフルオロスルフラニル基、ニトロ基、シアノ基、イソシアノ基、アミノ基、ヒドロキシル基、メルカプト基、メチルアミノ基、ジメチルアミノ基、ジエチルアミノ基、ジイソプロピルアミノ基、トリメチルシリル基、ジメチルシリル基、チオイソシアノ基又は1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すが、当該アルキル基中の任意の水素原子はフッ素原子に置換されても良く、若しくはYはP-(S-XkY-で表される基を表しても良く、Pは重合性基を表し、Spはスペーサー基又は単結合を表すが、Spが複数存在する場合それらは同一であっても異なっていても良く、Xは-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表すが、Xが複数存在する場合それらは同一であっても異なっていても良く(ただし、P-(Sp-XkY-には-O-O-結合を含まない。)、kYは0から10の整数を表す。)から選ばれる基を表し、
Lはフッ素原子、塩素原子、臭素原子、ヨウ素原子、ペンタフルオロスルフラニル基、ニトロ基、シアノ基、イソシアノ基、アミノ基、ヒドロキシル基、メルカプト基、メチルアミノ基、ジメチルアミノ基、ジエチルアミノ基、ジイソプロピルアミノ基、トリメチルシリル基、ジメチルシリル基、チオイソシアノ基、又は、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すが、当該アルキル基中の任意の水素原子はフッ素原子に置換されても良く、若しくは、LはP-(Sp-XkL-で表される基を表しても良く、ここでPは重合性基を表し、Spはスペーサー基又は単結合を表すが、Spが複数存在する場合それらは同一であっても異なっていても良く、Xは-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表すが、Xが複数存在する場合それらは同一であっても異なっていても良く(ただし、P-(Sp-XkL-には-O-O-結合を含まない。)、kLは0から10の整数を表すが、化合物内にLが複数存在する場合それらは同一であっても異なっていても良く、T-Mを連結する基は単結合であっても二重結合であっても良い。)で表される化合物を提供し、併せて当該化合物を含有する重合性組成物、当該化合物を用いた樹脂、樹脂添加剤、オイル、フィルター、接着剤、粘着剤、油脂、インキ、医薬品、化粧品、洗剤、建築材料、包装材、液晶材料、有機EL材料、有機半導体材料、電子材料、表示素子、電子デバイス、通信機器、自動車部品、航空機部品、機械部品、農薬及び食品並びにそれらを使用した製品、重合性液晶組成物、当該重合性液晶組成物を重合させることにより得られる重合体及び当該重合体を用いた光学異方体を提供する。
 本願発明の化合物は、重合性組成物を構成した場合に保存安定性が高く、重合性組成物の構成部材として有用である。また、本願発明の化合物を含有する重合性液晶組成物を用いた光学異方体は、紫外光を照射した場合に、変色や基材からの剥離が生じにくいことから、位相差フィルム等の光学材料の用途に有用である。
 本願発明は一般式(I)で表される逆分散性化合物を提供し、併せて当該化合物を含有する重合性組成物、当該化合物を用いた樹脂、樹脂添加剤、オイル、フィルター、接着剤、粘着剤、油脂、インキ、医薬品、化粧品、洗剤、建築材料、包装材、液晶材料、有機EL材料、有機半導体材料、電子材料、表示素子、電子デバイス、通信機器、自動車部品、航空機部品、機械部品、農薬及び食品並びにそれらを使用した製品、重合性液晶組成物、当該重合性液晶組成物を重合させることにより得られる重合体及び当該重合体を用いた光学異方体を提供する。
 位相差フィルムに対する入射光の波長λを横軸に取りその複屈折率Δnを縦軸にプロットしたグラフにおいて、波長λが短くなるほど複屈折率Δnが小さくなる場合、そのフィルムは「逆波長分散性」又は「逆分散性」であると当業者間で一般的に呼ばれている。本発明において、逆分散性を示す位相差フィルムを構成する化合物を逆分散性化合物と呼ぶ。
 一般式(I)においてR及びRは各々独立して水素原子又は置換基を有していても良く、任意の炭素原子がヘテロ原子に置換されていても良い炭素原子数1から80の炭化水素基を表すが、フィルムにした場合の機械的強度の観点から、R及びRのうち少なくとも1つが重合性基を含む基を表すことが好ましく、機械的強度、液晶性の観点からR及びRで表される基が、それぞれ独立して、下記一般式(I-R)
Figure JPOXMLDOC01-appb-C000007
(式中、Pは重合性基を表し、Spはスペーサー基又は単結合を表すが、Spが複数存在する場合それらは同一であっても異なっていても良く、Xは-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表すが、Xが複数存在する場合それらは同一であっても異なっていても良く(ただし、P-(Sp-X)-には-O-O-結合を含まない。)、kは0から10の整数を表す。)で表される基を表すことがより好ましい。
 式(I-R)において、Pは重合性基を表すが、下記の式(P-1)から式(P-20)
Figure JPOXMLDOC01-appb-C000008
から選ばれる基を表すことが好ましく、これらの重合性基はラジカル重合、ラジカル付加重合、カチオン重合及びアニオン重合により重合する。特に重合方法として紫外線重合を行う場合には、式(P-1)、式(P-2)、式(P-3)、式(P-4)、式(P-5)、式(P-7)、式(P-11)、式(P-13)、式(P-15)又は式(P-18)が好ましく、式(P-1)、式(P-2)、式(P-7)、式(P-11)又は式(P-13)がより好ましく、式(P-1)、式(P-2)又は式(P-3)がさらに好ましく、式(P-1)又は式(P-2)が特に好ましい。
 一般式(I-R)において、Spはスペーサー基又は単結合を表すが、Spが複数存在する場合それらは同一であっても異なっていても良い。また、当該スペーサー基は無置換であっても、1つ以上の下記置換基Lによって置換されていても良い。スペーサー基としては、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-COO-、-OCO-、-OCO-O-、-CO-NH-、-NH-CO-、-CH=CH-又は-C≡C-に置き換えられても良い炭素原子数1から20のアルキレン基を表すことが好ましい。Spは原料の入手容易さ及び合成の容易さの観点から複数存在する場合は各々同一であっても異なっていても良く、各々独立して、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-COO-、-OCO-に置き換えられても良い炭素原子数1から10のアルキレン基又は単結合を表すことがより好ましく、各々独立して炭素原子数1から10のアルキレン基又は単結合を表すことがさらに好ましく、複数存在する場合は各々同一であっても異なっていても良く各々独立して炭素原子数1から8のアルキレン基を表すことが特に好ましい。
 一般式(I-R)において、Xは-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表すが、Xが複数存在する場合それらは同一であっても異なっていても良い(ただし、P-(Sp-X)-には-O-O-結合を含まない。)。また、原料の入手容易さ及び合成の容易さの観点から、複数存在する場合は各々同一であっても異なっていても良く、各々独立して-O-、-S-、-OCH-、-CHO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-又は単結合を表すことが好ましく、各々独立して-O-、-OCH-、-CHO-、-COO-、-OCO-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-又は単結合を表すことがより好ましく、複数存在する場合は各々同一であっても異なっていても良く、各々独立して-O-、-COO-、-OCO-又は単結合を表すことが特に好ましい。
 一般式(I-R)において、kは、0から10の整数を表すが、0から5の整数を表すことが好ましく、0から2の整数を表すことがより好ましく、1を表すことが特に好ましい。
 また、一般式(I)においてR及びRは各々独立して水素原子又は置換基を有していても良く、任意の炭素原子がヘテロ原子に置換されていても良い炭素原子数1から80の炭化水素基を表すが、R及びRのうち少なくとも1つが重合性基を含む基を表す。R又はRが重合性基を含まない基を表す場合、液晶性及び合成の容易さの観点からR又はRは各々独立して水素原子、フッ素原子、塩素原子、臭素原子、ヨウ素原子、ペンタフルオロスルフラニル基、シアノ基、ニトロ基、イソシアノ基、チオイソシアノ基、又は、基中の任意の水素原子がフッ素原子に置換されても良く、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すことが好ましい。また、R又はRは各々独立して水素原子、フッ素原子、塩素原子、シアノ基、若しくは、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-COO-、-OCO-、-O-CO-O-によって置換されても良い炭素原子数1から12の直鎖又は分岐アルキル基を表すことがより好ましく、水素原子、フッ素原子、塩素原子、シアノ基、若しくは、炭素原子数1から12の直鎖アルキル基又は直鎖アルコキシ基を表すことがさらに好ましく、炭素原子数1から12の直鎖アルキル基又は直鎖アルコキシ基を表すことが特に好ましい。
 一般式(I)においてA、A及びAは各々独立して無置換であるか又は1つ以上の下記置換基Lによって置換されても良い1,4-フェニレン基、1,4-シクロヘキシレン基、ピリジン-2,5-ジイル基、ピリミジン-2,5-ジイル基、ナフタレン-2,6-ジイル基、ナフタレン-1,4-ジイル基、テトラヒドロナフタレン-2,6-ジイル基、デカヒドロナフタレン-2,6-ジイル基又は1,3-ジオキサン-2,5-ジイル基を表す。
 置換基Lはフッ素原子、塩素原子、臭素原子、ヨウ素原子、ペンタフルオロスルフラニル基、ニトロ基、シアノ基、イソシアノ基、アミノ基、ヒドロキシル基、メルカプト基、メチルアミノ基、ジメチルアミノ基、ジエチルアミノ基、ジイソプロピルアミノ基、トリメチルシリル基、ジメチルシリル基、チオイソシアノ基、又は、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すが、当該アルキル基中の任意の水素原子はフッ素原子に置換されても良く、若しくは、LはP-(Sp-XkL-で表される基を表しても良く、ここでPは重合性基を表し、Spはスペーサー基又は単結合を表すが、Spが複数存在する場合それらは同一であっても異なっていても良く、Xは-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表すが、Xが複数存在する場合それらは同一であっても異なっていても良く(ただし、P-(Sp-XkL-には-O-O-結合を含まない。)、kLは0から10の整数を表すが、化合物内にLが複数存在する場合それらは同一であっても異なっていてもよい。
 液晶性、合成の容易さの観点から、Lはフッ素原子、塩素原子、ペンタフルオロスルフラニル基、ニトロ基、メチルアミノ基、ジメチルアミノ基、ジエチルアミノ基、ジイソプロピルアミノ基、又は、任意の水素原子はフッ素原子に置換されても良く、1個の-CH-又は隣接していない2個以上の-CH-は各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-O-CO-O-、-CH=CH-、-CF=CF-又は-C≡C-から選択される基によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すことが好ましく、フッ素原子、塩素原子、又は、任意の水素原子はフッ素原子に置換されても良く、1個の-CH-又は隣接していない2個以上の-CH-は各々独立して-O-、-COO-又は-OCO-から選択される基によって置換されても良い炭素原子数1から12の直鎖状又は分岐状アルキル基を表すことがより好ましく、フッ素原子、塩素原子、又は、任意の水素原子はフッ素原子に置換されても良い炭素原子数1から12の直鎖状又は分岐状アルキル基若しくはアルコキシ基を表すことがさらに好ましく、フッ素原子、塩素原子、又は、炭素原子数1から8の直鎖アルキル基若しくは直鎖アルコキシ基を表すことが特に好ましい。
 原料の入手容易さ及び合成の容易さの観点から、A、A及びAは各々独立して無置換であるか又は1つ以上の置換基Lによって置換されても良い1,4-フェニレン基、1,4-シクロヘキシレン基、ナフタレン-2,6-ジイル基を表すことが好ましく、各々独立して下記の式(A-1)から式(A-11)
Figure JPOXMLDOC01-appb-C000009
から選ばれる基を表すことがより好ましく、各々独立して式(A-1)から式(A-8)から選ばれる基を表すことがさらに好ましく、各々独立して式(A-1)から式(A-4)から選ばれる基を表すことが特に好ましい。
 一般式(I)においてZ、Z及びZは各々独立して-O-、-S-、-OCH-、-CHO-、-CHCH-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-OCO-NH-、-NH-COO-、-NH-CO-NH-、-NH-O-、-O-NH-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-、-N=CH-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表すが、化合物の液晶性、原料の入手容易さ及び合成の容易さの観点から、各々独立して-OCH-、-CHO-、-COO-、-OCO-、-CFO-、-OCF-、-CHCH-、-CFCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-CH=CH-、-CF=CF-、-C≡C-又は単結合を表すことが好ましく、各々独立して-OCH-、-CHO-、-CHCH-、-COO-、-OCO-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-CH=CH-、-C≡C-又は単結合を表すことがより好ましく、各々独立して-OCH-、-CHO-、-CHCH-、-COO-、-OCO-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-又は単結合を表すことがさらに好ましく、各々独立して-COO-、-OCO-又は単結合を表すことが特に好ましい。
 また、フィルム状の重合物に対し紫外光を照射した場合、基材からの剥離の生じにくさの観点から、Zは-OCH-、-CHO-、-COO-、-OCO-、-CFO-、-OCF-、-CHCH-、-CFCF-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-又は単結合を表すことが好ましく、-OCH-、-CHO-、-COO-、-OCO-、-CHCH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-又は単結合を表すことがより好ましく、-OCH-、-CHO-、-COO-、-OCO-、-CHCH-又は単結合を表すことがさらに好ましく、-COO-、-OCO-、-CHCH-又は単結合を表すことがさらにより好ましく、-COO-、-OCO-又は単結合を表すことが特に好ましい。
 一般式(I)においてMは置換されていても良い3価の芳香族基を表すが、化合物の液晶性、原料の入手容易さ及び合成の容易さの観点からMは下記の式(M-1)又は式(M-2)
Figure JPOXMLDOC01-appb-C000010
(式中、任意の位置に結合手を有して良く、任意の-CH=は各々独立して-N=に置き換えられても良い。ここで、任意の位置に結合手を有して良くとは、例えば、Mは3価の基であることから、任意の位置に結合手を3つ有することを意図する(以下、本発明において、任意の位置に結合手を有して良くとは同様な意味を示す。)。また、これらの基は無置換又は1つ以上の置換基Lによって置換されても良く、Lはフッ素原子、塩素原子、臭素原子、ヨウ素原子、ペンタフルオロスルフラニル基、ニトロ基、シアノ基、イソシアノ基、アミノ基、ヒドロキシル基、メルカプト基、メチルアミノ基、ジメチルアミノ基、ジエチルアミノ基、ジイソプロピルアミノ基、トリメチルシリル基、ジメチルシリル基、チオイソシアノ基、又は、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すが、当該アルキル基中の任意の水素原子はフッ素原子に置換されても良いが、Lが複数存在する場合それらは同一であっても異なっていても良い。)から選ばれる基が好ましい。上記の式(M-1)で表される基としては下記の式(M-1-1)又は式(M-1-2)
Figure JPOXMLDOC01-appb-C000011
(これらの基は無置換又は1つ以上の上述の置換基Lによって置換されても良い。)から選ばれる基が好ましく、上記の式(M-2)で表される基としては下記の式(M-2-1)から式(M-2-4)
Figure JPOXMLDOC01-appb-C000012
(これらの基は無置換又は1つ以上の上述の置換基Lによって置換されても良い。)から選ばれる基が好ましい。また、フィルム状の重合物に対し紫外光を照射した場合、変色の起こりにくさ及び基材からの剥離の生じにくさの観点から、Mは無置換又は1つ以上の上述の置換基Lによって置換されても良い上記の式(M-1-1)、式(M-1-2)、式(M-2-3)、式(M-2-4)から選ばれる基を表すことがより好ましく、Mは無置換の上記の式(M-1-1)、式(M-1-2)、式(M-2-3)、式(M-2-4)から選ばれる基を表すことがさらに好ましく、Mは無置換の上記の式(M-1-1)、式(M-1-2)から選ばれる基を表すことが特に好ましい。
 一般式(I)においてTは下記の式(T-1)又は式(T-2)
Figure JPOXMLDOC01-appb-C000013
(式中、Wは置換されていても良い炭素原子数1から80の芳香族基及び/又は非芳香族基を含む基を表すが、当該芳香族基は炭化水素環又は複素環であっても良く、当該非芳香族基は炭化水素基又は炭化水素基の任意の炭素原子がヘテロ原子に置換された基であっても良く(但し、酸素原子同士が直接結合することは無い。)、当該基は無置換であるか又は1つ以上の置換基Lによって置換されても良く、Wは水素原子、又は、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すが、当該アルキル基中の任意の水素原子はフッ素原子に置換されても良く、若しくは、Wは置換されていても良い炭素原子数1から80の芳香族基及び/又は非芳香族基を含む基を表すが、当該芳香族基は炭化水素環又は複素環であっても良く、当該非芳香族基は炭化水素基又は炭化水素基の任意の炭素原子がヘテロ原子に置換された基であっても良く(但し、酸素原子同士が直接結合することは無い。)、当該基は無置換であるか又は1つ以上の置換基Lによって置換されても良く、若しくは、WはP-(Sp-XkW-で表される基を表しても良く、ここでPは重合性基を表し、Spはスペーサー基又は単結合を表すが、Spが複数存在する場合それらは同一であっても異なっていても良く、Xは-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表すが、Xが複数存在する場合それらは同一であっても異なっていても良く(ただし、P-(Sp-XkW-には-O-O-結合を含まない。)、kWは0から10の整数を表すが、W及びWは一緒になって環構造を形成しても良く、
はフッ素原子、塩素原子、臭素原子、ヨウ素原子、ペンタフルオロスルフラニル基、ニトロ基、シアノ基、イソシアノ基、アミノ基、ヒドロキシル基、メルカプト基、メチルアミノ基、ジメチルアミノ基、ジエチルアミノ基、ジイソプロピルアミノ基、トリメチルシリル基、ジメチルシリル基、チオイソシアノ基、又は、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すが、当該アルキル基中の任意の水素原子はフッ素原子に置換されても良く、若しくは、LはPLW-(SpLW-XLWkLW-で表される基を表しても良く、ここでPLWは重合性基を表し、SpLWはスペーサー基又は単結合を表すが、SpLWが複数存在する場合それらは同一であっても異なっていても良く、XLWは-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表すが、XLWが複数存在する場合それらは同一であっても異なっていても良く(ただし、PLW-(SpLW-XLWkLW-には-O-O-結合を含まない。)、kLWは0から10の整数を表すが、化合物内にLが複数存在する場合それらは同一であっても異なっていても良く、Yは水素原子、フッ素原子、塩素原子、臭素原子、ヨウ素原子、ペンタフルオロスルフラニル基、ニトロ基、シアノ基、イソシアノ基、アミノ基、ヒドロキシル基、メルカプト基、メチルアミノ基、ジメチルアミノ基、ジエチルアミノ基、ジイソプロピルアミノ基、トリメチルシリル基、ジメチルシリル基、チオイソシアノ基又は1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すが、当該アルキル基中の任意の水素原子はフッ素原子に置換されても良く、若しくはYはP-(Sp-XkY-で表される基を表しても良く、Pは重合性基を表し、Spはスペーサー基又は単結合を表すが、Spが複数存在する場合それらは同一であっても異なっていても良く、Xは-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表すが、Xが複数存在する場合それらは同一であっても異なっていても良く(ただし、P-(Sp-XkY-には-O-O-結合を含まない。)、kYは0から10の整数を表す。)から選ばれる基を表す。
 上記の式(T-1)又は式(T-2)において、液晶性及び合成の容易さの観点からYは水素原子、フッ素原子、塩素原子、ニトロ基、シアノ基又は基中の任意の水素原子がフッ素原子に置換されても良く、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基、若しくはP-(Sp-XkY-で表される基を表すことが好ましく、Yは水素原子又は基中の任意の水素原子がフッ素原子に置換されても良く、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-COO-、-OCO-によって置換されても良い炭素原子数1から12の直鎖状又は分岐状アルキル基を表すことがより好ましく、Yは水素原子又は基中の任意の水素原子がフッ素原子に置換されても良い炭素原子数1から12の直鎖状又は分岐状アルキル基を表すことがより好ましく、Yは水素原子又は炭素原子数1から12の直鎖状アルキル基を表すことがさらに好ましく、Yは水素原子を表すことが特に好ましい。
 Wは置換されていても良い炭素原子数1から80の芳香族基及び/又は非芳香族基を含む基を表すが、当該芳香族基は炭化水素環又は複素環であっても良く、当該非芳香族基は炭化水素基又は炭化水素基の任意の炭素原子がヘテロ原子に置換された基であっても良く(但し、酸素原子同士が直接結合することは無い。)、当該基は無置換であるか又は1つ以上の置換基Lによって置換されても良い。Wに含まれる芳香族基は原料の入手容易さ及び合成の容易さの観点から、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-1)から式(W-20)
Figure JPOXMLDOC01-appb-C000014
(式中、環構造には任意の位置に結合手を有して良く、これらの基から選ばれる2つ以上の芳香族基を単結合で連結した基を形成しても良く、任意の-CH=は各々独立して-N=に置き換えられても良く、-CH-は各々独立して-O-、-S-、-NR-(式中、Rは水素原子又は炭素原子数1から20のアルキル基を表す。)、-CS-又は-CO-に置き換えられても良いが、-O-O-結合を含まない。また、これらの基は無置換又は1つ以上の置換基Lによって置換されても良い。)から選ばれる基を表すことが好ましい。上記の式(W-1)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-1-1)から式(W-1-7)
Figure JPOXMLDOC01-appb-C000015
(式中、これらの基は任意の位置に結合手を有していて良く、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-2)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-2-1)から式(W-2-8)
Figure JPOXMLDOC01-appb-C000016
(式中、これらの基は任意の位置に結合手を有していて良い。)から選ばれる基を表すことが好ましく、上記の式(W-3)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-3-1)から式(W-3-6)
Figure JPOXMLDOC01-appb-C000017
(式中、これらの基は任意の位置に結合手を有していて良く、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-4)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-4-1)から式(W-4-9)
Figure JPOXMLDOC01-appb-C000018
(式中、これらの基は任意の位置に結合手を有していて良く、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-5)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-5-1)から式(W-5-13)
Figure JPOXMLDOC01-appb-C000019
(式中、これらの基は任意の位置に結合手を有していて良く、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-6)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-6-1)から式(W-6-12)
Figure JPOXMLDOC01-appb-C000020
(式中、これらの基は任意の位置に結合手を有していて良く、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-7)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-7-1)から式(W-7-8)
Figure JPOXMLDOC01-appb-C000021
(式中、これらの基は任意の位置に結合手を有していて良く、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-8)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-8-1)から式(W-8-19)
Figure JPOXMLDOC01-appb-C000022
(式中、これらの基は任意の位置に結合手を有していて良く、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-9)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-9-1)から式(W-9-7)
Figure JPOXMLDOC01-appb-C000023
(式中、これらの基は任意の位置に結合手を有していて良い。)から選ばれる基を表すことが好ましく、上記の式(W-10)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-10-1)から式(W-10-16)
Figure JPOXMLDOC01-appb-C000024
(式中、これらの基は任意の位置に結合手を有していて良く、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-11)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-11-1)から式(W-11-10)
Figure JPOXMLDOC01-appb-C000025
(式中、これらの基は任意の位置に結合手を有していて良く、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-12)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-12-1)から式(W-12-4)
Figure JPOXMLDOC01-appb-C000026
(式中、これらの基は任意の位置に結合手を有していて良く、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-13)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-13-1)から式(W-13-8)
Figure JPOXMLDOC01-appb-C000027
(式中、これらの基は任意の位置に結合手を有していて良く、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-14)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-14-1)から式(W-14-8)
Figure JPOXMLDOC01-appb-C000028
(式中、これらの基は任意の位置に結合手を有していて良く、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-15)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-15-1)から式(W-15-10)
Figure JPOXMLDOC01-appb-C000029
(式中、これらの基は任意の位置に結合手を有していて良く、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-16)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-16-1)から式(W-16-8)
Figure JPOXMLDOC01-appb-C000030
(式中、これらの基は任意の位置に結合手を有していて良い。)から選ばれる基を表すことが好ましく、上記の式(W-17)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-17-1)から式(W-17-4)
Figure JPOXMLDOC01-appb-C000031
(式中、これらの基は任意の位置に結合手を有していて良く、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-18)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-18-1)から式(W-18-4)
Figure JPOXMLDOC01-appb-C000032
(式中、これらの基は任意の位置に結合手を有していて良い。)から選ばれる基を表すことが好ましく、上記の式(W-19)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-19-1)から式(W-19-16)
Figure JPOXMLDOC01-appb-C000033
(式中、これらの基は任意の位置に結合手を有していて良く、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-20)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-20-1)から式(W-20-4)
Figure JPOXMLDOC01-appb-C000034
(式中、これらの基は任意の位置に結合手を有していて良く、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましい。溶媒への溶解性、液晶性及び逆波長分散性の観点から、Wは下記の式(W-7-7-1)から式(W-14-7-1)
Figure JPOXMLDOC01-appb-C000035
(式中、LW1はフッ素原子、塩素原子、ニトロ基、シアノ基、又は、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-又は-OCO-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すが、当該アルキル基中の任意の水素原子はフッ素原子に置換されても良く、化合物内にLW1が複数存在する場合それらは同一であっても異なっていても良く、sは0から4の整数を表し、tは0から3の整数を表し、uは0から2の整数を表す。)から選ばれる基を表すことがより好ましく、Wは下記の式(W-7-7-1-1)から式(W-14-7-1-1)
Figure JPOXMLDOC01-appb-C000036
から選ばれる基を表すことがさらに好ましく、Wは上記の式(W-7-7-1-1)を表すことが特に好ましい。
 Wは水素原子、又は、基中の任意の水素原子がフッ素原子に置換されても良く、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表し、若しくは、Wは置換されていても良い炭素原子数1から80の芳香族基及び/又は非芳香族基を含む基を表すが、当該芳香族基は炭化水素環又は複素環であっても良く、当該非芳香族基は炭化水素基又は炭化水素基の任意の炭素原子がヘテロ原子に置換された基であっても良く(但し、酸素原子同士が直接結合することは無い。)、当該基は無置換であるか又は1つ以上の置換基Lによって置換されても良く、若しくは、WはP-(Sp-XkW-で表される基を表すが、W及びWは一緒になって環構造を形成しても良い。
 逆分散性及び液晶性を重視する場合には、Wは水素原子であることが好ましい。
 有機溶媒に溶解させ長期間保存した場合の変質の起こりにくさ、組成物に添加し長期間保存した場合の変質の起こりにくさ又はフィルムにした場合の位相差の安定性を重視する場合には、Wは基中の任意の水素原子がフッ素原子に置換されても良く、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-CO-、-COO-、-OCO-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基、若しくは、P-(Sp-XkW-で表される基を表すことが好ましい。前記の基のうち、Wは1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-によって置換されても良い炭素原子数1から12の直鎖状アルキル基、若しくは、P-(Sp-XkW-で表される基を表すことがさらにより好ましい。Pの好ましい構造は、Pについての記載と同様である。Spは、スペーサー基を表すことが好ましく、液晶性、原料の入手容易さ及び合成の容易さの観点から、複数存在する場合は各々同一であっても異なっていても良く、各々独立して1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-N=CH-、-CF=CF-又は-C≡C-に置き換えられても良い炭素原子数1から20のアルキレン基を表すことが好ましく、複数存在する場合は各々同一であっても異なっていても良く、各々独立して1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-COO-、-OCO-、-OCO-O-、に置き換えられても良い炭素原子数1から20の直鎖状アルキレン基を表すことがより好ましく、複数存在する場合は各々同一であっても異なっていても良く、各々独立して1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-に置き換えられても良い炭素原子数1から12の直鎖状アルキレン基を表すことがさらに好ましい。液晶性及び溶媒への溶解性の観点から、Spは1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-に置き換えられても良い炭素原子数1から12の直鎖状アルキレン基を表すことが特に好ましい。Xは原料の入手容易さ及び合成の容易さの観点から、複数存在する場合は各々同一であっても異なっていても良く、各々独立して-O-、-S-、-OCH-、-CHO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-又は単結合を表すことが好ましく、各々独立して-O-、-COO-、-OCO-又は単結合を表すことがより好ましく、単結合を表すことが特に好ましい。kwは液晶性、原料の入手容易さの観点から、1から3の整数を表すことが好ましく、フィルムにした場合の硬化収縮の観点から、1を表すことが特に好ましい。
 P-(Sp-XkW-で表される基中のN原子と直接結合する基は、合成の容易さの観点から、-CH-であることが好ましい。
 P-(Sp-XkW-で表される基は、位相差及び逆波長分散性の経時的安定性及び紫外光を長時間照射した場合の基材からの剥離の観点から、下記の式(Pw-1)、式(Pw-2)又は式(Pw-3)
Figure JPOXMLDOC01-appb-C000037
(式中、kwaは0から20の整数を表し、kwbは1から10の整数を表す。)から選ばれる基を表すことが好ましい。式(Pw-1)において、kwaは液晶性の観点から、2から12の整数を表すことがより好ましく、2から8の整数を表すことが特に好ましい。式(Pw-2)及び式(Pw-3)において、kwbは液晶性の観点から、1から3の整数を表すことがより好ましく、1又は2を表すことが特に好ましい。
 Wが置換されていても良い炭素原子数1から80の芳香族基及び/又は非芳香族基を含む基を表す場合、Wの好ましい構造はWについての記載と同様である。
 また、W及びWは一緒になって環構造を形成しても良いが、その場合、-NWで表される環状基は無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-21)から式(W-42)
Figure JPOXMLDOC01-appb-C000038
(式中、任意の-CH=は各々独立して-N=に置き換えられても良く、-CH-は各々独立して-O-、-S-、-NR-(式中、Rは水素原子又は炭素原子数1から20のアルキル基を表す。)、-CS-又は-CO-に置き換えられても良いが、-O-O-結合を含まない。また、これらの基は無置換又は1つ以上の上述の置換基Lによって置換されても良い。)から選ばれる基を表すことが好ましい。上記の式(W-21)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-21-1)から式(W-21-3)
Figure JPOXMLDOC01-appb-C000039
から選ばれる基を表すことが好ましく、上記の式(W-22)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-22-1)から式(W-22-4)
Figure JPOXMLDOC01-appb-C000040
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-23)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-23-1)から式(W-23-4)
Figure JPOXMLDOC01-appb-C000041
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-24)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-24-1)から式(W-24-4)
Figure JPOXMLDOC01-appb-C000042
から選ばれる基を表すことが好ましく、上記の式(W-25)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-25-1)から式(W-25-3)
Figure JPOXMLDOC01-appb-C000043
から選ばれる基を表すことが好ましく、上記の式(W-26)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-26-1)から式(W-26-4)
Figure JPOXMLDOC01-appb-C000044
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-27)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-27-1)から式(W-27-3)
Figure JPOXMLDOC01-appb-C000045
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-28)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-28-1)から式(W-28-7)
Figure JPOXMLDOC01-appb-C000046
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-29)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-29-1)から式(W-29-4)
Figure JPOXMLDOC01-appb-C000047
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-30)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-30-1)から式(W-30-6)
Figure JPOXMLDOC01-appb-C000048
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-31)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-31-1)から式(W-31-3)
Figure JPOXMLDOC01-appb-C000049
から選ばれる基を表すことが好ましく、上記の式(W-32)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-32-1)から式(W-32-3)
Figure JPOXMLDOC01-appb-C000050
から選ばれる基を表すことが好ましく、上記の式(W-33)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-33-1)から式(W-33-4)
Figure JPOXMLDOC01-appb-C000051
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-34)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-34-1)から式(W-34-5)
Figure JPOXMLDOC01-appb-C000052
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-35)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-35-1)から式(W-35-3)
Figure JPOXMLDOC01-appb-C000053
から選ばれる基を表すことが好ましく、上記の式(W-36)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-36-1)から式(W-36-5)
Figure JPOXMLDOC01-appb-C000054
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-37)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-37-1)
Figure JPOXMLDOC01-appb-C000055
を表すことが好ましく、上記の式(W-38)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-38-1)から式(W-38-6)
Figure JPOXMLDOC01-appb-C000056
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-39)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-39-1)から式(W-39-3)
Figure JPOXMLDOC01-appb-C000057
から選ばれる基を表すことが好ましく、上記の式(W-40)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-40-1)から式(W-40-4)
Figure JPOXMLDOC01-appb-C000058
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-41)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-41-1)から式(W-41-4)
Figure JPOXMLDOC01-appb-C000059
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-42)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-42-1)
Figure JPOXMLDOC01-appb-C000060
を表すことが好ましい。
 原料の入手容易さ及び合成の容易さの観点から、-NWで表される環状基は無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い式(W-21-1)、式(W-23-2)、式(W-23-3)、式(W-23-4)、式(W-25-2)、式(W-25-3)、式(W-27-1)、式(W-27-2)、式(W-27-3)、式(W-32-2)、式(W-32-3)、式(W-37-1)、式(W-38-2)、式(W-38-3)、式(W-38-4)、式(W-42-1)から選ばれる基を表すことがより好ましい。
 また、W及びWは一緒になって環構造を形成しても良いが、その場合、=CWで表される環状基は無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-43)から式(W-64)
Figure JPOXMLDOC01-appb-C000061
(式中、任意の-CH=は各々独立して-N=に置き換えられても良く、-CH-は各々独立して-O-、-S-、-NR-(式中、Rは水素原子又は炭素原子数1から20のアルキル基を表す。)、-CS-又は-CO-に置き換えられても良いが、-O-O-結合を含まない。また、これらの基は無置換又は1つ以上の上述の置換基Lによって置換されても良い。)から選ばれる基を表すことが好ましい。上記の式(W-43)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-43-1)から式(W-43-3)
Figure JPOXMLDOC01-appb-C000062
から選ばれる基を表すことが好ましく、上記の式(W-44)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-44-1)から式(W-44-4)
Figure JPOXMLDOC01-appb-C000063
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-45)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-45-1)から式(W-45-4)
Figure JPOXMLDOC01-appb-C000064
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-46)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-46-1)から式(W-46-4)
Figure JPOXMLDOC01-appb-C000065
から選ばれる基を表すことが好ましく、上記の式(W-47)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-47-1)から式(W-47-4)
Figure JPOXMLDOC01-appb-C000066
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-48)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-48-1)から式(W-48-4)
Figure JPOXMLDOC01-appb-C000067
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-49)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-49-1)から式(W-49-3)
Figure JPOXMLDOC01-appb-C000068
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-50)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-50-1)から式(W-50-7)
Figure JPOXMLDOC01-appb-C000069
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-51)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-51-1)から式(W-51-4)
Figure JPOXMLDOC01-appb-C000070
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-52)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-52-1)から式(W-52-6)
Figure JPOXMLDOC01-appb-C000071
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-53)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-53-1)から式(W-53-3)
Figure JPOXMLDOC01-appb-C000072
から選ばれる基を表すことが好ましく、上記の式(W-54)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-54-1)から式(W-54-3)
Figure JPOXMLDOC01-appb-C000073
から選ばれる基を表すことが好ましく、上記の式(W-55)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-55-1)から式(W-55-8)
Figure JPOXMLDOC01-appb-C000074
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-56)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-56-1)から式(W-56-5)
Figure JPOXMLDOC01-appb-C000075
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-57)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-57-1)から式(W-57-3)
Figure JPOXMLDOC01-appb-C000076
から選ばれる基を表すことが好ましく、上記の式(W-58)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-58-1)から式(W-58-5)
Figure JPOXMLDOC01-appb-C000077
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-59)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-59-1)
Figure JPOXMLDOC01-appb-C000078
を表すことが好ましく、上記の式(W-60)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-60-1)から式(W-60-6)
Figure JPOXMLDOC01-appb-C000079
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-61)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-61-1)から式(W-61-3)
Figure JPOXMLDOC01-appb-C000080
から選ばれる基を表すことが好ましく、上記の式(W-62)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-62-1)から式(W-62-4)
Figure JPOXMLDOC01-appb-C000081
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-63)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-63-1)から式(W-63-4)
Figure JPOXMLDOC01-appb-C000082
(式中、Rは水素原子又は炭素原子数1から8のアルキル基を表す。)から選ばれる基を表すことが好ましく、上記の式(W-64)で表される基としては、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い下記の式(W-64-1)
Figure JPOXMLDOC01-appb-C000083
を表すことが好ましい。
 原料の入手容易さ及び合成の容易さの観点から、=CWで表される環状基は無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い式(W-44-2)、式(W-44-3)、式(W-45-2)、式(W-45-3)、式(W-47-3)、式(W-47-4)、式(W-59-1)、式(W-60-2)、式(W-60-3)、式(W-60-4)、式(W-64-1)から選ばれる基を表すことがより好ましく、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い式(W-59-1)、式(W-64-1)から選ばれる基を表すことがさらに好ましく、無置換であるか又は1つ以上の上述の置換基Lによって置換されても良い式(W-59-1)で表される基を表すことがさらにより好ましい。
 Lは合成の容易さ、原料の入手容易さ、液晶性の観点から、フッ素原子、塩素原子、ニトロ基、シアノ基、メチルアミノ基、ジメチルアミノ基、ジエチルアミノ基、ジイソプロピルアミノ基、又は、基中の任意の水素原子がフッ素原子に置換されていても良く、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-O-CO-O-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すことが好ましく、フッ素原子、塩素原子、ニトロ基、シアノ基、メチルアミノ基、ジメチルアミノ基、又は、基中の任意の水素原子がフッ素原子に置換されていても良く、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-又は-CO-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すことが好ましく、フッ素原子、塩素原子、ニトロ基、シアノ基、メチルアミノ基、ジメチルアミノ基、又は、基中の任意の水素原子がフッ素原子に置換されていても良く、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-によって置換されても良い炭素原子数1から10の直鎖状アルキル基を表すことがより好ましく、フッ素原子、塩素原子、ニトロ基、シアノ基、ジメチルアミノ基、又は、基中の任意の水素原子がフッ素原子に置換されていても良く、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-によって置換されても良い炭素原子数1又は2の直鎖状アルキル基を表すことがさらにより好ましい。
 W及びWに含まれるπ電子の総数は、波長分散特性、保存安定性、液晶性及び合成の容易さの観点から4から24であることが好ましい。
 一般式(I)で表される化合物としてより具体的には、下記の一般式(I-A)から一般式(I-C)
Figure JPOXMLDOC01-appb-C000084
(式中、P及びPは一般式(I)におけるPと同じ意味を表し、Sp及びSpは一般式(I)におけるSpと同じ意味を表し、X及びXは一般式(I)におけるXと同じ意味を表し、k1及びk2は一般式(I)におけるkと同じ意味を表し、T及びMは各々一般式(I)におけるT及びMと同じ意味を表し、A11、A21及びA31は各々独立して上記の式(A-1)から式(A-8)から選ばれる基を表し、Z11、Z21及びZ31は各々独立して-OCH-、-CHO-、-CHCH-、-COO-、-OCO-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-又は単結合を表し、R11及びR21は各々独立して水素原子、フッ素原子、塩素原子、シアノ基、若しくは、炭素原子数1から12の直鎖アルキル基又は直鎖アルコキシ基を表す。)から選ばれる化合物が好ましい。
 化合物の溶解性及びフィルムにした場合の硬化収縮の起こりにくさを重視する場合には前記一般式(I-A)又は一般式(I-B)で表される化合物が好ましく、さらに配向性を重視する場合には一般式(I-A)で表される化合物が好ましく、さらに逆波長分散性を重視する場合には一般式(I-B)で表される化合物が好ましい。
 フィルムにした場合の位相差の安定性を重視する場合には、前記一般式(I-C)で表される化合物が好ましい。
 一般式(I-A)で表される化合物としてさらに具体的には、下記の一般式(I-A-1)
Figure JPOXMLDOC01-appb-C000085
(式中、P、Sp、X及びk1は各々一般式(I-A)におけるP、Sp、X及びk1と同じ意味を表し、T及びMは各々一般式(I)におけるT及びMと同じ意味を表し、A12は上記の式(A-1)、式(A-3)又は式(A-4)から選ばれる基を表し、A22及びA32は上記の式(A-2)で表される基を表し、Z12は-OCH-、-CHO-、-COO-又は-OCO-を表し、R22は水素原子、フッ素原子、若しくは、炭素原子数1から12の直鎖アルキル基又は直鎖アルコキシ基を表す。)で表される化合物がより好ましい。
 一般式(I-B)で表される化合物としてさらに具体的には、下記の一般式(I-B-1)
Figure JPOXMLDOC01-appb-C000086
(式中、P、Sp、X及びk2は各々一般式(I-B)におけるP、Sp、X及びk2と同じ意味を表し、T及びMは各々一般式(I)におけるT及びMと同じ意味を表し、A13及びA23は上記の式(A-2)で表される基を表し、A33は上記の式(A-1)、式(A-3)又は式(A-4)から選ばれる基を表し、Z23及びZ33は-OCH-、-CHO-、-COO-又は-OCO-を表し、R13は水素原子、フッ素原子、若しくは、炭素原子数1から12の直鎖アルキル基又は直鎖アルコキシ基を表す。)で表される化合物がより好ましい。
 一般式(I-C)で表される化合物としてさらに具体的には、下記の一般式(I-C-1)
Figure JPOXMLDOC01-appb-C000087
(式中、P、Sp、X及びk1は各々一般式(I-A)におけるP、Sp、X及びk1と同じ意味を表し、T及びMは各々一般式(I)におけるT及びMと同じ意味を表し、A14及びA34は上記の式(A-1)、式(A-3)又は式(A-4)から選ばれる基を表し、A24は上記の式(A-1)から式(A-4)から選ばれる基を表し、Z14及びZ34は-OCH-、-CHO-、-COO-又は-OCO-を表す。)で表される化合物がより好ましい。
 一般式(I)で表される化合物として具体的には、下記の式(I-1)から式(I-133)で表される化合物が好ましい。
Figure JPOXMLDOC01-appb-C000088
Figure JPOXMLDOC01-appb-C000089
Figure JPOXMLDOC01-appb-C000090
Figure JPOXMLDOC01-appb-C000091
Figure JPOXMLDOC01-appb-C000092
Figure JPOXMLDOC01-appb-C000093
Figure JPOXMLDOC01-appb-C000094
Figure JPOXMLDOC01-appb-C000095
Figure JPOXMLDOC01-appb-C000096
Figure JPOXMLDOC01-appb-C000097
Figure JPOXMLDOC01-appb-C000098
Figure JPOXMLDOC01-appb-C000099
Figure JPOXMLDOC01-appb-C000100
Figure JPOXMLDOC01-appb-C000101
Figure JPOXMLDOC01-appb-C000102
Figure JPOXMLDOC01-appb-C000103
Figure JPOXMLDOC01-appb-C000104
Figure JPOXMLDOC01-appb-C000105
Figure JPOXMLDOC01-appb-C000106
Figure JPOXMLDOC01-appb-C000107
Figure JPOXMLDOC01-appb-C000108
Figure JPOXMLDOC01-appb-C000109
Figure JPOXMLDOC01-appb-C000110
Figure JPOXMLDOC01-appb-C000111
Figure JPOXMLDOC01-appb-C000112
Figure JPOXMLDOC01-appb-C000113
Figure JPOXMLDOC01-appb-C000114
 本願発明の化合物は以下の製法で製造することができる。
(製法1)下記式(S-14)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000115
(式中、P、Sp、X、L、W、Wは各々独立して一般式(I)及び一般式(I-R)で定義されたものと同一のものを表し、sは各々独立して0から4の整数を表し、tは0から3の整数を表し、PGは保護基を表し、halogenはハロゲン原子又はハロゲン等価体を表す。)
 式(S-1)で表される化合物のヒドロキシル基を保護基(PG)によって保護する。保護基(PG)としては、脱保護工程に至るまで安定に保護しうるものであれば特に制限は無いが、例えば、GREENE’S PROTECTIVE GROUPS IN ORGANIC SYNTHESIS((Fourth Edition)、PETER G.M.WUTS、THEODORA W.GREENE共著、John Wiley & Sons,Inc.,Publication)等に挙げられている保護基(PG)が好ましい。保護基の具体例としてはテトラヒドロピラニル基が挙げられる。
 式(S-2)で表される化合物を式(S-3)で表される化合物と反応させることによって、式(S-4)で表される化合物を得ることができる。反応例として例えば金属触媒及び塩基存在下、クロスカップリングさせる方法が挙げられる。金属触媒としては例えば、[1,1’-ビス(ジフェニルホスフィノ)フェロセン]パラジウム(II)ジクロリド、酢酸パラジウム(II)、テトラキス(トリフェニルホスフィン)パラジウム(0)が挙げられる。塩基としては例えばトリエチルアミン等が挙げられる。反応条件としては例えばMetal-Catalyzed Cross-Coupling Reactions(Armin de Meijere、Francois Diedrich共著、Wiley-VCH)、Palladium Reagents and Catalysts:New Perspectives for the 21st Century(Jiro Tsuji著、Wiley & Sons,Ltd.)、Cross-Coupling Reactions:A Practical Guide(Topics in Current Chemistry)(S.L.Buchwald、K.Fugami、T.Hiyama、M.Kosugi、M.Miura、N.Miyaura、A.R.Muci、M.Nomura、E.Shirakawa、K.Tamao著、Springer)等の文献に記載の方法が挙げられる。
 式(S-4)で表される化合物を式(S-5)で表される化合物と反応させることによって、式(S-6)で表される化合物を得ることができる。反応条件としては例えば縮合剤を用いる方法若しくは式(S-5)で表される化合物を酸クロリド、混合酸無水物又はカルボン酸無水物とした後、一般式(S-4)で表される化合物と塩基存在下反応させる方法が挙げられる。縮合剤を用いる場合、縮合剤として例えばN,N’-ジシクロヘキシルカルボジイミド、N,N’-ジイソプロピルカルボジイミド、1-エチル-3-(3-ジメチルアミノプロピル)カルボジイミド塩酸塩が挙げられる。塩基としては例えばトリエチルアミン、ジイソプロピルエチルアミン等が挙げられる。
 式(S-6)で表される化合物の保護基(PG)を脱保護する。脱保護の反応条件としては、式(S-7)で表される化合物を与えるものであれば特に制限は無いが、前記文献に挙げられているものが好ましい。
 式(S-7)で表される化合物を式(S-8)で表される化合物と反応させることによって、式(S-9)で表される化合物を得ることができる。反応条件としては例えば前記の条件が挙げられる。
 式(S-10)で表される化合物を例えばヒドラジン一水和物と反応させることによって、式(S-11)で表される化合物を得ることができる。
 式(S-11)で表される化合物を塩基存在下、式(S-12)で表される化合物と反応させることによって、式(S-13)で表される化合物を得ることができる。塩基としては例えば炭酸カリウム、炭酸セシウム等が挙げられる。
 式(S-13)で表される化合物を酸触媒存在下、式(S-9)で表される化合物と反応させることによって、式(S-14)で表される化合物を得ることができる。酸としては例えばp-トルエンスルホン酸、p-トルエンスルホン酸ピリジニウム、10-カンファースルホン酸等が挙げられる。
(製法2)下記式(S-28)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000116
(式中、P、Sp、X、L、W、Wは各々独立して一般式(I)及び一般式(I-R)で定義されたものと同一のものを表し、sは各々独立して0から4の整数を表し、tは0から3の整数を表し、PGは保護基を表す。)
 式(S-15)で表される化合物のヒドロキシル基を保護基(PG)によって保護する。保護基(PG)としては、脱保護工程に至るまで安定に保護しうるものであれば特に制限は無いが、例えば、GREENE’S PROTECTIVE GROUPS IN ORGANIC SYNTHESIS((Fourth Edition)、PETER G.M.WUTS、THEODORA W.GREENE共著、John Wiley & Sons,Inc.,Publication)等に挙げられている保護基(PG)が好ましい。保護基の具体例としてはテトラヒドロピラニル基が挙げられる。
 式(S-16)で表される化合物をホルミル化することによって、式(S-17)で表される化合物を得ることができる。反応条件として例えば塩化マグネシウム及び塩基存在下パラホルムアルデヒドと反応させる方法が挙げられる。塩基としては例えばトリエチルアミンが挙げられる。
 式(S-17)で表される化合物を式(S-18)で表される化合物と反応させることによって、式(S-19)で表される化合物を得ることができる。反応条件としては例えば製法1記載の条件が挙げられる。
 式(S-19)で表される化合物の保護基(PG)を脱保護する。脱保護の反応条件としては、式(S-20)で表される化合物を与えるものであれば特に制限は無いが、製法1記載の文献に挙げられているものが好ましい。
 式(S-20)で表される化合物を式(S-21)で表される化合物と反応させることによって、式(S-22)で表される化合物を得ることができる。反応条件としては例えば製法1記載の条件が挙げられる。
 式(S-22)で表される化合物の保護基(PG)を脱保護する。脱保護の反応条件としては、式(S-23)で表される化合物を与えるものであれば特に制限は無いが、製法1記載の文献に挙げられているものが好ましい。
 式(S-23)で表される化合物を式(S-24)で表される化合物と反応させることによって、式(S-25)で表される化合物を得ることができる。反応条件としては例えば製法1記載の条件が挙げられる。
 式(S-26)で表される化合物を例えばヒドラジン一水和物と反応させることによって、式(S-27)で表される化合物を得ることができる。
 式(S-27)で表される化合物を式(S-25)で表される化合物と反応させることによって、式(S-28)で表される化合物を得ることができる。反応条件としては例えば製法1記載の条件が挙げられる。
 製法1及び製法2の各工程において記載した以外の反応条件として、例えば実験化学講座(日本化学会編、丸善株式会社発行)、Organic Syntheses(John Wiley & Sons,Inc.,Publication)、Beilstein Handbook of Organic Chemistry(Beilstein-Institut fuer Literatur der Organischen Chemie、Springer-Verlag Berlin and Heidelberg GmbH & Co.K)、Fiesers’ Reagents for Organic Synthesis(John Wiley & Sons,Inc.)等の文献に記載の条件又はSciFinder(Chemical Abstracts Service,American Chemical Society)又はReaxys(Elsevier Ltd.)等のオンライン検索サービスから提供される条件が挙げられる。
 また、各工程において適宜反応溶媒を用いることができる。溶媒としては目的の化合物を与えるものであれば制限は無いが、例えばtert-ブチルアルコール、イソブチルアルコール、イソプロピルアルコール、イソペンチルアルコール、シクロヘキサノール、1-ブタノール、2-ブタノール、1-オクタノール、2-メトキシエタノール、エチレングリコール、ジエチレングリコール、メタノール、メチルシクロヘキサノール、エタノール、プロパノール、クロロホルム、四塩化炭素、ジクロロメタン、1,2-ジクロロエタン、1,2-ジクロロエチレン、1,1,2,2-テトラクロロエタン、トリクロロエチレン、1-クロロブタン、二硫化炭素、アセトン、アセトニトリル、ベンゾニトリル、N,N-ジメチルホルムアミド、N,N-ジメチルアセトアミド、ジメチルスルホキシド、1,3-ジメチル-2-イミダゾリジノン、ジエチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノブチルエーテル、エチレングリコールモノメチルエーテル、ジエチレングリコールジエチルエーテル、o-ジクロロベンゼン、キシレン、o-キシレン、p-キシレン、m-キシレン、クロロベンゼン、酢酸イソブチル、酢酸イソプロピル、酢酸イソアミル、酢酸エチル、酢酸ブチル、酢酸プロピル、酢酸ペンチル、酢酸メチル、酢酸2-メトキシエチル、ヘキサメチルリン酸トリアミド、トリス(ジメチルアミノ)ホスフィン、シクロヘキサノン、1,4-ジオキサン、ジクロロメタン、スチレン、テトラクロロエチレン、テトラヒドロフラン、ピリジン、1-メチル-2-ピロリジノン、1,1,1-トリクロロエタン、トルエン、ヘキサン、ペンタン、シクロヘキサン、シクロペンタン、ヘプタン、ベンゼン、メチルイソブチルケトン、tert-ブチルメチルエーテル、メチルエチルケトン、メチルシクロヘキサノン、メチルブチルケトン、ジエチルケトン、ガソリン、コールタールナフサ、石油エーテル、石油ナフサ、石油ベンジン、テレビン油、ミネラルスピリット等が挙げられる。有機溶媒及び水の二相系で反応を行う場合、相間移動触媒を添加することも可能である。相間移動触媒としては、例えば、ベンジルトリメチルアンモニウムクロリド、ポリオキシエチレン(20)ソルビタンモノラウラート[Tween 20]、ソルビタンモノオレアート[Span 80]等が挙げられる。
 また、各工程において必要に応じて精製を行うことができる。精製方法としてはクロマトグラフィー、再結晶、蒸留、昇華、再沈殿、吸着、分液処理等が挙げられる。精製剤を用いる場合、精製剤としてシリカゲル、アルミナ、活性炭、活性白土、セライト、ゼオライト、メソポーラスシリカ、カーボンナノチューブ、カーボンナノホーン、備長炭、木炭、グラフェン、イオン交換樹脂、酸性白土、二酸化ケイ素、珪藻土、パーライト、セルロース、有機ポリマー、多孔質ゲル等が挙げられる。
 本願発明の化合物は、ネマチック液晶組成物、スメクチック液晶組成物、キラルスメクチック液晶組成物及びコレステリック液晶組成物に使用することが好ましい。本願発明の反応性化合物を用いる液晶組成物において本願発明以外の化合物を添加しても構わない。
 本願発明の重合性化合物と混合して使用される他の重合性化合物としては、具体的には一般式(X-11)
Figure JPOXMLDOC01-appb-C000117
及び/又は一般式(X-12)
Figure JPOXMLDOC01-appb-C000118
(式中、P11、P12及びP13は各々独立して重合性基を表し、Sp11、Sp12及びSp13は各々独立して単結合又は炭素原子数1~20個のアルキレン基を表すが、1個の-CH-又は隣接していない2個以上の-CH-は-O-、-COO-、-OCO-、-OCOO-に置き換えられても良く、X11、X12及びX13は各々独立して-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-CF=CF-、-C≡C-又は単結合を表し、Z11及びZ12は各々独立して-O-、-S-、-OCH-、-CHO-、-COO-、-OCO-、-CO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CHCH-、-CHCF-、-CFCH-、-CFCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-CF=CF-、-C≡C-又は単結合を表し、A11、A12、A13及びA14は各々独立して、1,4-フェニレン基、1,4-シクロヘキシレン基、ピリジン-2,5-ジイル基、ピリミジン-2,5-ジイル基、ナフタレン-2,6-ジイル基、ナフタレン-1,4-ジイル基、テトラヒドロナフタレン-2,6-ジイル基又は1,3-ジオキサン-2,5-ジイル基を表すが、A11、A12、A13及びA14は各々独立して無置換であるか又はアルキル基、ハロゲン化アルキル基、アルコキシ基、ハロゲン化アルコキシ基、ハロゲン原子、シアノ基又はニトロ基に置換されていても良く、R11は水素原子、フッ素原子、塩素原子、臭素原子、ヨウ素原子、ペンタフルオロスルフラニル基、シアノ基、ニトロ基、イソシアノ基、チオイソシアノ基、若しくは、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖又は分岐アルキル基を表し、m11及びm12は0、1、2又は3を表すが、m11及び/又はm12が2又は3を表す場合、2個あるいは3個存在するA11、A13、Z11及び/又はZ12は同一であっても異なっていても良い。)で表される化合物が好ましく、P11、P12及びP13がアクリル基又はメタクリル基である場合が特に好ましい。一般式(X-11)で表される化合物として具体的には、一般式(X-11a)
Figure JPOXMLDOC01-appb-C000119
(式中、W11及びW12は各々独立して水素原子又はメチル基を表し、Sp14及びSp15は各々独立して炭素原子数2から18のアルキレン基、X14及びX15は各々独立して-O-、-COO-、-OCO-又は単結合を表し、Z13及びZ14は各々独立して-COO-又は-OCO-を表し、A15、A16及びA17は各々独立して無置換若しくはフッ素原子、塩素原子、炭素原子数1から4の直鎖状又は分岐状アルキル基、炭素原子数1から4の直鎖状又は分岐状アルコキシ基によって置換されていても良い1,4-フェニレン基を表す。)で表される化合物が好ましく、下記式(X-11a-1)から式(X-11a-4)
Figure JPOXMLDOC01-appb-C000120
(式中、W11、W12、Sp14及びSp15は一般式(X-11a)と同様の意味を表す。)で表される化合物が特に好ましい。上記式(X-11a-1)から式(X-11a-4)において、Sp14及びSp15が各々独立して炭素原子数2から8のアルキレン基である化合物が特に好ましい。
 この他、好ましい2官能重合性化合物としては下記一般式(X-11b-1)から式(X-11b-3)
Figure JPOXMLDOC01-appb-C000121
(式中、W13及びW14は各々独立して水素原子又はメチル基を表し、Sp16及びSp17は各々独立して炭素原子数2から18のアルキレン基を表す。)で表される化合物が挙げられる。上記式(X-11b-1)から式(X-11b-3)において、Sp16及びSp17が各々独立して炭素原子数2から8のアルキレン基である化合物が特に好ましい。
 また、一般式(X-12)で表される化合物として具体的には、下記一般式(X-12-1)から式(X-12-7)
Figure JPOXMLDOC01-appb-C000122
(式中、P14は重合性基を表し、Sp18は単結合又は炭素原子数1から20個のアルキレン基を表すが、1個の-CH-又は隣接していない2個以上の-CH-は-O-、-COO-、-OCO-、-O-CO-O-に置き換えられても良く、X16は単結合、-O-、-COO-、又は-OCO-を表し、Z15は単結合、-COO-又は-OCO-を表し、L11はフッ素原子、塩素原子、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-COO-、-OCO-に置き換えられても良い炭素原子数1から10の直鎖状又は分岐状アルキル基を表し、s11は0から4の整数を表し、R12は水素原子、フッ素原子、塩素原子、シアノ基、ニトロ基、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-に置き換えられても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表す。)で表される化合物が挙げられる。
 本願発明の化合物を含有する重合性液晶組成物には、当該組成物の液晶性を大きく損なわない程度に、液晶性を示さない重合性化合物を添加することも可能である。具体的には、この技術分野で高分子形成性モノマーあるいは高分子形成性オリゴマーとして認識される化合物であれば特に制限なく使用可能である。具体例として例えば「光硬化技術データブック、材料編(モノマー,オリゴマー,光重合開始剤)」(市村國宏、加藤清視監修、テクノネット社)記載のものが挙げられる。
 また、本願発明の化合物は光重合開始剤を使用しなくても重合させることが可能であるが、目的により光重合開始剤を添加しても構わない。その場合は光重合開始剤の濃度は、本願発明の化合物に対し0.1質量%から15質量%が好ましく、0.2質量%から10質量%がより好ましく、0.4質量%から8質量%がさらに好ましい。光重合開始剤としては、ベンゾインエーテル類、ベンゾフェノン類、アセトフェノン類、ベンジルケタール類、アシルフォスフィンオキサイド類等が挙げられる。光重合開始剤の具体例としては2-メチル-1-(4-メチルチオフェニル)-2-モルホリノプロパン-1-オン(IRGACURE 907)、安息香酸[1-[4-(フェニルチオ)ベンゾイル]ヘプチリデン]アミノ(IRGACURE OXE 01)等が挙げられる。熱重合開始剤としては、アゾ化合物、過酸化物等が挙げられる。熱重合開始剤の具体例としては2,2’-アゾビス(4-メトキシ-2,4-ジメチルバレロニトリル)、2,2’-アゾビス(イソブチロニトリル)等が挙げられる。また、1種類の重合開始剤を用いても良く、2種類以上の重合開始剤を併用して用いても良い。
 また、本発明の液晶組成物には、その保存安定性を向上させるために、安定剤を添加することもできる。使用できる安定剤としては、例えば、ヒドロキノン類、ヒドロキノンモノアルキルエーテル類、第三ブチルカテコール類、ピロガロール類、チオフェノール類、ニトロ化合物類、β-ナフチルアミン類、β-ナフトール類、ニトロソ化合物等が挙げられる。安定剤を使用する場合の添加量は、組成物に対して0.005質量%から1質量%の範囲が好ましく、0.02質量%から0.8質量%がより好ましく、0.03質量%から0.5質量%がさらに好ましい。また、1種類の安定剤を用いても良く、2種類以上の安定剤を併用して用いても良い。安定剤としては、具体的には式(X-13-1)から式(X-13-35)
Figure JPOXMLDOC01-appb-C000123
Figure JPOXMLDOC01-appb-C000124
Figure JPOXMLDOC01-appb-C000125
Figure JPOXMLDOC01-appb-C000126
Figure JPOXMLDOC01-appb-C000127
Figure JPOXMLDOC01-appb-C000128
Figure JPOXMLDOC01-appb-C000129
(式中、nは0から20の整数を表す。)で表される化合物が好ましい。
 また、本願発明の化合物を含有する重合性液晶組成物をフィルム類、光学素子類、機能性顔料類、医薬品類、化粧品類、コーティング剤類、合成樹脂類等の用途に利用する場合には、その目的に応じて金属、金属錯体、染料、顔料、色素、蛍光材料、燐光材料、界面活性剤、レベリング剤、チキソ剤、ゲル化剤、多糖類、紫外線吸収剤、赤外線吸収剤、抗酸化剤、イオン交換樹脂、酸化チタン等の金属酸化物等を添加することもできる。
 本願発明の化合物を含有する重合性液晶組成物を重合することにより得られるポリマーは種々の用途に利用できる。例えば、本願発明の化合物を含有する重合性液晶組成物を、配向させずに重合することにより得られるポリマーは、光散乱板、偏光解消板、モアレ縞防止板として利用可能である。また、配向させた後に重合することにより得られるポリマーは、光学異方性を有しており有用である。このような光学異方体は、例えば、本願発明の化合物を含有する重合性液晶組成物を、布等でラビング処理した基板、有機薄膜を形成した基板又はSiOを斜方蒸着した配向膜を有する基板に担持させるか、基板間に挟持させた後、当該重合性液晶組成物を重合することによって製造することができる。
 重合性液晶組成物を基板上に担持させる際の方法としては、スピンコーティング、ダイコーティング、エクストルージョンコーティング、ロールコーティング、ワイヤーバーコーティング、グラビアコーティング、スプレーコーティング、ディッピング、プリント法等を挙げることができる。またコーティングの際、重合性液晶組成物に有機溶媒を添加しても良い。有機溶媒としては、炭化水素系溶媒、ハロゲン化炭化水素系溶媒、エーテル系溶媒、アルコール系溶媒、ケトン系溶媒、エステル系溶媒、非プロトン性溶媒等を使用することができるが、例えば炭化水素系溶媒としてはトルエン又はヘキサンを、ハロゲン化炭化水素系溶媒としては塩化メチレンを、エーテル系溶媒としてはテトラヒドロフラン、アセトキシ-2-エトキシエタン又はプロピレングリコールモノメチルエーテルアセテートを、アルコール系溶媒としてはメタノール、エタノール又はイソプロパノールを、ケトン系溶媒としてはアセトン、メチルエチルケトン、シクロヘキサノン、γ-ブチルラクトン又はN-メチルピロリジノン類を、エステル系溶媒としては酢酸エチル又はセロソルブを、非プロトン性溶媒としてはジメチルホルムアミド又はアセトニトリルを挙げることができる。これらは単独でも、組み合わせて用いても良く、その蒸気圧と重合性液晶組成物の溶解性を考慮し、適宜選択すれば良い。添加した有機溶媒を揮発させる方法としては、自然乾燥、加熱乾燥、減圧乾燥、減圧加熱乾燥を用いることができる。重合性液晶材料の塗布性をさらに向上させるためには、基板上にポリイミド薄膜等の中間層を設けることや、重合性液晶材料にレベリング剤を添加する事も有効である。基板上にポリイミド薄膜等の中間層を設ける方法は、重合性液晶材料を重合することにより得られるポリマーと基板との密着性を向上させるために有効である。
 上記以外の配向処理としては、液晶材料の流動配向の利用、電場又は磁場の利用を挙げることができる。これらの配向手段は単独で用いても、また組み合わせて用いても良い。さらに、ラビングに代わる配向処理方法として、光配向法を用いることもできる。基板の形状としては、平板の他に、曲面を構成部分として有していても良い。基板を構成する材料は、有機材料、無機材料を問わずに用いることができる。基板の材料となる有機材料としては、例えば、ポリエチレンテレフタレート、ポリカーボネート、ポリイミド、ポリアミド、ポリメタクリル酸メチル、ポリスチレン、ポリ塩化ビニル、ポリテトラフルオロエチレン、ポリクロロトリフルオロエチレン、ポリアリレート、ポリスルホン、トリアセチルセルロース、セルロース、ポリエーテルエーテルケトン等が挙げられ、また、無機材料としては、例えば、シリコン、ガラス、方解石等が挙げられる。
 本願発明の化合物を含有する重合性液晶組成物を重合させる際、迅速に重合が進行することが望ましいため、紫外線又は電子線等の活性エネルギー線を照射することにより重合させる方法が好ましい。紫外線を使用する場合、偏光光源を用いても良く、非偏光光源を用いても良い。また、液晶組成物を2枚の基板間に挟持させて状態で重合を行う場合、少なくとも照射面側の基板は活性エネルギー線に対して適当な透明性を有していなければならない。また、光照射時にマスクを用いて特定の部分のみを重合させた後、電場や磁場又は温度等の条件を変化させることにより、未重合部分の配向状態を変化させて、さらに活性エネルギー線を照射して重合させるという手段を用いても良い。また、照射時の温度は、本発明の重合性液晶組成物の液晶状態が保持される温度範囲内であることが好ましい。特に、光重合によって光学異方体を製造しようとする場合には、意図しない熱重合の誘起を避ける意味からも可能な限り室温に近い温度、即ち、典型的には25℃での温度で重合させることが好ましい。活性エネルギー線の強度は、0.1mW/cm~2W/cmが好ましい。強度が0.1mW/cm以下の場合、光重合を完了させるのに多大な時間が必要になり生産性が悪化してしまい、2W/cm以上の場合、重合性液晶化合物又は重合性液晶組成物が劣化してしまう危険がある。
 重合によって得られた当該光学異方体は、初期の特性変化を軽減し、安定的な特性発現を図ることを目的として熱処理を施すこともできる。熱処理の温度は50~250℃の範囲であることが好ましく、熱処理時間は30秒~12時間の範囲であることが好ましい。
 このような方法によって製造される当該光学異方体は、基板から剥離して単体で用いても、剥離せずに用いても良い。また、得られた光学異方体を積層しても、他の基板に貼り合わせて用いてもよい。
 以下、実施例を挙げて本発明を更に記述するが、本発明はこれらの実施例に限定されるものではない。また、以下の実施例及び比較例の組成物における「%」は『質量%』を意味する。各工程において酸素及び/又は水分に不安定な物質を取り扱う際は、窒素ガス、アルゴンガス等の不活性ガス中で作業を行うことが好ましい。通常の後処理とは、反応液から目的の化合物を得るために行う作業であり、反応のクエンチ、分液・抽出、中和、洗浄、乾燥、濃縮等の当業者間において通常行われている作業を意味する。
(実施例1)式(I-1)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000130
 窒素雰囲気下、反応容器に式(I-1-1)で表される化合物2.0g、式(I-1-2)で表される化合物1.3g、炭酸カリウム1.9g、エタノール20mL、テトラキス(トリフェニルホスフィン)パラジウム(0)0.2gを加え8時間加熱還流させた。酢酸エチルで希釈し、塩酸及び食塩水で順次洗浄した。カラムクロマトグラフィー(シリカゲル、ジクロロメタン/酢酸エチル)により精製を行い、式(I-1-3)で表される化合物1.5gを得た。
 反応容器に式(I-1-3)で表される化合物1.0g、式(I-1-4)で表される化合物2.5g、N,N-ジメチルアミノピリジン0.1g、ジクロロメタン15mLを加えた。氷冷しながらジイソプロピルカルボジイミド1.2gを滴下し室温で8時間撹拌した。1%塩酸及び食塩水で順次洗浄した後、カラムクロマトグラフィー(シリカゲル、ジクロロメタン)及び再結晶(ジクロロメタン/メタノール)により精製を行い、式(I-1-5)で表される化合物2.4gを得た。
 反応容器に式(I-1-5)で表される化合物2.4g、式(I-1-6)で表される化合物0.5g、(±)-10-カンファースルホン酸0.1g、テトラヒドロフラン20mL、エタノール5mLを加え室温で20時間撹拌した。溶媒を濃縮した後、カラムクロマトグラフィー(シリカゲル、ジクロロメタン)及び再結晶(ジクロロメタン/メタノール)により精製を行い、式(I-1)で表される化合物1.9gを得た。
相転移温度(昇温過程):C 107 N 217 I
H NMR (CDCl):1.52(m,8H),1.74(quin,4H),1.86(quin,4H),4.07(td,4H),4.20(td,4H),5.84(d,2H),6.14(dd,2H),6.42(d,2H),6.99(d,4H),7.11(t,1H),7.21-7.40(m,8H),7.62(d,1H),7.93(m,2H),8.19(dd,4H)ppm.
(実施例2)式(I-2)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000131
 反応容器にヒドラジン一水和物20mL、エタノール20mLを加えた。式(I-2-1)で表される化合物1.0gのテトラヒドロフラン溶液10mLを加え50℃で6時間加熱撹拌した。溶媒を留去し、ジクロロメタンに再溶解させ、食塩水で洗浄した。カラムクロマトグラフィー(シリカゲル、ジクロロメタン/メタノール)及び再結晶(ジクロロメタン/ヘキサン)により精製を行い、式(I-2-2)で表される化合物0.8gを得た。
 反応容器に式(I-2-2)で表される化合物0.8g、式(I-2-3)で表される化合物4.3g、(±)-10-カンファースルホン酸0.1g、テトラヒドロフラン15mL、エタノール5mLを加え室温で10時間撹拌した。溶媒を留去した後、カラムクロマトグラフィー(ジクロロメタン)及び再結晶(ジクロロメタン/メタノール)により精製を行い、式(I-2)で表される化合物3.6gを得た。
相転移温度(昇温過程):C 113 N 171 I
H NMR (CDCl):1.48-1.59(m,8H),1.74(m,4H),1.85(m,4H), 4.07(q,4H),4.19(td,4H),5.84(d,2H),6.14(ddd,2H),6.42(dt,2H),7.00(q,4H),7.30(m,4H),7.39-7.46(m,5H),7.51(d,1H),7.61(dd,2H),7.85(d,1H),6.17(d,2H),8.22-8.25(m,3H),8.39(d,1H),8.57(s,1H)ppm.
(実施例3)式(I-3)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000132
 窒素雰囲気下、反応容器に式(I-3-1)で表される化合物2.0g、式(I-3-2)で表される化合物1.3g、炭酸カリウム1.9g、エタノール20mL、テトラキス(トリフェニルホスフィン)パラジウム(0)0.2gを加え8時間加熱還流させた。酢酸エチルで希釈し、塩酸及び食塩水で順次洗浄した。カラムクロマトグラフィー(シリカゲル、ジクロロメタン/酢酸エチル)により精製を行い、式(I-3-3)で表される化合物1.5gを得た。
 反応容器に式(I-3-3)で表される化合物1.0g、式(I-3-4)で表される化合物2.5g、N,N-ジメチルアミノピリジン0.1g、ジクロロメタン15mLを加えた。ジイソプロピルカルボジイミド1.2gを滴下し室温で8時間撹拌した。1%塩酸及び食塩水で順次洗浄した後、カラムクロマトグラフィー(シリカゲル、ジクロロメタン)及び再結晶(ジクロロメタン/メタノール)により精製を行い、式(I-3-5)で表される化合物2.4gを得た。
 反応容器に式(I-3-5)で表される化合物2.4g、式(I-3-6)で表される化合物0.5g、(±)-10-カンファースルホン酸0.1g、テトラヒドロフラン20mL、エタノール5mLを加え室温で20時間撹拌した。溶媒を濃縮した後、カラムクロマトグラフィー(シリカゲル、ジクロロメタン)及び再結晶(ジクロロメタン/メタノール)により精製を行い、式(I-3)で表される化合物1.9gを得た。
相転移温度(昇温過程):C 180 N >220 I
H NMR (CDCl):1.42-1.60(m,8H), 1.68-1.91(m,8H),3.95(m,2H),4.07(t,2H),4.16-4.22(m,4H),5.83(dd,2H),6.09-6.18(m,2H),6.42(dd,2H),6.82(br,2H),7.00(d,2H),7.09(br,1H),7.21(br,1H),7.33(m,3H),7.45(br,1H),7.62(m,2H),7.70(d,2H),8.02(br,2H),8.19(d,3H),8.25(br,1H)ppm.
(実施例4)式(I-4)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000133
 反応容器に式(I-4-1)で表される化合物5.0g、p-トルエンスルホン酸一水和物0.5g、酢酸エチル50mLを加え溶媒を入れ替えながら加熱還流させた。炭酸水素ナトリウム水溶液及び食塩水で洗浄した後、カラムクロマトグラフィー(アルミナ、酢酸エチル)により精製を行い、式(I-4-2)で表される化合物5.4gを得た。
 反応容器に式(I-4-2)で表される化合物5.4g、パラホルムアルデヒド2.1g、塩化マグネシウム3.3g、トリエチルアミン30mL、アセトニトリル90mLを加え60℃で10時間加熱撹拌した。ジクロロメタンで希釈した後、1%塩酸、水及び食塩水で順次洗浄した後、カラムクロマトグラフィー(シリカゲル、ジクロロメタン/ヘキサン)により精製を行い、式(I-4-3)で表される化合物4.3gを得た。
 反応容器に式(I-4-3)で表される化合物4.3g、メタノール30mL、水酸化ナトリウム水溶液20mLを加え50℃で3時間加熱撹拌した。1%塩酸で中和した後、ジクロロメタンで希釈し、水及び食塩水で順次洗浄した。カラムクロマトグラフィー(シリカゲル、ジクロロメタン/酢酸エチル)により精製を行い、式(I-4-4)で表される化合物3.2gを得た。
 反応容器に式(I-4-4)で表される化合物1.5g、式(I-4-5)で表される化合物4.0g、N,N-ジメチルアミノピリジン0.2g、ジクロロメタン30mLを加えた。氷冷しながらジイソプロピルカルボジイミド1.9gを滴下し室温で10時間撹拌した。1%塩酸、水及び食塩水で順次洗浄した後、カラムクロマトグラフィー(シリカゲル、ジクロロメタン)及び再結晶(ジクロロメタン/メタノール)により精製を行い、式(I-4-6)で表される化合物3.7gを得た。
 反応容器に式(I-4-6)で表される化合物3.7g、式(I-4-7)で表される化合物0.8g、(±)-10-カンファースルホン酸0.3g、テトラヒドロフラン30mL、エタノール10mLを加え室温で20時間撹拌した。溶媒を留去した後、カラムクロマトグラフィー(ジクロロメタン)及び再結晶(ジクロロメタン/メタノール)により精製を行い、式(I-4)で表される化合物3.1gを得た。
相転移温度(昇温過程):C 60-80 N 206 I
H NMR (CDCl):1.44-1.60(m,9H),1.66-1.90(m,13H),2.07(m,2H),2.29(m,2H),2.68(m,1H),4.03(td,4H),4.19(td,4H),5.07(m,1H),5.84(dt,2H),6.13(dd,2H),6.42(dd,2H),6.86(d,2H),6.93(d,2H),7.06-7.22(m,3H),7.30(dd,1H),7.45(d,1H),7.63(d,1H),7.90(s,1H),8.04(m,4H),8.11(s,1H)ppm.
(実施例5)式(I-5)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000134
Figure JPOXMLDOC01-appb-C000135
 Bioorganic & Medicinal Chemistry Letters誌、2005年、15巻、6号、1675-1681頁に記載の方法によって式(I-5-1)で表される化合物を得た。反応容器に式(I-5-1)で表される化合物2.0g、ジクロロメタン20mLを加えた。反応容器を-78℃に冷却し三臭化ホウ素8.2gを滴下し撹拌した。水に注いだ後、酢酸エチルで希釈し、食塩水で洗浄した。カラムクロマトグラフィー(ジクロロメタン/メタノール)により精製を行い、式(I-5-2)で表される化合物1.6gを得た。
 窒素雰囲気下、反応容器に式(I-5-3)で表される化合物3.0g、式(I-5-4)で表される化合物2.2g、ヨウ化銅(I)0.05g、テトラキス(トリフェニルホスフィン)パラジウム(0)0.14g、トリエチルアミン10mL、N,N-ジメチルホルムアミド30mLを加え80℃で5時間加熱撹拌した。酢酸エチルで希釈した後、5%塩酸、水及び食塩水で順次洗浄した。カラムクロマトグラフィー(アルミナ、酢酸エチル)により精製を行い、式(I-5-5)で表される化合物2.6gを得た。
 反応容器に式(I-5-5)で表される化合物2.6g、5%パラジウム炭素0.3g、テトラヒドロフラン50mLを加えた。水素圧0.5MPa、50℃で6時間撹拌した。触媒を濾過した後、カラムクロマトグラフィー(アルミナ、酢酸エチル)により精製を行い、式(I-5-6)で表される化合物2.4gを得た。
 反応容器に式(I-5-6)で表される化合物2.9g、式(I-5-2)で表される化合物1.5g、N,N-ジメチルアミノピリジン0.3g、ジクロロメタン30mLを加えた。氷冷しながらジイソプロピルカルボジイミド1.5gを滴下し室温で8時間撹拌した。1%塩酸、水及び食塩水で順次洗浄した後、カラムクロマトグラフィー(ジクロロメタン/ヘキサン)及び再結晶(ジクロロメタン/ヘキサン)により精製を行い、式(I-5-7)で表される化合物2.1gを得た。
 反応容器に式(I-5-8)で表される化合物7.0g、2-フルオロアクリル酸3.7g、N,N-ジメチルアミノピリジン0.1g、ジクロロメタン30mLを加えた。ジイソプロピルカルボジイミド6.7gを滴下し撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-5-9)で表される化合物3.9gを得た。
 反応容器に式(I-5-9)で表される化合物3.9g、リン酸二水素ナトリウム二水和物3.5g、亜塩素酸ナトリウム、過酸化水素水、メタノール20mL、水10mLを加え50℃で6時間加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-5-10)で表される化合物3.8gを得た。
 反応容器に式(I-5-10)で表される化合物1.0g、バニリン0.6g、N,N-ジメチルアミノピリジン0.3g、ジクロロメタン20mLを加えた。ジイソプロピルカルボジイミド0.6gを滴下し撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-5-11)で表される化合物1.2gを得た。
 反応容器に式(I-5-11)で表される化合物1.2g、リン酸二水素ナトリウム二水和物、亜塩素酸ナトリウム、過酸化水素水、メタノール20mL、水10mLを加え50℃で6時間加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-5-12)で表される化合物1.2gを得た。
 反応容器に式(I-5-12)で表される化合物1.2g、式(I-5-7)で表される化合物1.3g、N,N-ジメチルアミノピリジン0.2g、ジクロロメタン20mLを加えた。ジイソプロピルカルボジイミド0.4gを滴下し撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-5-13)で表される化合物1.7gを得た。
 反応容器に式(I-5-13)で表される化合物1.7g、メタノール10mL、テトラヒドロフラン20mL、濃塩酸1mLを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-5-14)で表される化合物1.4gを得た。
 反応容器に式(I-5-14)で表される化合物1.4g、メタクリル酸0.2g、N,N-ジメチルアミノピリジン0.1g、ジクロロメタン20mLを加えた。ジイソプロピルカルボジイミド0.3gを滴下し撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-5-15)で表される化合物1.2gを得た。
 反応容器にヒドラジン一水和物20mL、エタノール20mLを加えた。式(I-5-16)で表される化合物1.0gのテトラヒドロフラン溶液20mLを加え撹拌した。通常の後処理を行った後、再結晶により精製を行い、式(I-5-17)で表される化合物1.0gを得た。
 反応容器に式(I-5-17)で表される化合物0.3g、式(I-5-15)で表される化合物1.2g、(±)-10-カンファースルホン酸0.1g、テトラヒドロフラン10mL、エタノール5mLを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-5)で表される化合物1.1gを得た。
LCMS:1023[M+1]
(実施例6)式(I-6)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000136
Figure JPOXMLDOC01-appb-C000137
 窒素雰囲気下、反応容器に式(I-6-1)で表される化合物5.0g、トリエチルアミン3.2g、ジクロロメタン30mLを加えた。氷冷しながら塩化2-(トリフルオロメチル)アクリロイル4.6gを滴下し撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-6-2)で表される化合物6.6gを得た。
 窒素雰囲気下、反応容器に(I-6-3)で表される化合物5.0g、アクリル酸tert-ブチル4.1g、酢酸ナトリウム3.3g、N,N-ジメチルホルムアミド30mL、酢酸パラジウム(II)0.1gを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-6-4)で表される化合物5.0gを得た。
 反応容器に式(I-6-4)で表される化合物5.0g、5%パラジウム炭素0.5g、エタノール50mLを加え、水素雰囲気下(0.5MPa)撹拌した。触媒を濾過した後、カラムクロマトグラフィーにより精製を行い、式(I-6-5)で表される化合物4.5gを得た。
 窒素雰囲気下、反応容器に式(I-6-5)で表される化合物4.5g、3-クロロプロピルアミン2.1g、炭酸セシウム9.4g、ジメチルスルホキシド40mLを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-6-6)で表される化合物4.5gを得た。
 反応容器に式(I-6-6)で表される化合物4.5g、無水マレイン酸1.5g、酢酸20mLを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-6-7)で表される化合物5.2gを得た。
 反応容器に式(I-6-7)で表される化合物5.2g、ジクロロメタン40mLを加えた。氷冷しながらトリフルオロ酢酸10mLを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-6-8)で表される化合物4.0gを得た。
 特開2011-207765号公報に記載の方法によって式(I-6-9)で表される化合物を得た。反応容器に式(I-6-9)で表される化合物2.0g、ジクロロメタン30mLを加えた。氷冷しながら臭素2.1gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-6-10)で表される化合物2.4gを得た。
 窒素雰囲気下、反応容器に式(I-6-10)で表される化合物2.4g、式(I-6-11)で表される化合物2.7g、炭酸カリウム2.0g、エタノール30mL、テトラキス(トリフェニルホスフィン)パラジウム(0)0.1gを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-6-12)で表される化合物3.0gを得た。
 窒素雰囲気下、反応容器に式(I-6-12)で表される化合物3.0g、式(I-6-8)で表される化合物2.5g、N,N-ジメチルアミノピリジン0.2g、ジクロロメタン30mLを加えた。氷冷しながらジイソプロピルカルボジイミド1.2gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-6-13)で表される化合物4.3gを得た。
 反応容器に式(I-6-13)で表される化合物4.3g、テトラヒドロフラン30mL、メタノール20mL、濃塩酸1mLを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-6-14)で表される化合物3.4gを得た。
 窒素雰囲気下、反応容器に式(I-6-14)で表される化合物3.4g、式(I-6-2)で表される化合物1.7g、N,N-ジメチルアミノピリジン0.1g、ジクロロメタン30mLを加えた。氷冷しながらジイソプロピルカルボジイミド0.8gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-6-15)で表される化合物4.0gを得た。
 反応容器に式(I-6-16)で表される化合物3.0g、1-ヨードヘキサン4.6g、炭酸セシウム8.9g、ジメチルスルホキシド20mLを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-6-17)で表される化合物2.3gを得た。
 反応容器に式(I-6-17)で表される化合物0.6g、式(I-6-15)で表される化合物2.0g、(±)-10-カンファースルホン酸0.3g、テトラヒドロフラン20mL、エタノール10mLを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-6)で表される化合物1.8gを得た。
LCMS:1129[M+1]
(実施例7)式(I-7)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000138
Figure JPOXMLDOC01-appb-C000139
 Macromolecular Chemistry and Physics誌、2009年、210巻、7号、531-541頁に記載の方法によって式(I-7-2)で表される化合物を得た。窒素雰囲気下、式(I-7-1)で表される化合物5.0g、式(I-7-2)で表される化合物7.1g、トリフェニルホスフィン9.5g、テトラヒドロフラン60mLを加えた。氷冷しながらアゾジカルボン酸ジイソプロピル7.3gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-7-3)で表される化合物8.1gを得た。
 反応容器に式(I-7-3)で表される化合物8.1g、リン酸二水素ナトリウム二水和物、メタノール、水、過酸化水素水を加えた。亜塩素酸ナトリウム水溶液を滴下し加熱撹拌した。水を加え冷却し、析出物を濾過した。乾燥させることにより、式(I-7-4)で表される化合物6.7gを得た。
 窒素雰囲気下、反応容器に式(I-7-5)で表される化合物5.0g、p-トルエンスルホン酸ピリジニウム0.5g、ジクロロメタン30mLを加えた。氷冷しながら3,4-ジヒドロ-2H-ピラン3.8gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-7-6)で表される化合物7.6gを得た。
 反応容器に式(I-7-7)で表される化合物4.1g、テトラヒドロフラン20mL、水素化ナトリウム0.9gを加え撹拌した。式(I-7-6)で表される化合物7.6gのテトラヒドロフラン溶液を滴下し加熱撹拌した。水を滴下し、通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-7-8)で表される化合物7.2gを得た。
 反応容器にギ酸30mL、過酸化水素30mLを加え撹拌した。式(I-7-8)で表される化合物7.2gのジクロロメタン溶液を滴下し加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-7-9)で表される化合物6.9gを得た。
 反応容器に式(I-7-9)で表される化合物6.9g、メタノール30mL、テトラヒドロフラン30mL、濃塩酸1mLを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-7-10)で表される化合物4.5gを得た。
 窒素雰囲気下、反応容器に式(I-7-11)で表される化合物5.0g、式(I-7-12)で表される化合物3.5g、炭酸カリウム3.2g、テトラヒドロフラン30mL、水30mL、テトラキス(トリフェニルホスフィン)パラジウム(0)0.2gを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-7-13)で表される化合物4.6gを得た。
 窒素雰囲気下、反応容器に式(I-7-13)で表される化合物4.6g、トリメチルシリルアセチレン1.5g、ヨウ化銅(I)0.05g、トリエチルアミン30mL、N,N-ジメチルホルムアミド90mL、テトラキス(トリフェニルホスフィン)パラジウム(0)0.1gを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-7-14)で表される化合物3.4gを得た。
 反応容器に式(I-7-14)で表される化合物3.4g、メタノール50mL、炭酸カリウム3.6gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-7-15)で表される化合物2.5gを得た。
 Synthetic Communications誌、2011年、41巻、9号、1381-1393頁に記載の方法によって式(I-7-16)で表される化合物を得た。窒素雰囲気下、反応容器に式(I-7-16)で表される化合物2.0g、式(I-7-15)で表される化合物2.5g、ヨウ化銅(I)0.03g、トリエチルアミン30mL、N,N-ジメチルホルムアミド90mL、テトラキス(トリフェニルホスフィン)パラジウム(0)0.1gを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-7-17)で表される化合物2.7gを得た。
 窒素雰囲気下、反応容器に式(I-7-17)で表される化合物2.7g、式(I-7-4)で表される化合物1.5g、N,N-ジメチルアミノピリジン0.2g、ジクロロメタン30mLを加えた。氷冷しながらジイソプロピルカルボジイミド0.8gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-7-18)で表される化合物3.7gを得た。
 反応容器に式(I-7-18)で表される化合物3.7g、テトラヒドロフラン30mL、メタノール30mL、濃塩酸1mLを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-7-19)で表される化合物3.0gを得た。
 窒素雰囲気下、式(I-7-19)で表される化合物3.0g、式(I-7-10)で表される化合物0.9g、トリフェニルホスフィン1.3g、テトラヒドロフラン30mLを加えた。氷冷しながらアゾジカルボン酸ジイソプロピル1.0gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-7-20)で表される化合物2.7gを得た。
 WO2012-141245A1号公報に記載の方法によって式(I-7-21)で表される化合物を得た。反応容器に式(I-7-20)で表される化合物2.7g、式(I-7-21)で表される化合物0.5g、(±)-10-カンファースルホン酸0.3g、テトラヒドロフラン30mL、エタノール20mLを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-7)で表される化合物2.2gを得た。
LCMS:1121[M+1]
(実施例8)式(I-8)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000140
Figure JPOXMLDOC01-appb-C000141
 窒素雰囲気下、反応容器に2-フルオロアクリル酸3.0g、式(I-8-1)で表される化合物4.2g、N,N-ジメチルアミノピリジン0.2g、ジクロロメタン40mLを加えた。氷冷しながらジイソプロピルカルボジイミド5.0gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-8-2)で表される化合物5.2gを得た。
 反応容器に式(I-8-2)で表される化合物5.2g、式(I-8-3)で表される化合物3.2g、炭酸セシウム13.0g、ジメチルスルホキシド50mLを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-8-4)で表される化合物6.0gを得た。
 反応容器に式(I-8-4)で表される化合物6.0g、リン酸二水素ナトリウム二水和物、メタノール50mL、水10mL、過酸化水素水30mLを加えた。亜塩素酸ナトリウム水溶液30mLを滴下し加熱撹拌した。水を加え冷却し、析出物を濾過した。乾燥させることにより、式(I-8-5)で表される化合物5.1gを得た。
 窒素雰囲気下、反応容器に式(I-8-6)で表される化合物3.0g、式(I-8-7)で表される化合物2.3g、N,N-ジメチルアミノピリジン0.3g、ジクロロメタン30mLを加えた。氷冷しながらジイソプロピルカルボジイミド2.6gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-8-8)で表される化合物4.0gを得た。
 反応容器に式(I-8-8)で表される化合物4.0g、リン酸二水素ナトリウム二水和物、メタノール30mL、水10mL、過酸化水素水20mLを加えた。亜塩素酸ナトリウム水溶液20mLを滴下し加熱撹拌した。水を加え冷却し、析出物を濾過した。乾燥させることにより、式(I-8-9)で表される化合物3.4gを得た。
 反応容器に6-ヒドロキシ-2-ナフタレンカルボン酸メチル5.0g、パラホルムアルデヒド3.0g、トリエチルアミン20mL、塩化マグネシウム3.5g、アセトニトリル30mLを加え加熱撹拌した。反応液を塩酸に注ぎ、通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-8-10)で表される化合物4.5gを得た。
 窒素雰囲気下、反応容器に式(I-8-10)で表される化合物4.5g、p-トルエンスルホン酸ピリジニウム0.5g、ジクロロメタン30mLを加えた。氷冷しながら3,4-ジヒドロ-2H-ピラン1.8gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-8-11)で表される化合物5.6gを得た。
 反応容器に式(I-8-11)で表される化合物5.6g、式(I-8-12)で表される化合物2.5g、ジブチルすずオキシド0.5g、キシレン30mLを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-8-13)で表される化合物6.0gを得た。
 窒素雰囲気下、反応容器に式(I-8-13)で表される化合物6.0g、式(I-8-9)で表される化合物4.5g、N,N-ジメチルアミノピリジン0.2g、ジクロロメタン30mLを加えた。氷冷しながらジイソプロピルカルボジイミド2.2gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-8-14)で表される化合物8.2gを得た。
 反応容器に式(I-8-14)で表される化合物3.0g、テトラヒドロフラン30mL、メタノール10mL、濃塩酸1mLを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-8-15)で表される化合物2.4gを得た。
 窒素雰囲気下、反応容器に式(I-8-15)で表される化合物2.4g、式(I-8-5)で表される化合物1.1g、N,N-ジメチルアミノピリジン0.1g、ジクロロメタン30mLを加えた。氷冷しながらジイソプロピルカルボジイミド0.6gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-8-16)で表される化合物2.8gを得た。
 WO2012-141245A1号公報に記載の方法によって式(I-8-17)で表される化合物を得た。反応容器に式(I-8-16)で表される化合物2.8g、式(I-8-17)で表される化合物0.7g、(±)-10-カンファースルホン酸0.3g、テトラヒドロフラン30mL、エタノール20mLを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-8)で表される化合物2.4gを得た。
LCMS:1115[M+1]
(実施例9)式(I-9)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000142
 窒素雰囲気下、反応容器に式(I-9-1)で表される化合物5.0g、テトラヒドロフランを加えた。-78℃に冷却しs-ブチルリチウムのヘキサン溶液を加え撹拌した。ホウ酸トリイソプロピル6.3gを加え撹拌した。5℃に昇温し塩酸を加え撹拌した。通常の後処理を行った後、乾燥させ、式(I-9-2)で表される化合物5.1gを得た。
 European Journal of Organic Chemistry誌、2008年、10号、1797-1801頁に記載の方法によって式(I-9-3)で表される化合物を得た。窒素雰囲気下、反応容器に式(I-9-2)で表される化合物2.0g、式(I-9-3)で表される化合物3.0g、炭酸カリウム2.0g、テトラヒドロフラン20mL、水20mL、テトラキス(トリフェニルホスフィン)パラジウム(0)0.1gを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-9-4)で表される化合物2.3gを得た。
 特開2012-240945号公報に記載の方法によって式(I-9-5)で表される化合物を得た。反応容器に式(I-9-5)で表される化合物2.0g、3-クロロプロパノール0.8g、炭酸セシウム3.7g、ジメチルスルホキシド30mLを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-9-6)で表される化合物1.9gを得た。
 窒素雰囲気下、反応容器に式(I-9-6)で表される化合物1.9g、ビス(ピナコラート)ジボロン1.5g、酢酸カリウム0.9g、ジメチルスルホキシド20mL、ビス(トリフェニルホスフィン)パラジウム(II)ジクロリド0.1gを加え加熱撹拌した。通常の後処理を行い、式(I-9-7)で表される化合物1.8gを得た。
 窒素雰囲気下、反応容器に式(I-9-7)で表される化合物1.8g、式(I-9-4)で表される化合物1.7g、炭酸カリウム1.0g、テトラヒドロフラン20mL、水10mL、テトラキス(トリフェニルホスフィン)パラジウム(0)0.1gを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-9-8)で表される化合物2.0gを得た。
 窒素雰囲気下、反応容器に式(I-9-8)で表される化合物2.0g、式(I-9-9)で表される化合物0.5g、N,N-ジメチルアミノピリジン0.1g、ジクロロメタン20mLを加えた。氷冷しながらジイソプロピルカルボジイミド0.6gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-9-10)で表される化合物1.9gを得た。
 反応容器に式(I-9-10)で表される化合物1.9g、式(I-9-11)で表される化合物0.5g、(±)-10-カンファースルホン酸0.3g、テトラヒドロフラン20mL、エタノール10mLを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-9-12)で表される化合物1.6gを得た。
 窒素雰囲気下、反応容器に式(I-9-12)で表される化合物1.6g、トリエチルアミン03g、ジクロロメタン20mLを加えた。氷冷しながら塩化アクリロイル0.5gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-9)で表される化合物1.4gを得た。
LCMS:826[M+1]
(実施例10)式(I-10)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000143
Figure JPOXMLDOC01-appb-C000144
 Organic Letters誌、2014年、16巻、13号、3544-3547頁に記載の方法によって式(I-10-1)で表される化合物を得た。窒素雰囲気下、反応容器に式(I-10-1)で表される化合物5.0g、ピリジン2.3g、ジクロロメタン50mLを加えた。氷冷しながらトリフルオロメタンスルホン酸無水物8.3gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-10-2)で表される化合物7.7gを得た。
 窒素雰囲気下、反応容器に式(I-10-2)で表される化合物7.7g、トリメチルシリルアセチレン2.6g、ヨウ化銅(I)0.09g、トリエチルアミン20mL、N,N-ジメチルホルムアミド60mL、テトラキス(トリフェニルホスフィン)パラジウム(0)0.3gを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-10-3)で表される化合物4.5gを得た。
 反応容器に式(I-10-3)で表される化合物4.5g、メタノール50mL、炭酸カリウム4.7gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-10-4)で表される化合物2.9gを得た。
 窒素雰囲気下、反応容器に式(I-10-5)で表される化合物2.0g、テトラヒドロフラン30mLを加えた。水素化ナトリウム0.6gを加え撹拌した。2-ブロモエチルメチルエーテル1.1gを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-10-6)で表される化合物1.7gを得た。
 窒素雰囲気下、反応容器にマグネシウム0.2g、テトラヒドロフラン1mLを加えた。式(I-10-6)で表される化合物1.7gのテトラヒドロフラン溶液を加えグリニャール試薬を調製した。ホウ酸トリメチル0.6gを加え撹拌した。塩酸を加え撹拌した。通常の後処理を行い、式(I-10-7)で表される化合物1.2gを得た。
 窒素雰囲気下、反応容器に式(I-10-7)で表される化合物1.2g、式(I-10-8)で表される化合物1.3g、炭酸カリウム0.9g、テトラヒドロフラン20mL、水10mL、テトラキス(トリフェニルホスフィン)パラジウム(0)0.05gを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-10-9)で表される化合物1.4gを得た。
 窒素雰囲気下、反応容器に式(I-10-9)で表される化合物1.4g、式(I-10-4)で表される化合物0.7g、ヨウ化銅(I)0.01g、トリエチルアミン10mL、N,N-ジメチルホルムアミド30mL、テトラキス(トリフェニルホスフィン)パラジウム(0)0.04gを加え加熱撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-10-10)で表される化合物1.4gを得た。
 窒素雰囲気下、式(I-10-10)で表される化合物1.4g、ジクロロメタン30mLを加えた。-78℃に冷却し三臭化ホウ素2.1gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-10-11)で表される化合物1.1gを得た。
 WO2008-010985号公報に記載の方法によって式(I-10-12)で表される化合物を得た。窒素雰囲気下、反応容器に式(I-10-12)で表される化合物3.0g、N-エチルジイソプロピルアミン3.5g、ジクロロメタン30mLを加えた。氷冷しながら塩化アクリロイル2.1gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィーにより精製を行い、式(I-10-13)で表される化合物3.4gを得た。
 反応容器に式(I-10-13)で表される化合物3.4g、ジクロロメタン30mLを加えた。氷冷しながらトリフルオロ酢酸20mLを加え撹拌した。通常の後処理を行った後、乾燥させることによって、式(I-10-14)で表される化合物2.3gを得た。
 窒素雰囲気下、反応容器に式(I-10-14)で表される化合物0.7g、式(I-10-11)で表される化合物1.1g、N,N-ジメチルアミノピリジン0.1g、ジクロロメタン20mLを加えた。氷冷しながらジイソプロピルカルボジイミド0.3gを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-10-15)で表される化合物1.4gを得た。
 反応容器に式(I-10-15)で表される化合物1.4g、式(I-10-16)で表される化合物0.3g、(±)-10-カンファースルホン酸0.2g、テトラヒドロフラン20mL、エタノール10mLを加え撹拌した。通常の後処理を行った後、カラムクロマトグラフィー及び再結晶により精製を行い、式(I-10)で表される化合物1.4gを得た。
LCMS:951[M+1]
(実施例11)式(I-111)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000145
 前記と同様の方法によって式(I-111)で表される化合物を得た。
転移温度(昇温5℃/分):C 159 N 167 I
MS(m/z):794[M+1]
(実施例12)式(I-112)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000146
 特開2010-31223号公報に記載の方法によって式(I-112-2)で表される化合物を製造した。反応容器に式(I-112-1)で表される化合物2.0g、式(I-112-2)で表される化合物3.4g、N,N-ジメチルアミノピリジン0.4g、ジクロロメタン30mLを加えた。氷冷しながらジイソプロピルカルボジイミド1.3gを滴下し室温で撹拌した。析出物を濾過した後、濾液を塩酸、水、食塩水で洗浄した。カラムクロマトグラフィー(シリカゲル)及び再結晶により精製を行い、式(I-112-3)で表される化合物3.7gを得た。
 反応容器に式(I-112-3)で表される化合物3.0g、式(I-112-4)で表される化合物0.8g、(±)-10-カンファースルホン酸0.3g、テトラヒドロフラン20mL、エタノール20mLを加えた。撹拌した後、溶媒を留去しメタノールで分散洗浄した。カラムクロマトグラフィー(シリカゲル)及び再結晶(ジクロロメタン/メタノール)により精製を行い、式(I-112)で表される化合物2.2gを得た。
転移温度(昇温5℃/分):C 117 N 220 I
H NMR(CDCl)δ 0.92(t,3H),1.07(q,2H),1.24-2.06(m,27H),2.35(m,2H),2.55(t,1H),3.95(t,2H),4.18(t,2H),5.83(dd,1H),6.13(dd,1H),6.42(dd,1H),6.88(d,2H),6.98(m,3H),7.19-7.26(m,2H),7.35(m,1H),7.51(m,1H),7.68(m,1H),7.89(m,1H),8.08(m,1H)ppm.
MS(m/z):794[M+1]
(実施例13)式(I-113)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000147
 冷却器を備えた反応容器に式(I-113-1)で表される化合物3.0g、塩化マグネシウム1.7g、パラホルムアルデヒド1.8g、トリエチルアミン15mL、テトラヒドロフラン50mLを加えた。適宜パラホルムアルデヒドを追加しながら加熱還流させた。反応液を塩酸に注ぎ、酢酸エチルで抽出し水、食塩水で洗浄した。カラムクロマトグラフィー(シリカゲル)により精製を行い、式(I-113-2)で表される化合物2.3gを得た。
 前記と同様の方法によって式(I-113)で表される化合物を得た。
転移温度(昇温5℃/分):C 90 S 156 N
H NMR(CDCl)δ 0.92(t,3H),1.09(m,2H),1.31(m,13H),1.48(m,6H),1.74(t,3H),1.81(t,3H),1.93(m,6H),2.54(t,1H),2.72(t,1H),3.94(t,2H),4.18(t,2H),5.81(d,1H),6.13(q,1H),6.41(d,1H),6.41(d,1H),6.88(d,2H),6.96(d,2H),7.20(t,1H),7.26(d,1H),7.45(d,1H),7.57(d,1H),7.84(s,1H),8.07(d,3H)ppm.
MS(m/z):822[M+1]
(実施例14)式(I-114)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000148
 窒素雰囲気下反応容器に式(I-114-1)で表される化合物4.0g、式(I-114-2)で表される化合物1.7g、N,N-ジメチルアミノピリジン0.3g、ジクロロメタン30mLを加えた。氷冷しながらジイソプロピルカルボジイミド2.1gを滴下し室温で撹拌した。析出物を濾過した後、濾液を塩酸、水、食塩水で洗浄した。カラムクロマトグラフィー(シリカゲル)及び再結晶(ジクロロメタン/メタノール)により精製を行い、式(I-114-3)で表される化合物4.3gを得た。
 反応容器に式(I-114-3)で表される化合物4.3g、メタノール30mL、水10mL、リン酸二水素ナトリウム二水和物5.0g、30%過酸化水素水30mLを加えた。亜塩素酸ナトリウム水溶液を滴下し40℃で加熱撹拌した。水を加え冷却し、固体を濾過、洗浄した。乾燥させることにより、式(I-114-4)で表される化合物4.1gを得た。
 窒素雰囲気下反応容器に式(I-114-4)で表される化合物4.1g、式(I-114-5)で表される化合物2.4g、N,N-ジメチルアミノピリジン0.2g、ジクロロメタン40mLを加えた。氷冷しながらジイソプロピルカルボジイミド1.5gを滴下し室温で撹拌した。析出物を濾過した後、濾液を塩酸、水、食塩水で洗浄した。カラムクロマトグラフィー(シリカゲル)及び再結晶(ジクロロメタン/メタノール)により精製を行い、式(I-114-6)で表される化合物5.0gを得た。
 反応容器に式(I-114-6)で表される化合物3.0g、式(I-114-7)で表される化合物0.8g、(±)-10-カンファースルホン酸0.2g、テトラヒドロフラン20mL、エタノール10mLを加えた。撹拌した後、溶媒を留去しメタノールで分散洗浄した。カラムクロマトグラフィー(シリカゲル)及び再結晶(ジクロロメタン/メタノール)により精製を行い、式(I-114)で表される化合物2.5gを得た。
転移温度(昇温5℃/分):C 64-77 N >220 I
H NMR(CDCl)δ 0.92(t,3H),1.07(q,2H),1.23(m,2H),1.37(m,3H),1.48-1.60(m,6H),1.74(quin,2H),1.83-1.90(m,4H),1.97(d,2H),2.56(tt,1H),4.07(t,2H),4.19(t,2H),5.83(dd,1H),6.13(dd,1H),6.42(dd,1H),7.00(d,2H),7.11(q,1H),7.12(d,1H),7.19-7.31(m,4H),7.46(d,1H),7.61(d,1H),7.85(d,1H),8.09(s,1H),8.17(m,4H)ppm.
MS(m/z):788[M+1]
(実施例15)式(I-115)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000149
 前記と同様の方法によって式(I-115)で表される化合物を得た。
転移温度(昇温5℃/分):C 190 N 260 I
H NMR(CDCl)δ 0.89(t,1H),1.05(t,2H),1.31(q,2H),1.50(m,6H),1.74,(m,15H),2.54(t,1H),4.03(t,2H),4.19(t,2H),5.81(d,1H),6.13(q,1H),6.41(d,1H),6.43(d,1H),7.09(d,2H),7.11(d,2H),7.20(t,1H),7.26(d,1H),7.45(d,1H),7.57(d,1H),7.84(s,1H),8.07(d,3H)ppm.
MS(m/z):750[M+1]
(実施例16)式(I-116)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000150
 反応容器に式(I-116-1)で表される化合物2.5g、式(I-116-2)で表される化合物0.7g、(±)-10-カンファースルホン酸0.2g、テトラヒドロフラン20mL、エタノール10mLを加えた。50℃で加熱撹拌した後、溶媒を留去しメタノールで分散洗浄した。カラムクロマトグラフィー(シリカゲル)及び再結晶(ジクロロメタン/メタノール)により精製を行い、式(I-116)で表される化合物2.2gを得た。
転移温度(昇温5℃/分):C 147-156 N 173 I
H NMR(CDCl)δ 0.92(t,3H),1.11(q,2H),1.25(m,2H),1.37-1.55(m,9H),1.71(m,6H),1.78(m,2H),1.94(m,4H),2.33(m,4H),2.56(m,2H),2.70(m,1H),3.72(s,3H),3.94(t,2H),4.17(t,2H),5.82(dd,1H),6.13(dd,1H),6.40(dd,1H),6.88(d,2H),6.98(m,3H),7.17(t,1H),7.24(dd,1H),7.35(t,1H),7.66-7.72(m,3H),7.88(d,1H)ppm.
MS(m/z):808[M+1]
(実施例17)式(I-117)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000151
 窒素雰囲気下反応容器にヒドラジン一水和物50mL、エタノール50mLを加えた。式(I-117-1)で表される化合物5.0gのエタノール溶液を滴下し50℃で加熱撹拌した。ジクロロメタンで希釈し水、食塩水で洗浄した。硫酸ナトリウムで乾燥した後、溶媒を留去することにより、式(I-117-2)で表される化合物2.8gを得た。
 窒素雰囲気下反応容器に式(I-117-3)で表される化合物4.1g、1,2-ジメトキシエタン20mL、トリエチルアミン10mLを加えた。式(I-117-2)で表される化合物2.8gを滴下し50℃で加熱撹拌した。反応液を水に注ぎ、析出した固体を水、ヘキサンで洗浄することにより、式(I-117-4)で表される化合物3.0gを得た。
 反応容器に式(I-117-4)で表される化合物1.0g、式(I-117-5)で表される化合物2.6g、(±)-10-カンファースルホン酸0.6g、テトラヒドロフラン20mL、エタノール10mLを加えた。50℃で加熱撹拌した後、溶媒を留去しメタノールで分散洗浄した。カラムクロマトグラフィー(シリカゲル)及び再結晶(ジクロロメタン/メタノール)により精製を行い、式(I-117)で表される化合物2.5gを得た。
転移温度(昇温5℃/分):C 117-122 N 146 I
H NMR(CDCl)δ 0.91(m,6H),1.10(q,2H),1.23-1.56(m,18H),1.68-1.81(m,9H),1.94(t,4H),2.32(m,4H),2.56-2.70(m,3H),3.94(t,2H),4.18(t,2H),4.29(t,2H),5.82(dd,1H),6.13(dd,1H),6.40(dd,1H),6.89(d,2H),6.99(m,3H),7.16(t,1H),7.23(dd,1H),7.34(t,1H),7.66-7.72(m,3H),7.90(d,1H)ppm.
MS(m/z):878[M+1]
(実施例18)式(I-118)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000152
 反応容器に式(I-118-1)で表される化合物115.9g、式(I-118-2)で表される化合物120.7g、炭酸セシウム272.2g、N,Nジメチルホルムアミド580mLを加え、60℃で10時間攪拌した。反応液をジクロロメタンで希釈し水、食塩水の順で洗浄した。カラムクロマトグラフィー(アルミナ)により精製を行い、式(I-118-3)で表される化合物166.5gを得た。
 反応容器に式(I-118-3)で表される化合物166.5g、トリエチルアミン77.4g、ジクロロメタン830mLを加えた。塩化アクリロイル55.4gを氷冷しながら滴下し室温で4時間撹拌した。反応液を食塩水で洗浄し、カラムクロマトグラフィー(アルミナ)により精製を行い、オイル状物質を得た。これに10%塩酸35mL、メタノール580mL、テトラヒドロフラン580mLを加え、室温で2時間攪拌した。反応液を飽和炭酸水素ナトリウム水溶液、水、食塩水の順で洗浄し、溶媒を留去した。カラムクロマトグラフィー(シリカゲル)により精製を行い、式(I-118-5)で表される化合物116.8gを得た。
 反応容器に式(I-118-5)で表される化合物60.0g、式(I-118-6)で表される化合物46.2g、N,N-ジメチルアミノピリジン0.2g、ジクロロメタン300mLを加えた。ジイソプロピルカルボジイミド30.7gを氷冷しながら滴下し室温で2時間撹拌した。反応液をろ過してろ液を塩酸、水、食塩水の順で洗浄した。カラムクロマトグラフィー(シリカゲル)及び再結晶(ジクロロメタン/ヘキサン)により精製を行い、式(I-118-7)で表される化合物78.4gを得た。
 反応容器に式(I-118-7)で表される化合物42.5g、ぎ酸170mL、ジクロロメタン210mLを加え、室温で3時間攪拌した。反応液からジクロロメタンを留去し、ジイソプロピルエーテルを加え結晶を析出させることにより式(I-118-8)で表される化合物31.6gを得た。
 反応容器に式(I-118-8)で表される化合物20.0g、式(I-118-9)で表される化合物10.9g、N,N-ジメチルアミノピリジン50mg、ジクロロメタン100mLを加えた。ジイソプロピルカルボジイミド6.7gを氷冷しながら滴下し室温で3時間撹拌した。反応液をろ過してろ液を塩酸、水、食塩水の順で洗浄した。カラムクロマトグラフィー(シリカゲル)及び再結晶(ジクロロメタン/ヘキサン、ジクロロメタン/メタノール)により精製を行い、式(I-118-10)で表される化合物18.4gを得た。
 反応容器に式(I-118-10)で表される化合物10.0g、式(I-118-11)で表される化合物2.4g、(±)-10-カンファースルホン酸70mg、テトラヒドロフラン40mL、メタノール10mLを加え、室温で5時間攪拌した。反応液から溶媒を留去した。カラムクロマトグラフィー(シリカゲル、アルミナ)及び再結晶(ジクロロメタン/メタノール)により精製を行い、式(I-118)で表される化合物7.9gを得た。
転移温度(昇温5℃/分):C 75-108 N 180 I
H NMR(CDCl)δ 0.94(t,3H),1.10(m,2H),1.25(m,2H),1.29-1.57(m,11H),1.80-2.08(m,6H),2.30(m,2H),2.54(m,1H),3.67-3.78(m,6H),3.85(t,2H),4.11(t,2H),4.32(t,2H),5.84(dd,1H),6.15(dd,1H),6.40(dd,1H),6.82-7.00(m,4H),7.08-7.60(m,4H),7.65-8.10(m,3H)、8.40(s,1H)、11.6(s,1H)ppm.
LCMS:826[M+1]
(実施例19)式(I-119)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000153
 反応容器に式(I-119-1)で表される化合物2.5g、式(I-119-2)で表される化合物1.0g、(±)-10-カンファースルホン酸0.5g、テトラヒドロフラン10mL、エタノール10mLを加えた。50℃で加熱撹拌した後、溶媒を留去しメタノールで分散洗浄した。カラムクロマトグラフィー(シリカゲル)及び再結晶により精製を行い、式(I-119)で表される化合物2.0gを得た。
転移温度(昇温5℃/分):C 106 N 125 I
H NMR(CDCl)δ 0.92(t,3H),1.05-1.83(m,22H),1.93(t,5H),2.33(m,4H),2.55(m,2H),2.71(m、1H),3.30(s,3H),3.62(m,2H),3.85(t,2H),3.94(t,2H),4.17(t,2H),4.48(t,2H),5.82(dd,1H),6.12(dd,1H),6.40(dd,1H),6.88(d,2H),6.99(m,3H),7.17(t,1H),7.23(dd,1H),7.34(t,1H),7.66(d,1H),7.71(d,1H),7.89(d,1H),8.02(s,1H)ppm.
(実施例20)式(I-120)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000154
 反応容器に式(I-120-1)で表される化合物1.0g、式(I-120-2)で表される化合物0.4g、(±)-10-カンファースルホン酸0.5g、テトラヒドロフラン20mL、エタノール10mLを加え、50℃で撹拌した。反応液から溶媒を留去し、メタノールで分散洗浄した。カラムクロマトグラフィー(シリカゲル)及び再結晶(ジクロロメタン/メタノール)により精製を行い、式(I-120)で表される化合物0.9gを得た。
転移温度(昇温5℃/分):C 131 I
H NMR(CDCl)δ 0.88-0.94(m,6H),1.10(m,2H),1.22-1.52(m,13H),1.72(m,6H),1.94(t,4H),2.32(m,4H),2.53-2.62(m,3H),3.69-3.77(m,6H),3.86(t,2H),4.12(t,2H),4.27-4.34(m,4H),5.83(dd,1H),6.16(dd,1H),6.43(dd,1H),6.91(d,2H),6.97-7.02(m,3H),7.16(t,1H),7.23(dd,1H),7.33(t,1H),6.66-7.72(m,3H),7.90(d,1H)ppm.
LCMS:910[M+1]
(実施例21)式(I-121)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000155
 窒素雰囲気下、反応容器に式(I-121-1)で表される化合物20.0g、テトラヒドロフラン120mLを加えた。氷冷しながらボラン-テトラヒドロフラン錯体(0.9mol/L)143mLを滴下し2時間撹拌した。5%塩酸200mLに注いだ後、酢酸エチル200mLで分液処理した。硫酸ナトリウムで乾燥させた後、溶媒を留去することにより式(I-121-2)で表される化合物17.6gを得た。
 窒素雰囲気下、反応容器に式(I-121-2)で表される化合物17.6g、ピリジン12.1g、ジクロロメタン100mLを加えた。氷冷しながらメタンスルホニルクロリド12.9gを滴下し室温で8時間撹拌した。5%塩酸に注いだ後、分液処理した。カラムクロマトグラフィー(シリカゲル)により精製を行い、式(I-121-3)で表される化合物23.0gを得た。
 反応容器に式(I-121-3)で表される化合物4.0g、式(I-121-4)で表される化合物3.9g、炭酸カリウム3.5g、N,N-ジメチルホルムアミド30mLを加え90℃で12時間加熱撹拌した。ジクロロメタンで希釈し水、食塩水で洗浄した。カラムクロマトグラフィー(シリカゲル)及び再結晶により精製を行い、式(I-121-5)で表される化合物5.1gを得た。
 反応容器に式(I-121-5)で表される化合物5.1g、テトラヒドロフラン30mL、メタノール30mL、25%水酸化ナトリウム水溶液10mLを加え60℃で撹拌した。塩酸を加え溶媒を留去した。水で洗浄し乾燥させることにより式(I-121-6)で表される化合物4.9gを得た。
 窒素雰囲気下反応容器に式(I-121-6)で表される化合物4.9g、式(I-121-7)で表される化合物3.4g、N,N-ジメチルアミノピリジン0.1g、ジクロロメタン40mLを加えた。氷冷しながらジイソプロピルカルボジイミド1.6gを滴下し撹拌した。カラムクロマトグラフィー(シリカゲル)及び再結晶により精製を行い、式(I-121-8)で表される化合物5.7gを得た。
 反応容器に式(I-121-8)で表される化合物2.5g、式(I-121-9)で表される化合物1.1g、(±)-10-カンファースルホン酸0.5g、テトラヒドロフラン10mL、エタノール10mLを加えた。50℃で加熱撹拌した後、溶媒を留去しメタノールで分散洗浄した。カラムクロマトグラフィー(シリカゲル)及び再結晶により精製を行い、式(I-121)で表される化合物2.1gを得た。
転移温度(昇温5℃/分、降温5℃/分):C 101-105(N 82)I
H NMR(CDCl)δ 0.92(t,3H),1.08-1.91(m,26H),2.06(d,2H),2.24(d,2H),2.51(m,2H),3.30(s,3H),3.51(dd,2H),3.67(dd,2H),3.87(quin,4H),3.94(t,2H),4.17(t,2H),4.54(t,2H),5.82(dd,1H),6.12(dd,1H),6.40(dd,1H),6.86(m,3H),6.97(m,2H),7.16(m,2H),7.32(t,1H),7.65(d,1H),7.70(d,1H),7.82(d,1H),8.36(s,1H)ppm.
(実施例22)式(I-122)で表される化合物の製造
Figure JPOXMLDOC01-appb-C000156
 反応容器に式(I-122-1)で表される化合物4.0g、式(I-122-2)で表される化合物4.2g、炭酸カリウム3.5g、N,N-ジメチルホルムアミド30mLを加え90℃で12時間加熱撹拌した。ジクロロメタンで希釈し水、食塩水で洗浄した。カラムクロマトグラフィー(シリカゲル)及び再結晶により精製を行い、式(I-122-3)で表される化合物4.6gを得た。
 反応容器に式(I-122-3)で表される化合物4.6g、テトラヒドロフラン30mL、メタノール30mL、25%水酸化ナトリウム水溶液10mLを加え60℃で撹拌した。塩酸を加え溶媒を留去した。水で洗浄し乾燥させることにより式(I-122-4)で表される化合物4.4gを得た。
 窒素雰囲気下反応容器に式(I-122-4)で表される化合物4.4g、式(I-122-5)で表される化合物3.1g、N,N-ジメチルアミノピリジン0.1g、ジクロロメタン40mLを加えた。氷冷しながらジイソプロピルカルボジイミド1.8gを滴下し撹拌した。カラムクロマトグラフィー(シリカゲル)及び再結晶により精製を行い、式(I-122-6)で表される化合物5.1gを得た。
 反応容器に式(I-122-6)で表される化合物2.5g、式(I-122-7)で表される化合物1.1g、(±)-10-カンファースルホン酸0.5g、テトラヒドロフラン10mL、エタノール10mLを加えた。50℃で加熱撹拌した後、溶媒を留去しメタノールで分散洗浄した。カラムクロマトグラフィー(シリカゲル)及び再結晶により精製を行い、式(I-122)で表される化合物1.8gを得た。転移温度(昇温5℃/分):C 67-100 I
H NMR(CDCl)δ 1.00(t,3H),1.28(m,2H),1.45-1.81(m,12H),1.97(br,1H),2.13(m,2H),2.26(m,2H),2.57(tt,1H),2.65(t,2H),3.27(s,3H),3.37(m,2H),3.50(m,2H),3.70(t,2H),3.95(q,4H),4.17(t,2H),4.33(t,2H),5.82(dd,1H),6.12(dd,1H),6.40(dd,1H),6.87(d,2H),6.98(m,3H),7.15(t,1H),7.25(m,5H),7.32(t,1H),7.64(m,2H),7.69(d,1H),7.91(s,1H)ppm.
 実施例1から実施例22と同様の方法、公知の方法と同様の方法を用いて、式(I-11)から式(I-110)、式(I-123)から式(I-133)で表される化合物を製造した。
(実施例23~44、比較例1~3)
 実施例1から実施例22記載の式(I-1)から式(I-10)、式(I-111)から式(I-122)で表される化合物及び特許文献1記載の化合物(R-1)、特許文献2記載の化合物(R-2)、特許文献3記載の化合物(R-3)を評価対象の化合物とした。
Figure JPOXMLDOC01-appb-C000157
 保存安定性を評価するために、評価対象の化合物の安定保存濃度を測定した。安定保存濃度は、母体液晶に評価対象となる化合物を5%から25%まで5%刻みで添加した組成物を各々調製し、調製した組成物を17.5℃で10週間放置した後に、結晶の析出が起こらない当該化合物の最大添加濃度と定義する。最大添加濃度が大きい化合物は安定保存濃度が大きく、長期間の保存によっても結晶の析出が発生しないことを意味する。
 安定保存濃度を測定するために、特開2005-015473号公報記載の化合物(X-1):30%、WO2009/122868A1号公報記載の化合物(X-2):30%及び特表2002-542219号公報記載の化合物(X-3):40%からなる液晶組成物を母体液晶(X)とした。評価結果を下表に示す。
Figure JPOXMLDOC01-appb-C000158
Figure JPOXMLDOC01-appb-T000159
 表より、実施例23から実施例44の本願発明の化合物はいずれも結晶の析出の起こらない最大添加濃度が高いことから、高い保存安定性を示すことがわかる。
(実施例45~66、比較例4~6)
 配向膜用ポリイミド溶液を厚さ0.7mmのガラス基材にスピンコート法を用いて塗布し、100℃で10分乾燥した後、200℃で60分焼成することにより塗膜を得た。得られた塗膜をラビング処理した。ラビング処理は、市販のラビング装置を用いて行った。
 母体液晶(X)に評価対象となる化合物を50%添加することにより調製した組成物各々に対し、光重合開始剤Irgacure907(BASF社製)を1%、4-メトキシフェノールを0.1%及びクロロホルムを80%添加し塗布液を調製した。この塗布液をラビングしたガラス基材にスピンコート法により塗布した。80℃で1分間乾燥させた後、さらに120℃で1分間乾燥した。その後、高圧水銀ランプを用いて、紫外線を40mW/cmの強度で25秒間照射することにより、評価対象のフィルムを各10枚ずつ作製した。フィルム実施例番号と評価対象の化合物の対応を下表に示す。
Figure JPOXMLDOC01-appb-T000160
 次に、作製した10枚のフィルムに対し、キセノンランプ照射テスト機(アトラス社製サンテストXLS)を用い、60mW/cm、26℃で120Jのサンテストを行った。得られた各フィルムについて、目視による変色・剥離等の外観を評価した。
〈変色〉
 サンテストを行った10枚の各フィルムについて、各々イエローインデックス(YI)を測定した。サンテスト実施前の10枚のYI平均値と、サンテスト実施後の10枚のYI平均値との差(ΔYI)を算出した。イエローインデックス(YI)はJASCO UV/VIS Spectrophotometer V-560で重合体の吸収スペクトルを測定し、付属のカラー診断プログラムを使用し計算した。計算式は、
YI=100(1.28X-1.06Z)/Y (JIS K7373)
(X、Y、ZはXYZ表色系における3刺激値を表す。)である。ΔYI値が大きいほど、サンテスト実施後の変色が強く見られることを意味する。
〈剥離〉
 フィルムを縦10マス×横10マス、計100マスの領域に区分した。サンテストを行った10枚の各フィルムについて、剥離の生じたマス目をカウントし、全マス目1000マス(100マス/枚×10枚)中、剥離の生じたマス目の割合(%)を評価した。
結果を下表に示す。
Figure JPOXMLDOC01-appb-T000161
 表より、実施例45から実施例66の本願発明の化合物を使用して作製したフィルムはいずれも、紫外光を照射した場合に、変色や基材からの剥離が生じにくいことがわかる。従って、本願発明の化合物は、重合性組成物の構成部材として有用である。また、本願発明の化合物を含有する重合性液晶組成物を用いた光学異方体は光学フィルム等の用途に有用である。

Claims (9)

  1.  一般式(I)
    Figure JPOXMLDOC01-appb-C000001
    (式中、R及びRは各々独立して水素原子又は炭素原子数1から80の炭化水素基を表すが、当該基は置換基を有していても良く、任意の炭素原子はヘテロ原子に置換されていても良いが、R及びRのうち少なくとも1つが重合性基を含む基を表し、
    、A及びAは各々独立して1,4-フェニレン基、1,4-シクロヘキシレン基、ピリジン-2,5-ジイル基、ピリミジン-2,5-ジイル基、ナフタレン-2,6-ジイル基、ナフタレン-1,4-ジイル基、テトラヒドロナフタレン-2,6-ジイル基、デカヒドロナフタレン-2,6-ジイル基又は1,3-ジオキサン-2,5-ジイル基を表すが、これらの基は無置換であるか又は1つ以上の置換基Lによって置換されても良く、
    、Z及びZは各々独立して-O-、-S-、-OCH-、-CHO-、-CHCH-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-OCO-NH-、-NH-COO-、-NH-CO-NH-、-NH-O-、-O-NH-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-、-N=CH-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表し、
    Mは置換されていても良い3価の芳香族基を表し、
    Tは下記の式(T-1)又は式(T-2)
    Figure JPOXMLDOC01-appb-C000002
    (式中、Wは置換されていても良い炭素原子数1から80の芳香族基及び/又は非芳香族基を含む基を表すが、当該芳香族基は炭化水素環又は複素環であっても良く、当該非芳香族基は炭化水素基又は炭化水素基の任意の炭素原子がヘテロ原子に置換された基であっても良く(但し、酸素原子同士が直接結合することは無い。)、当該基は無置換であるか又は1つ以上の置換基Lによって置換されても良く、
    は水素原子、又は、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すが、当該アルキル基中の任意の水素原子はフッ素原子に置換されても良く、若しくは、Wは置換されていても良い炭素原子数1から80の芳香族基及び/又は非芳香族基を含む基を表すが、当該芳香族基は炭化水素環又は複素環であっても良く、当該非芳香族基は炭化水素基又は炭化水素基の任意の炭素原子がヘテロ原子に置換された基であっても良く(但し、酸素原子同士が直接結合することは無い。)、当該基は無置換であるか又は1つ以上の置換基Lによって置換されても良く、若しくは、WはP-(Sp-XkW-で表される基を表しても良く、ここでPは重合性基を表し、Spはスペーサー基又は単結合を表すが、Spが複数存在する場合それらは同一であっても異なっていても良く、Xは-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表すが、Xが複数存在する場合それらは同一であっても異なっていても良く(ただし、P-(Sp-XkW-には-O-O-結合を含まない。)、kWは0から10の整数を表すが、W及びWは一緒になって環構造を形成しても良く、
    はフッ素原子、塩素原子、臭素原子、ヨウ素原子、ペンタフルオロスルフラニル基、ニトロ基、シアノ基、イソシアノ基、アミノ基、ヒドロキシル基、メルカプト基、メチルアミノ基、ジメチルアミノ基、ジエチルアミノ基、ジイソプロピルアミノ基、トリメチルシリル基、ジメチルシリル基、チオイソシアノ基、又は、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すが、当該アルキル基中の任意の水素原子はフッ素原子に置換されても良く、若しくは、LはPLW-(SpLW-XLWkLW-で表される基を表しても良く、ここでPLWは重合性基を表し、SpLWはスペーサー基又は単結合を表すが、SpLWが複数存在する場合それらは同一であっても異なっていても良く、XLWは-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表すが、XLWが複数存在する場合それらは同一であっても異なっていても良く(ただし、PLW-(SpLW-XLWkLW-には-O-O-結合を含まない。)、kLWは0から10の整数を表すが、化合物内にLが複数存在する場合それらは同一であっても異なっていても良く、
    Yは水素原子、フッ素原子、塩素原子、臭素原子、ヨウ素原子、ペンタフルオロスルフラニル基、ニトロ基、シアノ基、イソシアノ基、アミノ基、ヒドロキシル基、メルカプト基、メチルアミノ基、ジメチルアミノ基、ジエチルアミノ基、ジイソプロピルアミノ基、トリメチルシリル基、ジメチルシリル基、チオイソシアノ基又は1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すが、当該アルキル基中の任意の水素原子はフッ素原子に置換されても良く、若しくはYはP-(Sp-XkY-で表される基を表しても良く、Pは重合性基を表し、Spはスペーサー基又は単結合を表すが、Spが複数存在する場合それらは同一であっても異なっていても良く、Xは-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表すが、Xが複数存在する場合それらは同一であっても異なっていても良く(ただし、P-(Sp-XkY-には-O-O-結合を含まない。)、kYは0から10の整数を表す。)から選ばれる基を表し、
    Lはフッ素原子、塩素原子、臭素原子、ヨウ素原子、ペンタフルオロスルフラニル基、ニトロ基、シアノ基、イソシアノ基、アミノ基、ヒドロキシル基、メルカプト基、メチルアミノ基、ジメチルアミノ基、ジエチルアミノ基、ジイソプロピルアミノ基、トリメチルシリル基、ジメチルシリル基、チオイソシアノ基、又は、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-S-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-CH=CH-、-CF=CF-又は-C≡C-によって置換されても良い炭素原子数1から20の直鎖状又は分岐状アルキル基を表すが、当該アルキル基中の任意の水素原子はフッ素原子に置換されても良く、若しくは、LはP-(Sp-XkL-で表される基を表しても良く、ここでPは重合性基を表し、Spはスペーサー基又は単結合を表すが、Spが複数存在する場合それらは同一であっても異なっていても良く、Xは-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表すが、Xが複数存在する場合それらは同一であっても異なっていても良く(ただし、P-(Sp-XkL-には-O-O-結合を含まない。)、kLは0から10の整数を表すが、化合物内にLが複数存在する場合それらは同一であっても異なっていても良く、T-Mを連結する基は単結合であっても二重結合であっても良い。)で表される化合物。
  2.  一般式(I)において、R及びRのうち少なくとも1つが下記一般式(I-R)
    Figure JPOXMLDOC01-appb-C000003
    (式中、Pは重合性基を表し、Spはスペーサー基又は単結合を表すが、Spが複数存在する場合それらは同一であっても異なっていても良く、Xは-O-、-S-、-OCH-、-CHO-、-CO-、-COO-、-OCO-、-CO-S-、-S-CO-、-O-CO-O-、-CO-NH-、-NH-CO-、-SCH-、-CHS-、-CFO-、-OCF-、-CFS-、-SCF-、-CH=CH-COO-、-CH=CH-OCO-、-COO-CH=CH-、-OCO-CH=CH-、-COO-CHCH-、-OCO-CHCH-、-CHCH-COO-、-CHCH-OCO-、-COO-CH-、-OCO-CH-、-CH-COO-、-CH-OCO-、-CH=CH-、-N=N-、-CH=N-N=CH-、-CF=CF-、-C≡C-又は単結合を表すが、Xが複数存在する場合それらは同一であっても異なっていても良く(ただし、P-(Sp-X)-には-O-O-結合を含まない。)、kは0から10の整数を表す。)で表される基を表す、請求項1に記載の化合物。
  3.  式(I-R)において、Pが下記の式(P-1)から式(P-20)
    Figure JPOXMLDOC01-appb-C000004
    から選ばれる基を表す請求項2に記載の化合物。
  4.  式(I-R)において、Spが各々独立して、1個の-CH-又は隣接していない2個以上の-CH-が各々独立して-O-、-COO-、-OCO-、-OCO-O-、-CO-NH-、-NH-CO-、-CH=CH-又は-C≡C-に置き換えられても良い炭素原子数1から20のアルキレン基を表す、請求項2又は請求項3に記載の化合物。
  5.  請求項1から請求項4のいずれか一項に記載の化合物を含有する組成物。
  6.  請求項1から請求項4のいずれか一項に記載の化合物を含有する液晶組成物。
  7.  請求項5又は請求項6に記載の組成物を重合することにより得られる重合体。
  8. 請求項7記載の重合体を用いた光学異方体。
  9.  請求項1から請求項4のいずれか一項に記載の化合物を用いた樹脂、樹脂添加剤、オイル、フィルター、接着剤、粘着剤、油脂、インキ、医薬品、化粧品、洗剤、建築材料、包装材、液晶材料、有機EL材料、有機半導体材料、電子材料、表示素子、電子デバイス、通信機器、自動車部品、航空機部品、機械部品、農薬及び食品並びにそれらを使用した製品。
PCT/JP2016/054399 2015-02-24 2016-02-16 重合性化合物及び光学異方体 WO2016136533A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2016567448A JP6164509B2 (ja) 2015-02-24 2016-02-16 重合性化合物及び光学異方体
CN201680009332.3A CN107207419B (zh) 2015-02-24 2016-02-16 聚合性化合物和光学各向异性体
KR1020177022782A KR20170121174A (ko) 2015-02-24 2016-02-16 중합성 화합물 및 광학 이방체
US15/547,949 US10597371B2 (en) 2015-02-24 2016-02-16 Polymerizable compound and optical isomer

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015-033948 2015-02-24
JP2015033948 2015-02-24

Publications (1)

Publication Number Publication Date
WO2016136533A1 true WO2016136533A1 (ja) 2016-09-01

Family

ID=56788603

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2016/054399 WO2016136533A1 (ja) 2015-02-24 2016-02-16 重合性化合物及び光学異方体

Country Status (5)

Country Link
US (1) US10597371B2 (ja)
JP (1) JP6164509B2 (ja)
KR (1) KR20170121174A (ja)
CN (1) CN107207419B (ja)
WO (1) WO2016136533A1 (ja)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017098952A1 (ja) * 2015-12-07 2017-06-15 Dic株式会社 重合性化合物の製造方法
WO2017098988A1 (ja) * 2015-12-08 2017-06-15 Dic株式会社 重合性化合物及び光学異方体
WO2017209161A1 (ja) * 2016-06-03 2017-12-07 Jnc株式会社 重合性極性化合物、液晶組成物、および液晶表示素子
JP2018035126A (ja) * 2016-09-02 2018-03-08 Dic株式会社 重合性化合物及び光学異方体
WO2018096938A1 (ja) * 2016-11-22 2018-05-31 日本ゼオン株式会社 重合性化合物、重合性組成物、高分子、光学フィルム、光学異方体、偏光板、フラットパネル表示装置、有機エレクトロルミネッセンス表示装置、反射防止フィルム、および化合物
WO2018128084A1 (ja) * 2017-01-06 2018-07-12 Dic株式会社 重合性化合物及び光学異方体
WO2018168778A1 (ja) * 2017-03-17 2018-09-20 日本ゼオン株式会社 重合性化合物、重合性液晶混合物、高分子、光学フィルム、光学異方体、偏光板、表示装置、反射防止フィルム、および化合物
JP6473537B1 (ja) * 2017-10-13 2019-02-20 大日本印刷株式会社 重合性液晶化合物、重合性組成物、重合体、位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
WO2019151263A1 (ja) * 2018-02-05 2019-08-08 日本ゼオン株式会社 1,1-ジ置換ヒドラジン化合物の製造方法および重合性化合物の製造方法
JP2020041026A (ja) * 2018-09-07 2020-03-19 大日本印刷株式会社 重合性組成物、位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
JP2020042088A (ja) * 2018-09-07 2020-03-19 大日本印刷株式会社 重合性組成物、重合体、位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
JP2020086209A (ja) * 2018-11-28 2020-06-04 大日本印刷株式会社 重合性組成物、重合体、位相差フィルム、位相差フィルムの製造方法、転写用積層体、光学部材、光学部材の製造方法及び表示装置
JP2020086397A (ja) * 2018-11-30 2020-06-04 大日本印刷株式会社 位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
JP2020118709A (ja) * 2019-01-18 2020-08-06 大日本印刷株式会社 重合性組成物、位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
JP2020134634A (ja) * 2019-02-15 2020-08-31 大日本印刷株式会社 重合性液晶化合物、重合性組成物、重合体、位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
US11421073B2 (en) 2019-11-14 2022-08-23 Samsung Electronics Co., Ltd. Highly thermally conductive epoxy compound, and composition, material for semiconductor package, molded product, electric and electronic device and semiconductor package including the same

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6217999B2 (ja) * 2014-10-09 2017-10-25 Dic株式会社 重合性化合物及び光学異方体
WO2016114253A1 (ja) 2015-01-16 2016-07-21 Dic株式会社 重合性組成物及びそれを用いた光学異方体
KR102552212B1 (ko) * 2015-01-16 2023-07-06 디아이씨 가부시끼가이샤 중합성 조성물 및 광학 이방체
JP6255632B2 (ja) 2015-01-16 2018-01-10 Dic株式会社 重合性組成物及びそれを用いた光学異方体
WO2016114346A1 (ja) * 2015-01-16 2016-07-21 Dic株式会社 重合性組成物及びそれを用いた光学異方体
CN106278895B (zh) * 2015-05-15 2021-07-09 Dic株式会社 羧酸化合物、其制造方法、使用该化合物的液晶组合物
KR102600890B1 (ko) * 2015-11-09 2023-11-13 디아이씨 가부시끼가이샤 중합성 화합물 및 광학 이방체
JP7386256B2 (ja) * 2019-09-27 2023-11-24 富士フイルム株式会社 重合性液晶組成物、硬化物、光学フィルム、偏光板および画像表示装置
CN114560828B (zh) * 2022-03-25 2024-01-26 江苏创拓新材料有限公司 可聚合化合物及其形成的光学各向异性体

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014010325A1 (ja) * 2012-07-09 2014-01-16 日本ゼオン株式会社 重合性化合物、重合性組成物、高分子、光学異方体、及び重合性化合物の製造方法
WO2014065176A1 (ja) * 2012-10-23 2014-05-01 日本ゼオン株式会社 重合性化合物、重合性組成物、高分子、及び光学異方体
WO2014065243A1 (ja) * 2012-10-22 2014-05-01 日本ゼオン株式会社 位相差板、円偏光板、及び画像表示装置
WO2014069515A1 (ja) * 2012-10-30 2014-05-08 日本ゼオン株式会社 液晶組成物、位相差板、画像表示装置、および光学異方性層の波長分散制御方法
WO2014126113A1 (ja) * 2013-02-15 2014-08-21 日本ゼオン株式会社 重合性化合物、重合性組成物、高分子、及び光学異方体
WO2014132978A1 (ja) * 2013-02-28 2014-09-04 富士フイルム株式会社 位相差板、反射防止板、画像表示装置、および位相差板の製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5445854A (en) 1993-11-29 1995-08-29 The Dow Chemical Company Nonlinear optical epoxy-containing compositions and crosslinked nonlinear optical polymeric composition therefrom
JP5463666B2 (ja) 2007-12-28 2014-04-09 住友化学株式会社 化合物、光学フィルム及び光学フィルムの製造方法
CN101470212B (zh) * 2007-12-28 2014-10-22 住友化学株式会社 光学膜
JP5826759B2 (ja) 2009-10-30 2015-12-02 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung 重合性lc材料および負の光学的分散を有するポリマーフィルム
TWI622598B (zh) * 2011-03-29 2018-05-01 Dainippon Ink & Chemicals 聚合性化合物、聚合性組成物及光學各向異性體
EP2698388B1 (en) 2011-04-15 2015-12-09 Zeon Corporation Polymerizable compound, polymerizable composition, polymer, and optically anisotropic body
US9207360B2 (en) * 2011-04-27 2015-12-08 Zeno Corporation Polymerizable compound, polymerizable composition, polymer, and optically anisotropic body
WO2012169424A1 (ja) 2011-06-10 2012-12-13 日本ゼオン株式会社 重合性化合物、重合性組成物、高分子、及び光学異方体
KR101968235B1 (ko) 2012-05-30 2019-04-11 제온 코포레이션 중합성 화합물, 중합성 조성물, 고분자, 및 광학 이방체
US10273322B2 (en) 2013-08-22 2019-04-30 Zeon Corporation Polymerizable compound, polymerizable composition, polymer, and optical anisotropic body
CN105745233B (zh) 2013-10-31 2018-06-12 日本瑞翁株式会社 聚合性化合物、聚合性组合物、高分子、及光学各向异性体
KR102273081B1 (ko) 2014-02-12 2021-07-02 제온 코포레이션 중합성 화합물, 중합성 조성물, 고분자, 및 광학 이방체
JP6217999B2 (ja) * 2014-10-09 2017-10-25 Dic株式会社 重合性化合物及び光学異方体
US10633353B2 (en) * 2014-12-04 2020-04-28 Dic Corporation Polymerizable compound, composition, polymer, optically anisotropic body, liquid crystal display element, and organic EL display
JP6531935B2 (ja) * 2014-12-17 2019-06-19 Dic株式会社 重合性化合物及び光学異方体

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014010325A1 (ja) * 2012-07-09 2014-01-16 日本ゼオン株式会社 重合性化合物、重合性組成物、高分子、光学異方体、及び重合性化合物の製造方法
WO2014065243A1 (ja) * 2012-10-22 2014-05-01 日本ゼオン株式会社 位相差板、円偏光板、及び画像表示装置
WO2014065176A1 (ja) * 2012-10-23 2014-05-01 日本ゼオン株式会社 重合性化合物、重合性組成物、高分子、及び光学異方体
WO2014069515A1 (ja) * 2012-10-30 2014-05-08 日本ゼオン株式会社 液晶組成物、位相差板、画像表示装置、および光学異方性層の波長分散制御方法
WO2014126113A1 (ja) * 2013-02-15 2014-08-21 日本ゼオン株式会社 重合性化合物、重合性組成物、高分子、及び光学異方体
WO2014132978A1 (ja) * 2013-02-28 2014-09-04 富士フイルム株式会社 位相差板、反射防止板、画像表示装置、および位相差板の製造方法

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017098952A1 (ja) * 2015-12-07 2017-06-15 Dic株式会社 重合性化合物の製造方法
WO2017098988A1 (ja) * 2015-12-08 2017-06-15 Dic株式会社 重合性化合物及び光学異方体
US11046889B2 (en) * 2015-12-08 2021-06-29 Dic Corporation Polymerizable compound and optically anisotropic body
US20180362847A1 (en) * 2015-12-08 2018-12-20 Dic Corporation Polymerizable compound and optically anisotropic body
WO2017209161A1 (ja) * 2016-06-03 2017-12-07 Jnc株式会社 重合性極性化合物、液晶組成物、および液晶表示素子
JPWO2017209161A1 (ja) * 2016-06-03 2018-11-08 Jnc株式会社 重合性極性化合物、液晶組成物、および液晶表示素子
JP2018035126A (ja) * 2016-09-02 2018-03-08 Dic株式会社 重合性化合物及び光学異方体
CN109996780A (zh) * 2016-11-22 2019-07-09 日本瑞翁株式会社 聚合性化合物、聚合性组合物、高分子、光学膜、光学各向异性体、偏振片、平板显示装置、有机电致发光显示装置、防反射膜和化合物
WO2018096938A1 (ja) * 2016-11-22 2018-05-31 日本ゼオン株式会社 重合性化合物、重合性組成物、高分子、光学フィルム、光学異方体、偏光板、フラットパネル表示装置、有機エレクトロルミネッセンス表示装置、反射防止フィルム、および化合物
JPWO2018096938A1 (ja) * 2016-11-22 2019-10-17 日本ゼオン株式会社 重合性化合物、重合性組成物、高分子、光学フィルム、光学異方体、偏光板、フラットパネル表示装置、有機エレクトロルミネッセンス表示装置、反射防止フィルム、および化合物
WO2018128084A1 (ja) * 2017-01-06 2018-07-12 Dic株式会社 重合性化合物及び光学異方体
JP6418476B1 (ja) * 2017-01-06 2018-11-07 Dic株式会社 重合性化合物及び光学異方体
WO2018168778A1 (ja) * 2017-03-17 2018-09-20 日本ゼオン株式会社 重合性化合物、重合性液晶混合物、高分子、光学フィルム、光学異方体、偏光板、表示装置、反射防止フィルム、および化合物
JPWO2018168778A1 (ja) * 2017-03-17 2020-01-16 日本ゼオン株式会社 重合性化合物、重合性液晶混合物、高分子、光学フィルム、光学異方体、偏光板、表示装置、反射防止フィルム、および化合物
JP7310601B2 (ja) 2017-03-17 2023-07-19 日本ゼオン株式会社 重合性化合物、重合性液晶混合物、高分子、光学フィルム、光学異方体、偏光板、表示装置、反射防止フィルム、および化合物
CN110382471B (zh) * 2017-03-17 2023-09-01 日本瑞翁株式会社 聚合性化合物、聚合性液晶混合物、高分子、光学膜、光学各向异性体、偏振片、显示装置、防反射膜和化合物
CN110382471A (zh) * 2017-03-17 2019-10-25 日本瑞翁株式会社 聚合性化合物、聚合性液晶混合物、高分子、光学膜、光学各向异性体、偏振片、显示装置、防反射膜和化合物
CN111201220B (zh) * 2017-10-13 2023-10-17 大日本印刷株式会社 聚合性液晶化合物、聚合性组合物、相位差膜、转印用层叠体、光学构件
JP6473537B1 (ja) * 2017-10-13 2019-02-20 大日本印刷株式会社 重合性液晶化合物、重合性組成物、重合体、位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
JP2019073496A (ja) * 2017-10-13 2019-05-16 大日本印刷株式会社 重合性液晶化合物、重合性組成物、重合体、位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
CN111201220A (zh) * 2017-10-13 2020-05-26 大日本印刷株式会社 聚合性液晶化合物、聚合性组合物、聚合物、相位差膜及其制造方法、转印用层叠体、光学构件及其制造方法、以及显示装置
WO2019074007A1 (ja) * 2017-10-13 2019-04-18 大日本印刷株式会社 重合性液晶化合物、重合性組成物、重合体、位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
US11560518B2 (en) 2017-10-13 2023-01-24 Dai Nippon Printing Co., Ltd. Polymerizable liquid crystal compound, polymerizable composition, polymer, retardation film, method for producing retardation film, transfer laminate, optical member, method for producing optical member, and display device
JP7318535B2 (ja) 2018-02-05 2023-08-01 日本ゼオン株式会社 1,1-ジ置換ヒドラジン化合物の製造方法および重合性化合物の製造方法
WO2019151263A1 (ja) * 2018-02-05 2019-08-08 日本ゼオン株式会社 1,1-ジ置換ヒドラジン化合物の製造方法および重合性化合物の製造方法
JPWO2019151263A1 (ja) * 2018-02-05 2021-01-28 日本ゼオン株式会社 1,1−ジ置換ヒドラジン化合物の製造方法および重合性化合物の製造方法
JP2020041026A (ja) * 2018-09-07 2020-03-19 大日本印刷株式会社 重合性組成物、位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
JP7200558B2 (ja) 2018-09-07 2023-01-10 大日本印刷株式会社 重合性組成物、位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
JP7255111B2 (ja) 2018-09-07 2023-04-11 大日本印刷株式会社 重合性組成物、重合体、位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
JP2020042088A (ja) * 2018-09-07 2020-03-19 大日本印刷株式会社 重合性組成物、重合体、位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
JP7172504B2 (ja) 2018-11-28 2022-11-16 大日本印刷株式会社 重合性組成物、重合体、位相差フィルム、位相差フィルムの製造方法、転写用積層体、光学部材、光学部材の製造方法及び表示装置
JP2020086209A (ja) * 2018-11-28 2020-06-04 大日本印刷株式会社 重合性組成物、重合体、位相差フィルム、位相差フィルムの製造方法、転写用積層体、光学部材、光学部材の製造方法及び表示装置
JP7155957B2 (ja) 2018-11-30 2022-10-19 大日本印刷株式会社 位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
JP2020086397A (ja) * 2018-11-30 2020-06-04 大日本印刷株式会社 位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
JP7192514B2 (ja) 2019-01-18 2022-12-20 大日本印刷株式会社 重合性組成物、位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
JP2020118709A (ja) * 2019-01-18 2020-08-06 大日本印刷株式会社 重合性組成物、位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
JP2020134634A (ja) * 2019-02-15 2020-08-31 大日本印刷株式会社 重合性液晶化合物、重合性組成物、重合体、位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
JP7251197B2 (ja) 2019-02-15 2023-04-04 大日本印刷株式会社 重合性液晶化合物、重合性組成物、重合体、位相差フィルム及びその製造方法、転写用積層体、光学部材及びその製造方法、並びに表示装置
US11421073B2 (en) 2019-11-14 2022-08-23 Samsung Electronics Co., Ltd. Highly thermally conductive epoxy compound, and composition, material for semiconductor package, molded product, electric and electronic device and semiconductor package including the same

Also Published As

Publication number Publication date
US10597371B2 (en) 2020-03-24
CN107207419B (zh) 2021-03-09
US20180022716A1 (en) 2018-01-25
JP6164509B2 (ja) 2017-07-19
JPWO2016136533A1 (ja) 2017-04-27
CN107207419A (zh) 2017-09-26
KR20170121174A (ko) 2017-11-01

Similar Documents

Publication Publication Date Title
JP6164509B2 (ja) 重合性化合物及び光学異方体
JP6540849B2 (ja) 重合性化合物及び光学異方体
JP6217999B2 (ja) 重合性化合物及び光学異方体
JP7067302B2 (ja) 重合性化合物及び光学異方体
JP6066252B2 (ja) 重合性化合物及び光学異方体
JP6292462B2 (ja) 重合性化合物及び光学異方体
JP6634692B2 (ja) 重合性化合物及び光学異方体
JP6319618B2 (ja) 重合性化合物及び光学異方体
JP6241654B2 (ja) 重合性化合物及び光学異方体
JP6531935B2 (ja) 重合性化合物及び光学異方体
JP6769131B2 (ja) 重合性化合物及び光学異方体
JP2018184599A (ja) 重合性化合物及び光学異方体
JP6418476B1 (ja) 重合性化合物及び光学異方体
JP6550742B2 (ja) 重合性化合物及び光学異方体
JP2018035126A (ja) 重合性化合物及び光学異方体
JP6772549B2 (ja) 重合性化合物及び光学異方体

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 16755280

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2016567448

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 15547949

Country of ref document: US

ENP Entry into the national phase

Ref document number: 20177022782

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 16755280

Country of ref document: EP

Kind code of ref document: A1