WO2015178250A1 - ペリクル膜、ペリクル、露光原版、露光装置及び半導体装置の製造方法 - Google Patents

ペリクル膜、ペリクル、露光原版、露光装置及び半導体装置の製造方法 Download PDF

Info

Publication number
WO2015178250A1
WO2015178250A1 PCT/JP2015/063634 JP2015063634W WO2015178250A1 WO 2015178250 A1 WO2015178250 A1 WO 2015178250A1 JP 2015063634 W JP2015063634 W JP 2015063634W WO 2015178250 A1 WO2015178250 A1 WO 2015178250A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
pellicle
exposure
organic material
pellicle film
Prior art date
Application number
PCT/JP2015/063634
Other languages
English (en)
French (fr)
Inventor
陽介 小野
高村 一夫
Original Assignee
三井化学株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 三井化学株式会社 filed Critical 三井化学株式会社
Priority to CN201580023964.0A priority Critical patent/CN106462052B/zh
Priority to EP15796576.5A priority patent/EP3133442B1/en
Priority to KR1020187017204A priority patent/KR20180072844A/ko
Priority to SG11201609463SA priority patent/SG11201609463SA/en
Priority to JP2016521048A priority patent/JP6279722B2/ja
Priority to KR1020167031149A priority patent/KR102047588B1/ko
Priority to US15/310,830 priority patent/US10108084B2/en
Publication of WO2015178250A1 publication Critical patent/WO2015178250A1/ja

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • G03F1/64Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material, including bonding means therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • G03F7/029Inorganic compounds; Onium compounds; Organic compounds having hetero atoms other than oxygen, nitrogen or sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0387Polyamides or polyimides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70233Optical aspects of catoptric systems, i.e. comprising only reflective elements, e.g. extreme ultraviolet [EUV] projection systems

Definitions

  • the present invention relates to a pellicle film, a pellicle having a pellicle film, an exposure original plate having a pellicle, an exposure apparatus having an exposure original plate, and a method for manufacturing a semiconductor device.
  • EUV light has the property of being easily absorbed by any substance. Therefore, in photolithography using EUV light as exposure light (hereinafter also referred to as “EUV lithography”), exposure is performed using a reflective optical system. Specifically, the EUV light is reflected by the original plate reflecting the exposure pattern, and the resist is exposed by the EUV light as reflected light. At this time, if a foreign substance adheres to the original, the EUV light is absorbed by the foreign substance or the EUV light is scattered, so that a desired pattern may not be exposed. Thus, it has been studied to protect the EUV light irradiation surface of the original plate with a pellicle.
  • the configuration of the pellicle is a configuration having a pellicle film for protecting the EUV light irradiation surface of the original plate, and a pellicle frame (support frame) that supports the pellicle film.
  • the pellicle film used in EUV lithography is required to have high transparency to EUV light and not to be decomposed or deformed by irradiation with EUV light.
  • a silicon crystal film such as a single crystal silicon film (for example, see References 1 and 2), an aluminum nitride film (see, for example, Reference 3) laminated on a metal mesh, a graphene film ( For example, refer to Document 4).
  • the pellicle film When exposure light such as EUV light is irradiated on the pellicle film, a part of the energy is absorbed by the pellicle film. The energy of EUV light absorbed by the pellicle film is converted into heat through various relaxation processes. Therefore, the temperature of the pellicle film rises during exposure. Therefore, the pellicle film is required to have high heat dissipation and heat resistance.
  • the single crystal silicon film described above has low heat dissipation and a low melting point. Therefore, there is a problem that the film is easily damaged when irradiated with EUV light. Furthermore, the single crystal silicon film has a drawback that the manufacturing process is complicated and expensive.
  • the aluminum nitride film of Document 3 has a problem that the EUV transmittance is low and it is not suitable for lithography applications that require high EUV transmittance.
  • the graphene film of Document 4 is an aggregate of crystals with a small size (usually about 100 nm to 1000 nm), but the film is brittle, the film has insufficient durability, and a self-supporting film cannot be obtained. There is. In addition, there is a problem that it is difficult to obtain sufficient strength even when a large number of such graphene films are stacked.
  • ⁇ 1> It has a film made of an organic material and an inorganic material, and there are a region containing the organic material and a region made of an inorganic material on the same plane of the film, and at least the central portion of the film is inorganic.
  • a pellicle film which is a region made of a system material, and at least a peripheral edge of the film is a region containing an organic material.
  • the organic material is a polyimide compound, polyacrylonitrile compound, polyisocyanate compound, polyamide compound, heteroaromatic ring compound, polyphenylene resin, polyether resin, liquid crystal polymer resin, polyparaxylylene resin, phenol resin, epoxy resin.
  • ⁇ 5> The pellicle film according to any one of ⁇ 1> to ⁇ 4>, wherein the organic material has an aromatic ring equivalent of 3 mmol / g to 15 mmol / g.
  • ⁇ 6> The pellicle film according to any one of ⁇ 1> to ⁇ 5>, wherein the organic material is a polyimide compound.
  • the polyimide compound is an aromatic polyimide containing a repeating unit represented by the following general formula (1).
  • a pellicle comprising the pellicle film according to any one of ⁇ 1> to ⁇ 9>, and a support frame that supports the pellicle film.
  • An exposure original plate comprising an original plate and the pellicle according to any one of ⁇ 10> to ⁇ 12> mounted on the original plate.
  • ⁇ 14> An exposure apparatus having the exposure original plate described in ⁇ 13>.
  • ⁇ 15> a light source that emits exposure light; an exposure original plate according to ⁇ 13>; and an optical system that guides the exposure light emitted from the light source to the exposure original plate, wherein the exposure original plate is the light source
  • the exposure apparatus according to ⁇ 15>, wherein the exposure light is EUV light.
  • ⁇ 17> The step of causing the exposure light emitted from the light source to pass through the pellicle film of the exposure original plate described in ⁇ 13>, irradiating the original plate, and reflecting the original light, and the exposure light reflected by the original plate Irradiating the sensitive substrate through the pellicle film and exposing the sensitive substrate in a pattern, thereby manufacturing the semiconductor device.
  • ⁇ 18> The method for manufacturing a semiconductor device according to ⁇ 17>, wherein the exposure light is EUV light.
  • membrane which is excellent in EUV permeability
  • a pellicle having the pellicle film, an exposure original plate having the pellicle, and an exposure apparatus having the exposure original plate can be provided.
  • a method for manufacturing a semiconductor device using the pellicle film can be provided.
  • FIG. 1 is a schematic top view showing a pellicle film 12 of this embodiment.
  • the pellicle film 12 has a film 1 made of an organic material and an inorganic material, and a region 2 containing an organic material and a region 3 made of an inorganic material exist on the same plane of the film 1, and at least the film 1 Is a region 3 made of an inorganic material, and at least the peripheral edge of the film 1 is a region 2 containing an organic material.
  • the pellicle film of the present embodiment is particularly suitable for producing a pellicle for lithography using exposure light having a short wavelength (for example, EUV light, light having a shorter wavelength than EUV light, etc.).
  • a short wavelength for example, EUV light, light having a shorter wavelength than EUV light, etc.
  • EUV (Extreme Ultra Violet) light refers to light having a wavelength of 5 nm to 30 nm.
  • the wavelength of EUV light is preferably 5 nm or more and 13.5 nm or less.
  • EUV light and light having a shorter wavelength than EUV light are collectively referred to as “EUV light or the like”.
  • the film 1 has a region 2 containing an organic material and a region 3 made of an inorganic material on the same plane, the central portion of the film 1 is a region 3 made of an inorganic material, and the periphery of the film 1 The end is a region 2 containing an organic material. Since the central portion of the film 1 is the region 3 made of an inorganic material, the pellicle film 12 having the film 1 is excellent in EUV transmittance and durability, and the transmittance even when irradiated with EUV light for a long time. Does not change.
  • the pellicle film in which the peripheral edge of the film is a region made of an inorganic material is fragile and difficult to stand by itself, but the pellicle film 12 in which the peripheral edge of the film 1 is a region 2 containing an organic material is self-supporting.
  • self-supporting means that the film shape can be maintained independently.
  • the region 3 made of an inorganic material that is the central portion of the film 1 has a larger area than the exposure area obtained by irradiating the film with exposure light in the lithography process.
  • the size of the region 3 is not particularly limited, but is preferably a region of 100 mm to 110 mm in the horizontal direction and 130 mm to 144 mm in the vertical direction, except for a region of about 1 mm to 2 mm from the peripheral edge.
  • the shape of the region 3 is not particularly limited, and may be an ellipse, a circle, a rectangle, a square, or the like, or a shape combining straight lines and curves.
  • the region including the organic material present at the peripheral edge of the film may include a material other than the organic material (for example, an inorganic material).
  • the content of the organic material in the region including the organic material is preferably 50% by mass or more based on the total mass of the region, It is more preferably 70% by mass or more, and further preferably 90% by mass or more. Further, the content of the organic material in the region including the organic material is particularly preferably 100% by mass with respect to the total mass of the region, that is, the region including the organic material is made of the organic material. A region is particularly preferred.
  • the organic material may be an organic material containing carbon.
  • an organic type material what carbonizes by giving high energy is preferable.
  • the organic material in the region where the energy is applied is carbonized, and the region including the organic material on the same plane (the energy is applied).
  • a region not formed) and a region made of an inorganic material (a region to which energy is applied) can be provided.
  • the organic material it is preferable that at least one of the glass transition temperature and the melting point is 150 ° C. or higher. Moreover, as for an organic type material, it is more preferable that at least one of a glass transition temperature and melting
  • the EUV light absorbed by the pellicle film may be converted into heat and temporarily reach a temperature of 250 ° C. or higher.
  • the flow and deformation of the pellicle film due to the generated heat can be suitably suppressed. Therefore, it can suppress suitably that a wrinkle arises in a EUV light irradiation area
  • FIG. 2 shows transmission of EUV light with an irradiation intensity of 5 W / cm 2.
  • 10 is a graph showing the relationship between the temperature of the pellicle film and the elapsed time when a pellicle film having a rate of 90%, a film thickness of 20 nm, and a radiation rate of 0.01 is irradiated for 10 msec. This graph is calculated on the assumption that all the EUV light absorbed by the pellicle film is changed to heat. As shown in FIG. 2, the temperature of the pellicle film rises to 450 ° C.
  • the pellicle film preferably has high heat resistance.
  • the melting point and glass transition temperature may be measured by a method based on JIS K7121 (1987) using, for example, a differential scanning calorimeter (DSC-7 model manufactured by Perkin Elmer), and the rate of temperature increase is 10 ° C. / Just minutes.
  • the organic material having at least one of glass transition temperature and melting point of 150 ° C. or higher is not particularly limited.
  • aromatic polyimide, linear aliphatic polyimide, polyetherimide, polyphenylene sulfone, polyphenylene ether, polyether examples include at least one compound selected from the group consisting of sulfone, polyether ether ketone, liquid crystal polymer, polyethylene terephthalate, aromatic polyamide, parylene, polyacrylonitrile, and a polymer compound containing a heteroaromatic ring.
  • the organic material is preferably a resin that does not undergo a decomposition reaction upon irradiation with EUV light.
  • a chain polymer that does not include tertiary carbon a cross-linked product of a chain polymer that does not include tertiary carbon, and tertiary It is preferably any one of polymers in which three aromatic rings are directly bonded to carbon.
  • Cross-linked polymer of chain polymer not containing tertiary carbon means a cross-linked product of “chain polymer not containing tertiary carbon”, and as a result of crosslinking, tertiary carbon is included.
  • a cross-linked product of a chain polymer is also included.
  • a chain polymer that does not contain tertiary carbon can suppress a crosslinking reaction or a decomposition reaction due to ionic species, radical species, or excited species as compared with a chain polymer containing tertiary carbon.
  • a C—C bond containing a tertiary carbon formed by crosslinking a chain polymer not containing a tertiary carbon or a tertiary carbon directly bonded to three aromatic rings is a chain polymer containing a tertiary carbon. Compared with the C—C bond, crosslinking reaction and decomposition reaction are less likely to occur.
  • the organic material more preferably contains a conjugated structure such as an aromatic ring or an imide ring in the molecule.
  • a conjugated structure such as an aromatic ring or an imide ring in the molecule.
  • An organic material including a conjugated structure in the molecule forming the pellicle film can change to a stable carbon structure when a crosslinking reaction occurs due to the energy or heat of the absorbed ionizing radiation.
  • the aromatic ring equivalent of the organic material is preferably 3 mmol / g or more and 15 mmol / g or less, more preferably 5 mmol / g or more and 12 mmol / g or less. More preferably, it is 7 mmol / g or more and 10 mmol / g or less.
  • Organic materials include polyimide compounds, polyacrylonitrile compounds, polyisocyanate compounds, polyamide compounds, heteroaromatic ring compounds, polyphenylene resins, polyether resins, liquid crystal polymer resins, polyparaxylylene resins, phenol resins, epoxy resins, and furan resins. It is preferably at least one compound selected from the group consisting of By using these compounds to form a region containing an organic material at the peripheral edge of the film, the pellicle film can be suitably provided with self-supporting properties. Furthermore, it is possible to carbonize suitably by giving high energy to the organic material, and a film having a region made of an inorganic material containing carbon (carbon material) and a region containing an organic material exists. A pellicle film having the same can be suitably manufactured.
  • the organic material is more preferably a polyimide compound.
  • the pellicle membrane can be more suitably provided with self-supporting properties.
  • the polyimide compound is preferably an aromatic polyimide.
  • aromatic polyimides a polyimide that undergoes a crosslinking reaction without causing a decomposition reaction when heated to 600 ° C. or higher or irradiated with ionizing radiation is desirable. From the viewpoint of suppressing the decomposition reaction of the polymer film (film composed of an organic material), it is more preferable that the molecular structure forming the polymer film does not contain tertiary carbon or fluorine atoms.
  • a polyimide having a rigid and linear molecular structure and spontaneously aligning molecular chains in the plane is desirable.
  • Polyimide having a rigid and linear molecular structure is spontaneously oriented in the plane in the process of forming a polyimide thin film (a film made of polyimide).
  • energy such as heat and ionizing radiation
  • the carbonization reaction proceeds while maintaining the orientation, so that the carbonized polyimide film has excellent film strength.
  • Examples of the compound for forming an aromatic polyimide having a rigid and linear molecular structure include, for example, pyromellitic anhydride, 3,3 ′, 4,4′-biphenyltetracarboxylic acid diacid in acid anhydrides. An anhydride etc. are mentioned.
  • the diamine is preferably a compound having a substituent at the para position with respect to the aromatic ring. For example, p-phenylenediamine, 4,4'-diaminodiphenyl ether, 4,4'-diaminodiphenylmethane, 4,4'- And diaminodiphenyl sulfone.
  • the polyimide composed of the above acid anhydride and diamine has a rigid and linear molecular structure, and by using these, a polyimide thin film with spontaneous in-plane orientation can be obtained.
  • the birefringence in the thickness direction of the polyimide film is preferably 0.05 or more, and more preferably 0.1 or more.
  • a known phase difference measuring device may be used. For example, ABR-10A, ABR-22, ABR-30 manufactured by UNIOPTO CORPORATION may be used.
  • the polyimide compound is more preferably an aromatic polyimide containing a repeating unit represented by the following general formula (1).
  • A is m-phenylenediamine, o-phenylenediamine, p-phenylenediamine, 3,3′-diaminodiphenyl ether, 3,4′-diaminodiphenyl ether, 4,4′-diaminodiphenyl ether, 3, 3'-diaminodiphenylsulfone, 4,4'-diaminodiphenylsulfone, 3,3'-diaminobenzophenone, 3,3'-diaminodiphenylmethane, 4,4'-diaminodiphenylmethane, 1,3-bis (3-aminophenoxy And a divalent group derived from an aromatic diamine selected from the group consisting of benzene, 1,3-bis (4-aminophenoxy) benzene, and 4,4′-bis (3-aminophenoxy) biphenyl.
  • B is pyromellitic dianhydride, 3,3 ′, 4,4′-biphenyltetracarboxylic dianhydride, 2,3,3 ′, 4-biphenyl-tetracarboxylic dianhydride, 3,3 ′ , 4,4′-benzophenone tetracarboxylic dianhydride, 4,4′-oxydiphthalic dianhydride, and 3,3 ′, 4,4′-diphenylsulfone tetracarboxylic dianhydride A tetravalent group derived from tetracarboxylic dianhydride.
  • the aromatic polyimide is a divalent group derived from another diamine other than the group derived from the aromatic diamine, and the tetracarboxylic dianhydride as long as the desired physical properties are not impaired.
  • One or more tetravalent groups derived from other tetracarboxylic dianhydrides other than the group derived from may be contained.
  • the polyimide compound is preferably formed by a reaction between an acid anhydride and a diamine.
  • the specific structure of the acid anhydride for forming the polyimide compound is as follows.
  • diamine for forming the polyimide compound is as follows.
  • the pellicle film of this embodiment may have a single layer structure, that is, a structure composed of a single film, or a structure composed of two or more layers.
  • the pellicle film may have a layer made of an inorganic material on at least one side of the film. Examples of the layer made of an inorganic material include an antioxidant layer.
  • an antioxidant layer may be laminated on at least one side of the film.
  • the antioxidant layer is laminated on the film, oxidation of the pellicle film during EUV light irradiation or pellicle storage is suppressed.
  • the type of the antioxidant layer is not particularly limited as long as it is a layer made of a material stable to EUV light.
  • the thickness of the antioxidant layer is preferably about 1 nm to 10 nm, and more preferably about 2 nm to 5 nm.
  • the ratio of the thickness of the antioxidant layer to the thickness of the pellicle film is preferably in the range of 0.03 to 1.0. If it is the said numerical range, it can suppress that EUV light is absorbed by an antioxidant layer, and can suppress the fall of the transmittance
  • EUV light may be reflected at the newly formed layer interface, that is, the interface between the anti-oxidation layer and the air, and the interface between the anti-oxidation layer and the pellicle film, and the transmittance may decrease There is.
  • the reflectance of EUV light at the interface between these layers can be calculated according to the thickness of the pellicle film and the antioxidant layer, and the type of elements constituting the pellicle film and the antioxidant layer. Then, the reflectance can be lowered by optimizing the film thickness in the same manner as the principle of the antireflection film.
  • the thickness of the antioxidant layer be an optimum thickness as long as the EUV light transmittance decrease due to absorption and the EUV light transmittance decrease due to reflection are suppressed and the antioxidant performance is maintained.
  • the thickness uniformity and surface roughness of the antioxidant layer are not particularly limited.
  • the antioxidant layer is either a continuous layer or a sea-island shape.
  • the film thickness may be non-uniform or the surface may be rough.
  • the average refractive index of the pellicle film including the pellicle film and the antioxidant layer is preferably in the range of 1.9 to 5.0.
  • the refractive index can be measured by a technique such as spectroscopic ellipsometry.
  • the average density of the pellicle film including the pellicle film and the antioxidant layer is desirably in the range of 1.5 g / cm 3 to 5.0 g / cm 3 .
  • the density can be measured by a technique such as an X-ray reflection method.
  • the thickness of the pellicle film (when it is composed of two or more layers) can be, for example, 10 nm to 200 nm, preferably 10 nm to 100 nm, more preferably 10 nm to 70 nm, and particularly preferably 10 nm to 50 nm.
  • the inorganic material is preferably a carbon material.
  • a carbon material for example, amorphous carbon and graphite are preferable.
  • the structure of the region made of the carbon-based material may not be a structure in which six-membered ring linked bodies are regularly arranged in the c-axis direction as in a graphite structure described later. That is, it may be an amorphous carbon structure having an X-ray diffraction pattern different from the graphite structure.
  • sp 2 bonds In addition to sp 2 bonds, sp 3 bonds are included, and in addition to carbon and hydrogen, third component elements such as oxygen and nitrogen may be included.
  • Graphite is made of, for example, carbon only, and the refractive index of light having a wavelength of 550 nm is 2.0 to 3.0.
  • FIG. 3 is a schematic view of the crystal structure of graphite.
  • the graphite crystal has a structure in which a large number of sp 2 carbon atom six-membered rings are stacked in the c-axis direction.
  • a graphene laminate or the like in which the linked body is not regularly arranged in the c-axis direction is included in graphite. Absent.
  • Part of the graphite may contain nitrogen, silicon, sp 3 carbon atoms, and the like.
  • the graphite may have a single crystal structure or a polycrystalline structure.
  • Single crystal graphite is preferred because of its high strength and high thermal conductivity.
  • graphite having a polycrystalline structure is easy to manufacture and is preferable in terms of cost.
  • the graphite mosaic spread is preferably 5.0 or less, more preferably 0.1 or more and 3.0 or less, and further preferably 0.1 or more and 1.0 or less.
  • Mosaic spread is an index indicating the orientation of crystallites in graphite in the c-axis direction. The smaller the value of the mosaic spread, the higher the orientation in the c-axis direction.
  • the value of the mosaic spread is large; that is, when the orientation of the crystallites in the graphite is low in the c-axis direction, it is difficult to regularly arrange six-membered rings in the c-axis direction, and the graphite tends to be brittle.
  • a mosaic spread of 0.3 ° indicates that the deviation of the c-axis from the direction perpendicular to the six-membered ring (plate surface) is within ⁇ 0.6 ° (carbon terminology encyclopedia) , Carbon Materials Association Carbon Terminology Editorial Committee, Junichi Yasuda, Kazuo Kobayashi, Agne Jofusha, 2000).
  • the mosaic spread is adjusted by the temperature and pressure in the firing process when the region made of graphite is produced.
  • Mosaic spread is measured by the following procedure with an X-ray diffractometer.
  • the counter (2 ⁇ axis) of the X-ray diffractometer is fixed at a position where the X-ray diffraction line on the (002) plane of the plate-like graphite shows a peak.
  • the intensity function sample azimuth angle dependency curve of (002) plane diffraction line peak intensity
  • a half value of the peak intensity is obtained from the obtained intensity function, and this is used as a mosaic spread.
  • a region made of a carbon-based material such as amorphous carbon or graphite can be formed by carbonizing by applying high energy to a region containing an organic material. Therefore, by applying high energy to the center of a film containing an organic material (preferably a film made of an organic material), the organic material in the region where the energy is applied is carbonized, and the center of the film is inorganic.
  • a pellicle film which is a region made of a carbon-based material (carbon-based material), can be manufactured.
  • the region where high energy is not given in the film is a region containing an organic material, and if at least the peripheral edge of the film is a region containing an organic material, a pellicle film having self-supporting property is manufactured. Can do.
  • the region made of graphite is preferably highly oriented pyrolytic graphite (HOPG) which is formed by the above-described method and then re-annealed at a high temperature for a long time while applying pressure.
  • HOPG highly oriented pyrolytic graphite
  • Amorphous carbon is preferable as the carbon-based material from the viewpoint of ease of production (for example, a region made of a carbon-based material can be formed at a lower temperature).
  • the method for producing a pellicle film according to the present invention is not particularly limited, but an example of producing a pellicle film is shown below.
  • a sacrificial layer is stacked on a substrate, and a film containing an organic material (preferably a film made of an organic material.
  • the film may be a film) is formed on the substrate, and then later.
  • a free-standing film can be obtained by removing the sacrificial layer.
  • the sacrificial layer can be removed by a specific treatment method such as a metal, an oxide film, a resin, or a salt.
  • the sacrificial layer can be a metal such as aluminum that dissolves in an acidic solution.
  • a metal layer is laminated on the surface of a glass substrate or silicon wafer by vapor deposition or sputtering, and a film is further laminated on the metal layer, and then immersed in a solution that can dissolve the metal layer such as an acidic solution.
  • a solution that can dissolve the metal layer such as an acidic solution.
  • the film containing an organic material is coated on the natural oxide film or silicon oxide layer on the silicon wafer and then immersed in a hydrofluoric acid aqueous solution. By removing the natural oxide film and the silicon oxide layer, the film can be peeled off from the substrate.
  • the sacrificial layer laminated on the substrate may be a water-soluble material such as a partially saponified polyvinyl alcohol resin or a salt such as sodium chloride.
  • characteristics such as process resistance, film strength, sacrificial layer removal speed, sacrificial layer thickness uniformity and surface roughness of the film containing organic materials Depending on the, the most appropriate arbitrary method can be selected.
  • Method of etching or dissolving the substrate If the material of the substrate can be removed by a specific treatment method such as metal, oxide film, resin, salt, etc., the substrate is etched or dissolved after a film containing an organic material is laminated on the substrate By doing so, a film can be obtained.
  • the substrate when copper foil is used as the substrate, after laminating a film containing an organic material on the copper foil surface, the substrate is removed by etching the copper foil substrate by immersing in a cupric chloride etchant, A membrane can be obtained.
  • the substrate is a glass substrate
  • the glass substrate after laminating a film containing an organic material on the glass substrate, the glass substrate can be etched using hydrofluoric acid to remove the substrate to obtain a film.
  • the silicon wafer is etched by wet etching or dry etching to remove the silicon wafer, thereby obtaining a film.
  • an etchant such as KOH, TMAH, or hydrazine can be used.
  • an etching gas such as fluorine (SF 6 , CF 4 , NF 3 , PF 5 , BF 3 , CHF 3 , XeF 2 ), chlorine (Cl 2 , SiCl 4 ), bromine (IBr), or the like is used. be able to. Since the wet etching rate changes depending on the temperature, it is preferable to lower the liquid temperature and lower the etching rate in order to perform etching so as not to damage the thin film containing the organic material on the silicon wafer.
  • a layer such as an etching stop layer may be provided in advance on the surface of the silicon substrate.
  • the etching stop layer include a layer made of SiO 2 or SiN.
  • the etching stop layer is preferably composed of a film that generates tensile stress. Residual stress acting in the direction parallel to the surface of the substrate and thin film includes tensile stress and compressive stress. When a force is applied to expand the thin film inside the thin film, it becomes a tensile stress. On the other hand, when a force is applied to shrink the thin film inside the thin film, it becomes a compressive stress. These stresses are mainly generated in the process of forming a thin film.
  • One factor causing residual stress is the difference in thermal expansion coefficient between the substrate and the thin film.
  • both the substrate and the thin film shrink, but the rate differs depending on the coefficient of thermal expansion. If the coefficient of thermal expansion of the thin film is larger than the coefficient of thermal expansion of the substrate, it becomes tensile stress, and vice versa.
  • a film in which tensile stress is generated is preferable because tension is applied to a film containing an organic material provided over the film, so that a film having no wrinkles can be formed. Since a layer made of SiN generates a tensile stress, a film containing an organic material obtained by dry etching a silicon wafer can be made a film having no defects. The target free-standing film can be obtained by removing the etching stop layer after the dry etching of the silicon wafer is completed.
  • the substrate is made of a salt such as sodium chloride
  • a film containing an organic material is laminated on the surface of the substrate, and then immersed in water to etch the substrate and remove the substrate to obtain a film.
  • the substrate is a plastic substrate
  • a film containing an organic material is laminated on the surface of the plastic substrate, and then the plastic substrate is immersed in a soluble solvent, whereby the plastic substrate can be dissolved to obtain a film.
  • a film containing an organic material After obtaining a film containing an organic material, high energy is applied to the center of this film to carbonize the organic material in the region where the energy is applied, and the center of the film is an inorganic material (carbon-based material). ), And a pellicle film in which the peripheral edge of the film is an area containing an organic material can be manufactured.
  • Method of pre-processing so that the surface of the substrate can be easily peeled off By subjecting the substrate to surface treatment, the interaction between the film containing the organic material and the substrate surface can be controlled, and the film can be easily peeled off from the substrate by immersion in a solvent or a mechanical peeling process.
  • a method for controlling the interaction between the film containing an organic material and the substrate surface for example, a surface treatment method using a silane coupling agent can be given.
  • a solution used in the RCA cleaning method such as a mixed solution of hydrogen peroxide solution and ammonium hydroxide or a mixed solution of hydrochloric acid and hydrogen peroxide solution can be used.
  • the sacrificial layer formation and surface treatment on the substrate may be used in combination with a method of etching or dissolving the substrate.
  • the material used for the sacrificial layer and the surface treatment is desirably a substance that hardly remains on the surface or inside of the film containing an organic material and can be removed by an easy method. For example, there are etching by gas, evaporation by heat, washing with a solvent, decomposition and removal by light, etc., and these may be combined for removal.
  • a method for forming a region made of a carbon-based material for example, a polyimide compound, a polyacrylonitrile compound, a polyisocyanate compound, a polyamide compound, a heteroaromatic ring compound, a polyphenylene resin, a polyether resin, a liquid crystal polymer resin, a polyparaxylylene resin,
  • Examples thereof include a method of applying high energy to a polymer film containing a compound such as a phenol resin, an epoxy resin, or a furan resin to convert the polymer film into a film having a region made of a carbon-based material.
  • the method of giving high energy is desirably a method of baking with high heat or a method of irradiating with radiation.
  • Examples of the method of baking at high heat include a method of heating using a high-temperature heating furnace, and a method of heating the film by irradiating the film with laser such as ultraviolet rays and visible light, or infrared rays. From the viewpoint of holding a region containing an organic material at the peripheral edge of the film and locally heating the film, a method of heating the film by irradiating the film with a laser such as ultraviolet rays or visible light, or infrared rays is preferable. .
  • Examples of radiation to be irradiated include EUV light, X-ray, ⁇ -ray, electron beam, neutron beam, ion beam (heavy charged particle beam) and the like, and it is particularly preferable to use EUV light.
  • EUV light When radiation is radiated to the polymer film, the radiation interacts with the material constituting the film, and energy is imparted to atoms in the film. With this energy, atoms are excited, ionized, secondary electrons are emitted, and various chemical reactions occur. As a result, the organic material in the high energy region of the polymer film is carbonized to obtain a film having a region made of a carbon material.
  • the carbonization of the polymer film is preferably performed by irradiating the polymer film with EUV light and heating to a temperature of 600 ° C to 1000 ° C. Thereby, the structure of the region irradiated with EUV light is changed, and the carbonization of the polymer film proceeds, so that a region made of a carbon-based material, particularly a region made of amorphous carbon can be suitably formed.
  • Carbonization of the polymer film may be performed at any stage of the pellicle manufacturing process. For example, carbonization may be performed immediately after the polymer film is applied on the antioxidant layer, and carbonization is performed either after the polymer film is laminated on the substrate or after the polymer film is peeled from the substrate. May be performed. Carbonization may be performed in a state where the polymer film is fixed to the support frame.
  • the carbonized region of the polymer film corresponds to the region to which energy is applied.
  • the region excluding the peripheral end portion is a region to which energy is applied.
  • a region of 100 mm to 110 mm in the horizontal direction and 130 mm to 144 mm in the vertical direction may be carbonized except for a region of about 1 mm to 2 mm from the peripheral edge of the polymer film.
  • the shape of the carbonized region of the polymer film is not particularly limited, and examples thereof include an ellipse, a circle, a rectangle, a square, and the like, and a shape combining straight lines and curves may be used.
  • the structure of the region made of a carbon-based material can be examined using a measurement method such as Raman spectroscopy, Fourier transform infrared spectroscopy (FT-IR), or X-ray diffraction.
  • FT-IR Fourier transform infrared spectroscopy
  • X-ray diffraction X-ray diffraction
  • the progress of carbonization can be estimated by using both Raman measurement and FT-IR measurement. For example, when peaks characteristic of polyimide disappeared in FT-IR spectrum, and the disappearance of the broad fluorescence peak in the Raman spectra, in which the appearance of a peak at about 900 cm -1 ⁇ 1800 cm -1, were observed, It can be considered that the polyimide in the film is carbonized.
  • the Raman measurement for example, it can be determined whether the region made of the carbon-based material has a graphite structure or an amorphous carbon structure.
  • the graphite structure a substantially single sharp peak is observed in the vicinity of 1580 cm ⁇ 1 .
  • the structure of the carbon-based material is disturbed, and the peak intensity in the vicinity of 1360 cm ⁇ 1 increases as it approaches amorphous, and the peak becomes broad.
  • the polymer film has an amorphous carbon structure that is suitably formed by heating the polymer film to a temperature of 600 ° C. to 1000 ° C.
  • the structure of amorphous carbon, the ratio of the peak intensity of 1360 cm -1 to the peak intensity of 1580 cm -1 in the Raman spectrum is preferably 0.1 or more, more preferably 0.3 or more.
  • a pellicle film having a film made of a carbon-based material at least in the center of the film has both heat dissipation and heat resistance, and is less likely to be damaged during EUV lithography.
  • the conventional pellicle film made of single crystal silicon has a low heat dissipation property and has a problem that it is easily deformed or damaged due to thermal damage during EUV light irradiation, while the central portion of the film is made of a carbon-based material.
  • the original plate can be reliably protected.
  • the pellicle film hereinafter, also referred to as “carbon film” made of a carbon-based material at the center of the film has both heat dissipation and heat resistance will be described below.
  • the heat dissipation of the carbon film is mainly determined by (i) the radiation of the carbon film (energy release by infrared rays) and (ii) the thermal conductivity of the carbon film.
  • FIG. 4 is a graph showing the relationship between the “carbon film temperature T” and “carbon film emissivity ⁇ ” obtained from the above equation (1); in the graph, the EUV transmittance Tr of the carbon film is 80%.
  • the EUV light irradiation intensity P is 100 W / cm 2 . As shown in FIG. 4, it can be seen that the higher the emissivity ⁇ of the carbon film, the lower the temperature T of the carbon film.
  • FIG. 5 is a graph showing the relationship between the “carbon film temperature T” and the “carbon film transmittance Tr” obtained from the above equation (1); 0.01, EUV light irradiation intensity P is 100 W / cm 2 .
  • the transmittance Tr of the carbon film changes, the temperature T of the carbon film changes somewhat, but the amount of change is small. That is, the temperature T of the carbon film hardly depends on the EUV transmittance Tr of the carbon film, and greatly depends on the emissivity ⁇ of the carbon film.
  • the infrared absorption of single crystal silicon which is a conventional pellicle film, is only absorption due to the stretching vibration mode of Si—Si bond, and the infrared absorption rate is low. Therefore, the single crystal silicon film has low radiation properties.
  • the carbon-based material forming the carbon film has absorption derived from C—H bonds and strong absorption derived from sp 2 carbon bonds, and has a high infrared absorption rate. Therefore, the carbon film is highly radiant.
  • the thermal conductivity of the pellicle film is determined by the thermal conductivity of the material forming the film.
  • the thermal conductivity of single crystal silicon is 150 W / mK to 170 W / mK.
  • the thermal conductivity of a graphite film which is an example of a carbon film, is 1000 W / mK to 5000 W / mK. That is, a pellicle film made of single crystal silicon has a low thermal conductivity, whereas a carbon film such as a graphite film has a high thermal conductivity.
  • the single crystal silicon film which is a conventional pellicle film
  • the carbon film of this embodiment is excellent in both (i) radiation and (ii) thermal conductivity. Therefore, it can be said that heat dissipation is very high.
  • the heat resistance of the pellicle film is determined by the melting point of the material constituting the pellicle film.
  • the melting point of carbon film such as graphite is 3600 ° C., whereas the melting point of single crystal silicon is 1410 ° C.
  • a carbon film such as a graphite film has much better heat resistance than a pellicle film made of conventional single crystal silicon.
  • the pellicle film of this embodiment preferably has high EUV light transmittance in a region made of an inorganic material; transmission of light used for EUV lithography (for example, light having a wavelength of 13.5 nm or light having a wavelength of 6.75 nm).
  • the rate is preferably 50% or more, more preferably 80% or more, and further preferably 90% or more.
  • the light transmittance of the film containing them is 50% or more.
  • the light transmittance Tr of the pellicle film is measured by a photodiode. Specifically, from the current value (incident light intensity I 0 ) detected without the pellicle film and the current value (transmitted light intensity I) detected with the pellicle film installed, the following equation ( 2).
  • the thickness of the pellicle film is preferably set in consideration of the light transmittance of the pellicle film, the infrared absorption rate of the pellicle film, the strength of the pellicle film, and the self-supporting property.
  • the preferred thickness of the pellicle film is about 10 nm to 120 nm, and about 9 nm to 110 nm when a support material is provided.
  • the pellicle film may have wrinkles as long as there is no reduction in transmission due to wrinkles, non-uniformity, or scattering.
  • the density ⁇ in the formula (3) is a density specific to the substance constituting the pellicle film. Further, the mass extinction coefficient ⁇ in the above formula (3) is obtained as follows. If the photon energy is greater than about 30 eV and the photon energy is sufficiently away from the absorption edge of the atoms, the mass extinction coefficient ⁇ does not depend on the bonding state between the atoms. For example, the photon energy at a wavelength of 13.5 nm is in the vicinity of 92.5 eV, and is sufficiently away from the absorption edge of atoms. Therefore, the mass absorption coefficient ⁇ does not depend on the bonding state between the atoms of the compound constituting the pellicle film.
  • a preferable pellicle film thickness d can be set based on the desired EUV transmittance Tr.
  • the direction and magnitude of the residual stress of the pellicle film can be measured by measuring the direction and magnitude of the warp of the formed substrate.
  • the direction and size of the warped substrate can be measured using, for example, a displacement measuring device that uses laser light.
  • a three-dimensional shape measuring device (NH-3SP Mitaka Optical Co., Ltd.) It can measure using.
  • the magnitude of the residual stress of the pellicle film is desirably 1 GPa or less, more desirably 0.5 GPa or less, and further desirably 0.2 GPa or less.
  • the residual stress is preferably a tensile stress.
  • the direction of the residual stress is the tensile direction, tension is applied to the film, so that a self-supporting film free from wrinkles can be obtained.
  • the direction of the residual stress is the compression direction, wrinkles are generated because a compressive force is applied to the film.
  • the EUV resistance can be evaluated by irradiating the pellicle film with EUV light and performing various analyzes on the irradiated portion and the unirradiated portion.
  • composition analysis methods such as XPS measurement, EDS analysis, RBS, structural analysis methods such as XPS, EELS, IR measurement and Raman spectroscopy, film thickness evaluation methods such as ellipsometry, interference spectroscopy, X-ray reflection method, etc.
  • appearance and surface shape evaluation methods such as microscopic observation, SEM observation, and AFM observation can be used. The heat dissipation can be examined in more detail by combining analysis results by computer simulation.
  • the pellicle film not only EUV light, but also appropriate methods such as vacuum ultraviolet irradiation, ultraviolet-visible light irradiation, infrared irradiation, electron beam irradiation, plasma irradiation, heat treatment, etc. are selected to evaluate the resistance of the pellicle film. May be implemented.
  • evaluation of film strength of pellicle film As a method for evaluating the strength of the pellicle film on the substrate, there is an evaluation method using a nanoindenter. As a method for evaluating the strength of the self-supporting film, a resonance method, a bulge test method, a method for evaluating the presence or absence of film breakage by air blow, a method for evaluating the presence or absence of film breakage by a vibration test, and the like can be used.
  • the pellicle of this embodiment includes the pellicle film of this embodiment and a support material that supports the pellicle film. Since the pellicle of this embodiment includes the pellicle film of this embodiment, the same effect as the pellicle film of this embodiment can be obtained.
  • FIG. 6 is a schematic cross-sectional view showing the pellicle 10 of this embodiment.
  • the pellicle 10 is configured by adhering a pellicle film 12 and a support frame 14 via a film adhesive layer 13.
  • the support frame 14 has a vent hole 16 and an original adhesive layer 15. Is formed.
  • the support frame (pellicle frame) 14 is for supporting the pellicle film 12. Moreover, it is preferable that the part supported by the support frame 14 of the pellicle film 12 of this embodiment is a region containing an organic material. Thereby, the region including the organic material of the pellicle film 12 is fixed to the portion supported by the support frame 14, and when the exposure light is irradiated, the transmitted light is transmitted through the region made of the inorganic material. it can.
  • the material, shape, and the like of the support frame are not particularly limited as long as the pellicle film of this embodiment can be supported through a film adhesive layer or the like.
  • the support frame for example, a frame made of aluminum, stainless steel, polyethylene, or ceramics may be used.
  • the support frame 14 has a region surrounded by the pellicle 10 and the original plate (not shown) and a vent hole 16 for making the air pressure inside the EUV exposure apparatus constant. Is preferred. Since exposure with EUV light is performed in a vacuum environment (reduced pressure environment), if the atmospheric pressure during exposure is not uniform, the pellicle film 12 may expand or contract due to a pressure difference or may be damaged.
  • a filter is preferably disposed in the vent hole 16 so that foreign matter does not enter a region surrounded by the pellicle 10 and the original plate.
  • the filter include an ULPA (Ultra Low Low Penetration Air) filter and a metal mesh.
  • the support frame 14 may be colored in a range that does not hinder exposure so as to be easily inspected.
  • the procedure and method for fixing the pellicle membrane to the support frame are not particularly limited.
  • an etched substrate may be used as a part of the support frame.
  • a pellicle film may be laminated on a substrate that can be removed by a specific processing method, such as metal, silicon wafer, glass, resin, salt, etc.
  • a mask may be provided in accordance with the size of the frame, and etching or dissolution may be performed while leaving the mask shape.
  • a pellicle using a part of the substrate as a support frame can be obtained.
  • the trimming method for matching the substrate shape with the frame shape is not particularly limited. When a silicon wafer is used, a method of mechanically breaking the wafer or a laser trimming method can be used.
  • the film adhesive layer 13 is a layer that bonds the support frame 14 and the pellicle film 12 together.
  • the film adhesive layer 13 may be a layer made of, for example, an acrylic resin adhesive, an epoxy resin adhesive, a polyimide resin adhesive, a silicone resin adhesive, an inorganic adhesive, or the like. From the viewpoint of maintaining the degree of vacuum during EUV exposure, it is preferable that the film adhesive layer 13 has less outgas.
  • an outgas evaluation method for example, a temperature-programmed desorption gas analyzer can be used.
  • the method for fixing the pellicle film to the support frame is not particularly limited, and the pellicle film may be directly attached to the support frame, or may be provided via a film adhesive layer on one end surface of the support frame.
  • the pellicle film and the support frame may be fixed by using a method of fixing to the substrate or an attractive force of a magnet or the like.
  • a method of fixing to the substrate or an attractive force of a magnet or the like for example, a method of evaluating the presence or absence of film tearing or peeling by air blow by changing pressure, area, distance, and angle, or a film by vibration test by changing acceleration and amplitude
  • a method for evaluating the presence or absence of tearing or peeling can be used.
  • the original adhesive layer 15 is a layer that bonds the support frame 14 and the original. As shown in FIG. 6, the original adhesive layer 15 is provided at the end of the support frame 14 on the side where the pellicle film 12 is not fixed.
  • the original adhesive layer 15 is, for example, a double-sided adhesive tape, a silicone resin adhesive, an acrylic adhesive, a polyolefin adhesive, an inorganic adhesive, or the like. From the viewpoint of maintaining the degree of vacuum during EUV exposure, it is preferable that the adhesive layer 15 for the original plate has little outgas.
  • an outgas evaluation method for example, a temperature-programmed desorption gas analyzer can be used.
  • the film adhesive layer 13 and the original adhesive layer 15 are exposed to EUV light scattered in the EUV exposure apparatus, it is desirable to have EUV resistance. If the EUV resistance is low, the adhesiveness and strength of the adhesive deteriorate during EUV exposure, and problems such as peeling of the adhesive and generation of foreign matter occur inside the exposure apparatus.
  • Resistance evaluation by EUV light irradiation includes, for example, XPS measurement, EDS analysis, composition analysis methods such as RBS, structural analysis methods such as XPS, EELS, IR measurement and Raman spectroscopy, ellipsometry, interference spectroscopy, X-ray reflection Film thickness evaluation methods such as a method, appearance and surface shape evaluation methods such as microscopic observation, SEM observation and AFM observation, strength and adhesion evaluation methods using a nanoindenter and a peel test, and the like can be used.
  • the circuit pattern is accurately transferred. Therefore, it is necessary that the exposure light transmittance is substantially uniform in the exposure range.
  • the pellicle film 12 of the present embodiment the pellicle 10 having a constant light transmittance in the exposure range can be obtained.
  • the pellicle of the present invention is not only used as a protective member for suppressing foreign matter from adhering to the original plate in the EUV exposure apparatus, but also as a protective member for protecting the original plate during storage or transportation of the original plate. Also good.
  • the pellicle is mounted on the original (exposure original), it can be stored as it is after being removed from the EUV exposure apparatus.
  • a method of mounting the pellicle on the original plate there are a method of attaching with a bonding agent, an electrostatic adsorption method, a method of mechanically fixing, and the like.
  • the pellicle film 22 forming the pellicle 20 may have an antioxidant layer 23 laminated on both surfaces of a film 21 in which a region containing an organic material and a region made of an inorganic material are present.
  • the antioxidant layer 23 is laminated on the film 1, oxidation of the pellicle film 22 during EUV light irradiation or pellicle storage is suppressed.
  • the antioxidant layer may be laminated only on one side of the film.
  • the pellicle according to this embodiment may be a pellicle having a pellicle film including a film containing a polyimide compound (preferably a film made of a polyimide compound) and a support frame that supports the pellicle film.
  • a polyimide compound preferably a film made of a polyimide compound
  • a support frame that supports the pellicle film.
  • the exposure original plate of the present embodiment includes an original plate and the pellicle of the present embodiment mounted on the original plate. Since the exposure original plate of this embodiment includes the pellicle of this embodiment, the same effects as the pellicle of this embodiment can be obtained.
  • the method for attaching the original plate to the pellicle of this embodiment is not particularly limited.
  • the original plate may be directly attached to the support frame 14 or may be passed through the original adhesive layer 15 on one end surface of the support frame 14, using a mechanical fixing method or an attractive force such as a magnet.
  • the original plate and the support frame 14 may be fixed.
  • an original including a support substrate, a reflective layer laminated on the support substrate, and an absorber layer formed on the reflective layer can be used.
  • the absorber layer partially absorbs EUV light, whereby a desired image is formed on a sensitive substrate (for example, a semiconductor substrate with a photoresist film).
  • the reflective layer can be a multilayer film of molybdenum (Mo) and silicon (Si).
  • the absorber layer can be a material having high absorbability such as EUV light, such as chromium (Cr) or tantalum nitride.
  • the exposure apparatus of this embodiment includes the exposure original plate of this embodiment. For this reason, there exists an effect similar to the exposure original plate of this embodiment.
  • the exposure apparatus of the present embodiment includes a light source that emits exposure light (preferably EUV light or the like, more preferably EUV light; the same applies hereinafter), an exposure original plate of the present embodiment, and exposure light emitted from the light source. It is preferable that the exposure original plate is arranged so that the exposure light emitted from the light source passes through the pellicle film and is irradiated on the original plate. According to this aspect, in addition to being able to form a pattern (for example, a line width of 32 nm or less) miniaturized by EUV light or the like, even if EUV light that tends to cause a problem of resolution failure due to foreign matter is used, Pattern exposure with reduced resolution failure can be performed.
  • a pattern for example, a line width of 32 nm or less
  • the method of manufacturing a semiconductor device includes a step of irradiating the original light with exposure light emitted from a light source through the pellicle film of the exposure original plate according to the present embodiment, and reflecting the original plate with the original plate, Exposing the sensitive substrate in a pattern by irradiating the sensitive substrate with the exposure light reflected by the light through the pellicle film.
  • a semiconductor device in which the resolution failure due to foreign matter is reduced can be manufactured even when EUV light, which is likely to cause a resolution failure due to foreign matter, is used. .
  • FIG. 8 is a schematic sectional view of an EUV exposure apparatus 800 which is an example of the exposure apparatus of the present embodiment.
  • the EUV exposure apparatus 800 includes a light source 831 that emits EUV light, an exposure original 850 that is an example of an exposure original according to the present embodiment, and an EUV light emitted from the light source 831.
  • the exposure original plate 850 includes a pellicle 810 including a pellicle film 812 and a support frame 814, and an original plate 833.
  • the exposure original plate 850 is arranged such that EUV light emitted from the light source 831 passes through the pellicle film 812 and is irradiated onto the original plate 833.
  • the original 833 reflects the irradiated EUV light in a pattern.
  • the support frame 814 and the pellicle 810 are examples of the support frame and the pellicle of the present embodiment, respectively.
  • filter windows 820 and 825 are installed between the light source 831 and the illumination optical system 837 and between the illumination optical system 837 and the original 833, respectively. Further, the EUV exposure apparatus 800 includes a projection optical system 838 that guides the EUV light reflected by the original 833 to the sensitive substrate 834.
  • the EUV light reflected by the original 833 is guided onto the sensitive substrate 834 through the projection optical system 838, and the sensitive substrate 834 is exposed in a pattern. Note that exposure by EUV is performed under reduced pressure conditions.
  • the EUV light source 831 emits EUV light toward the illumination optical system 837.
  • the EUV light source 831 includes a target material, a pulse laser irradiation unit, and the like. EUV is obtained by irradiating this target material with a pulse laser to generate plasma.
  • EUV is obtained by irradiating this target material with a pulse laser to generate plasma.
  • the target material is Xe
  • EUV with a wavelength of 13 nm to 14 nm is obtained.
  • the wavelength of light emitted from the EUV light source is not limited to 13 nm to 14 nm, and may be light having a wavelength suitable for the purpose within a wavelength range of 5 nm to 30 nm.
  • the illumination optical system 837 collects the light emitted from the EUV light source 831, makes the illuminance uniform, and irradiates the original 833.
  • the illumination optical system 837 includes a plurality of multilayer mirrors 832 for adjusting the EUV optical path, an optical coupler (optical integrator), and the like.
  • the multilayer film mirror is a multilayer film in which molybdenum (Mo) and silicon (Si) are alternately stacked.
  • the method for attaching the filter windows 820 and 825 is not particularly limited, and examples thereof include a method of attaching via an adhesive or the like, and a method of mechanically fixing in the EUV exposure apparatus.
  • the filter window 820 disposed between the light source 831 and the illumination optical system 837 captures scattered particles (debris) generated from the light source, and the scattered particles (debris) are elements inside the illumination optical system 837 (for example, a multilayer film). Avoid sticking to the mirror 832).
  • the filter window 825 disposed between the illumination optical system 837 and the original 833 captures particles (debris) scattered from the light source 831 side and prevents the scattered particles (debris) from adhering to the original 833. .
  • the foreign matter adhering to the original plate absorbs or scatters EUV light, which causes poor resolution on the wafer. Therefore, the pellicle 810 is mounted so as to cover the EUV light irradiation area of the original 833.
  • the EUV light passes through the pellicle film 812 and is irradiated on the original 833.
  • the EUV light reflected by the original 833 passes through the pellicle film 812 and is irradiated onto the sensitive substrate 834 through the projection optical system 838.
  • the projection optical system 838 condenses the light reflected by the original 833 and irradiates the sensitive substrate 834.
  • the projection optical system 838 includes a plurality of multilayer mirrors 835 and 836 for preparing an EUV optical path.
  • the sensitive substrate 834 is a substrate on which a resist is applied on a semiconductor wafer, and the resist is cured in a pattern by EUV reflected by the original 833. By developing this resist and etching the semiconductor wafer, a desired pattern is formed on the semiconductor wafer.
  • the pellicle 810 is mounted on the original 833 via an original adhesive layer or the like.
  • the foreign matter adhering to the original plate absorbs or scatters EUV, which causes poor resolution on the wafer. Therefore, the pellicle 810 is mounted so as to cover the EUV light irradiation area of the original 833, and the EUV passes through the pellicle film 812 and is irradiated onto the original 833.
  • any method can be used as long as it can be placed on the original plate so that no foreign matter adheres to the surface of the original plate.
  • a method of attaching the support frame 814 and the original plate 833 with an adhesive Method, mechanical fixing method, and the like, but are not particularly limited.
  • a method of attaching with an adhesive is used.
  • Example 1 A polyimide film made of PMDA-ODA (pyromellitic anhydride, 4,4′-diaminodiphenyl ether) having a thickness of 110 nm was formed on a silicon wafer having a diameter of 4 inches. The formed polyimide film was peeled off from the silicon wafer and attached to a support frame (width 2.0 mm) made of aluminum alloy A7075 with an acrylic adhesive.
  • PMDA-ODA pyromellitic anhydride, 4,4′-diaminodiphenyl ether
  • the central part of the polyimide film is irradiated with EUV light having an intensity of 150 mW / cm 2 and a wavelength of 13.5 nm for 100 minutes.
  • the membrane was carbonized.
  • the EUV light source used was an EUV light irradiation device (Newsval (facility name) BL-10, Hyogo Prefectural University), and the EUV irradiation direction was perpendicular to the film surface.
  • the beam size obtained from the full width at half maximum of the incident light intensity was 0.15 mm ⁇ 0.8 mm.
  • FT-IR measurement a microscopic FT-IR device FTS-6000 / UMA-500 (manufactured by Bio-Rad) was used, and the measurement conditions were: environmental atmosphere: air, resolution: 4 cm ⁇ 1 , integration number: 128 times, measurement Area: 100 ⁇ m ⁇ 100 ⁇ m.
  • the Raman measurements using a Raman microscope (manufactured by Horiba, Ltd. Ltd. XploRA), measuring conditions, environmental atmosphere: in the atmosphere, the excitation light: 532 nm, grating: 600T, measurement area: was 400cm -1 ⁇ 3200cm -1.
  • FIGS. 9 and 10 The results of the Raman spectrum and IR spectrum of the polyimide film before carbonization and the carbon film (EUV light irradiation region) are shown in FIGS. As shown in FIGS. 9 and 10, the carbon film disappeared signals from specific structures and fluorescence polyimide, since it had a unique signal to carbon wavenumber range of 900cm -1 ⁇ 1800cm -1, polyimide It was confirmed that the center of the film was changed to carbonized carbon film.
  • the carbon film was continuously irradiated with EUV light having an intensity of 150 mW / cm 2 for 280 minutes. And confirmation of the discoloration of an EUV light irradiation part, the measurement of EUV transmittance, EUV transmittance stability, and the change of the Raman spectrum after EUV light irradiation were confirmed.
  • FIG. 11 shows the result of EUV transmittance during irradiation with EUV light for 280 minutes. As shown in FIG. 11, the EUV transmittance of the carbon film showed a constant value, indicating high EUV resistance (durability). In addition, the shape of the self-supporting film was maintained even after irradiation with EUV light, and no particular change in appearance or Raman spectrum was observed.
  • Example 2 First, as in Example 1, a polyimide film was formed, and the formed polyimide film was attached to a support frame (width 2.0 mm) with an acrylic adhesive. In order to prevent ArF light (ultraviolet light) from hitting the polyimide film part in contact with the support frame, only the central part of the polyimide film is irradiated with ultraviolet light having an intensity of 2 W / cm 2 and a wavelength of 193 nm continuously for 5 minutes. Then, the polyimide film was carbonized. The irradiation direction of the ultraviolet light was perpendicular to the film surface. The beam size obtained from the full width at half maximum of the incident light intensity was 5 mm ⁇ 5 mm.
  • Example 2 After carbonization of the polyimide film, FT-IR measurement and Raman measurement were performed under the same conditions as in Example 1. The results of Raman spectrum and IR spectrum of the polyimide film before carbonization and the carbon film (ultraviolet light irradiation region) are the same as in Example 1. In the carbon film, the structure peculiar to polyimide and the signal derived from fluorescence disappear and 900 cm ⁇ 1. Since it had a signal peculiar to carbon in a wave number range of ⁇ 1800 cm ⁇ 1 , it was confirmed that the central portion of the polyimide film was changed to a carbonized carbon film.
  • a copper foil was prepared, and a graphene film was formed on the copper foil by a CVD method using a mixed gas of CH 4 , H 2 , and Ar.
  • a PET (polyethylene terephthalate) film was laminated on the obtained graphene film.
  • the laminate was immersed in dilute hydrochloric acid to dissolve and remove the copper foil.
  • the laminated body of PET film and graphene was immersed in hexafluoroisopropanol, and the PET film was dissolved and removed.
  • the graphene film was broken during the dissolution of the PET film, and a self-supporting pellicle film was not obtained.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Macromolecular Compounds Obtained By Forming Nitrogen-Containing Linkages In General (AREA)

Abstract

 ペリクル膜は、有機系材料及び無機系材料からなるフィルムを有し、該フィルムの同一平面上に有機系材料を含む領域と無機系材料からなる領域とが存在し、少なくとも前記フィルムの中心部は無機系材料からなる領域であり、かつ、少なくとも前記フィルムの周端部は有機系材料を含む領域である。

Description

ペリクル膜、ペリクル、露光原版、露光装置及び半導体装置の製造方法
 本発明は、ペリクル膜、ペリクル膜を有するペリクル、ペリクルを有する露光原版、露光原版を有する露光装置及び半導体装置の製造方法に関する。
 半導体デバイス(半導体装置)の高集積化及び微細化は、年々加速している。
 例えば、現在では、エキシマ露光にて線幅45nm程度のパターンが形成されているが、近年では、半導体デバイスのさらなる微細化に伴い、線幅32nm以下のパターンの形成が求められている。このような微細加工は、従来のエキシマ露光では対応が難しい。そこで、露光光をより短波長のEUV(Extreme Ultra Violet:極端紫外)光に替えることが検討されている。
 EUV光は、あらゆる物質に吸収されやすい特性を有する。
 そこで、露光光としてEUV光を用いるフォトリソグラフィー(以下、「EUVリソグラフィー」ともいう)では、反射光学系を用いて露光を行う。具体的には、露光パターンが反映された原版によってEUV光を反射させ、反射光としてのEUV光によってレジストを露光する。この際、原版に異物が付着していると、EUV光が異物に吸収されたり、EUV光が散乱するため、所望のパターンに露光されない場合がある。
 そこで、原版のEUV光照射面を、ペリクルで保護することが検討されている。
 ペリクルの構成は、原版のEUV光照射面を保護するためのペリクル膜と、このペリクル膜を支持するペリクル枠(支持枠)と、を有する構成となっている。
 EUVリソグラフィーに用いられるペリクル膜としては、EUV光に対して高い透過性を有すること、EUV光の照射によって分解・変形しないことが求められる。このような要求を満たすペリクル膜として、単結晶シリコン膜等のシリコン結晶膜(例えば、文献1及び2参照)、金属メッシュ上に積層された窒化アルミニウム膜(例えば、文献3参照)、グラフェン膜(例えば、文献4参照)、等が提案されている。
  文献1;特開2010-256434号公報
  文献2;特開2009-116284号公報
  文献3;特開2005-43895号公報
  文献4;国際公開第2011/160861号公報
 ペリクル膜にEUV光などの露光光が照射されると、そのエネルギーの一部がペリクル膜に吸収される。そして、ペリクル膜に吸収されたEUV光のエネルギーは、様々な緩和過程を経て熱に変換される。したがって、露光時には、ペリクル膜の温度が上昇する。そこで、ペリクル膜には高い放熱性や耐熱性が求められる。しかし、前述の単結晶シリコン膜は放熱性が低く、さらに融点も低い。そのため、EUV光の照射時に膜がダメージを受け易いという問題がある。さらに、単結晶シリコン膜は、製造工程が煩雑であり、高価であるという難点もある。
 また、文献3の窒化アルミニウム膜はEUV透過率が低く、高いEUV透過率が要求されるリソグラフィー用途には適していないという問題がある。また、文献4のグラフェン膜は、サイズの小さい(通常100nm~1000nm程度)結晶の集合体であるが、膜が脆く、膜の耐久性が不十分であり、自立した膜が得られないという問題がある。また、このようなグラフェン膜を多数積層しても、十分な強度が得られがたいという問題もある。
 本発明は上記に鑑みてなされたものであり、以下の目的を達成することを課題とする。
 即ち、本発明の目的は、EUV透過性及び耐久性に優れ、かつ、自立性を有するペリクル膜を提供することである。
 また、本発明の目的は、上記ペリクル膜を有するペリクル、上記ペリクルを有する露光原版、及び、上記露光原版を有する露光装置を提供することである。
 また、本発明の目的は、上記ペリクル膜を用いた半導体装置の製造方法を提供することである。
 前記課題を解決するための具体的手段は以下のとおりである。
<1> 有機系材料及び無機系材料からなるフィルムを有し、該フィルムの同一平面上に有機系材料を含む領域と無機系材料からなる領域とが存在し、少なくとも前記フィルムの中心部は無機系材料からなる領域であり、かつ、少なくとも前記フィルムの周端部は有機系材料を含む領域である、ペリクル膜。
<2> 前記有機系材料を含む領域は、有機系材料からなる領域である<1>に記載のペリクル膜。
<3> 前記有機系材料のガラス転移温度及び融点の少なくとも一方が150℃以上である、<1>または<2>に記載のペリクル膜。
<4> 前記有機系材料が、ポリイミド化合物、ポリアクリロニトリル化合物、ポリイソシアネート化合物、ポリアミド化合物、ヘテロ芳香環化合物、ポリフェニレン樹脂、ポリエーテル樹脂、液晶ポリマー樹脂、ポリパラキシリレン樹脂、フェノール樹脂、エポキシ樹脂、及び、フラン樹脂からなる群より選択される少なくとも一つの化合物である、<1>~<3>のいずれか1つに記載のペリクル膜。
<5> 前記有機系材料の芳香環当量が3mmol/g以上15mmol/g以下である、<1>~<4>のいずれか1つに記載のペリクル膜。
<6> 前記有機系材料がポリイミド化合物である、<1>~<5>のいずれか1つに記載のペリクル膜。
<7> 前記ポリイミド化合物が、下記一般式(1)で表される繰り返し単位を含む芳香族ポリイミドである、<6>に記載のペリクル膜。
Figure JPOXMLDOC01-appb-C000002

〔一般式(1)において、Aはm-フェニレンジアミン、o-フェニレンジアミン、p-フェニレンジアミン、3,3’-ジアミノジフェニルエーテル、3,4’-ジアミノジフェニルエーテル、4,4’-ジアミノジフェニルエーテル、3,3’-ジアミノジフェニルスルホン、4,4’-ジアミノジフェニルスルホン、3,3’-ジアミノベンゾフェノン、3,3’-ジアミノジフェニルメタン、4,4’-ジアミノジフェニルメタン、1,3-ビス(3-アミノフェノキシ)ベンゼン、1,3-ビス(4-アミノフェノキシ)ベンゼン、及び4,4’-ビス(3-アミノフェノキシ)ビフェニルからなる群から選択されるジアミンから誘導される2価の基であり;
 Bは、ピロメリット酸二無水物、3,3’,4,4’-ビフェニルテトラカルボン酸二無水物、2,3,3’,4-ビフェニル-テトラカルボン酸二無水物、3,3’,4,4’-ベンゾフェノンテトラカルボン酸二無水物、4,4’-オキシジフタル酸二無水物、及び3,3’,4,4’-ジフェニルスルホンテトラカルボン酸二無水物からなる群から選択されるテトラカルボン酸二無水物から誘導される4価の基である〕
<8> 前記無機系材料が炭素系材料である、<1>~<7>のいずれか1つに記載のペリクル膜。
<9> さらに前記フィルムの少なくとも片面側に、無機系材料からなる層を有する、<1>~<8>のいずれか1つに記載のペリクル膜。
<10> <1>~<9>のいずれか1つに記載のペリクル膜と、前記ペリクル膜を支持する支持枠と、有する、ペリクル。
<11> 前記ペリクル膜の前記支持枠によって支持される部分が、有機系材料を含む領域である、<10>に記載のペリクル。
<12> ポリイミド化合物を含むフィルムを含むペリクル膜と、前記ペリクル膜を支持する支持枠と、有する、ペリクル。
<13> 原版と、前記原版に装着された<10>~<12>のいずれか1つに記載のペリクルと、を含む、露光原版。
<14> <13>に記載の露光原版を有する、露光装置。
<15> 露光光を放出する光源と、<13>に記載の露光原版と、前記光源から放出された露光光を前記露光原版に導く光学系と、を有し、前記露光原版は、前記光源から放出された露光光が前記ペリクル膜を透過して前記原版に照射されるように配置されている、露光装置。
<16> 前記露光光が、EUV光である、<15>に記載の露光装置。
<17> 光源から放出された露光光を、<13>に記載の露光原版の前記ペリクル膜を透過させて前記原版に照射し、前記原版で反射させるステップと、前記原版によって反射された露光光を、前記ペリクル膜を透過させて感応基板に照射することにより、前記感応基板をパターン状に露光するステップと、を有する、半導体装置の製造方法。
<18> 前記露光光が、EUV光である、<17>に記載の半導体装置の製造方法。
 本発明によれば、EUV透過性及び耐久性に優れ、かつ、自立性を有するペリクル膜を提供することができる。
 また、本発明によれば、上記ペリクル膜を有するペリクル、上記ペリクルを有する露光原版、及び、上記露光原版を有する露光装置を提供することができる。
 また、本発明によれば、上記ペリクル膜を用いた半導体装置の製造方法を提供することができる。
本実施形態のペリクル膜を示す概略上面図である。 EUV光をペリクル膜に照射したときのペリクル膜の温度と経過時間との関係を示すグラフである。 グラファイトの結晶構造を示す説明図である。 ペリクル膜の温度Tとペリクル膜の輻射率εの関係を示すグラフである。 ペリクル膜の温度Tとペリクル膜の透過率Trとの関係を示すグラフである。 本実施形態のペリクルを示す概略断面図である。 本実施形態の変形例のペリクルを示す概略断面図である。 本実施形態の露光装置の一例である、EUV露光装置の概略断面図である。 炭化前のポリイミド膜及び炭素膜(EUV光照射領域)のラマン測定の結果を示す。 炭化前のポリイミド膜及び炭素膜(EUV光照射領域)のFT-IR測定の結果を示す。 炭素膜にEUV光を照射している間のEUV透過率の結果を示す。
 以下、適宜、図面を参照しながら、本発明の実施形態について説明する。しかし、本発明は、図面等の具体的な実施形態に限定されることはない。また、各図面に共通の要素については、同一の符号を付すことがあり、重複した説明を省略することがある。
<ペリクル膜>
 本発明の一実施形態に係るペリクル膜について、図1を用いて説明する。図1は、本実施形態のペリクル膜12を示す概略上面図である。
 ペリクル膜12は、有機系材料及び無機系材料からなるフィルム1を有し、フィルム1の同一平面上に有機系材料を含む領域2と無機系材料からなる領域3とが存在し、少なくともフィルム1の中心部は無機系材料からなる領域3であり、かつ、少なくともフィルム1の周端部は有機系材料を含む領域2である。
 本実施形態のペリクル膜は、特に、波長が短い露光光(例えば、EUV光、EUV光よりも更に波長が短い光、等)を用いたリソグラフィー用のペリクルの作製に好適である。
 本実施形態において、EUV(Extreme Ultra Violet:極端紫外)光とは、波長5nm以上30nm以下の光を指す。
 EUV光の波長は、5nm以上13.5nm以下が好ましい。
 本実施形態では、EUV光、及び、EUV光よりも波長が短い光を総称し、「EUV光等」ということがある。
 フィルム1は、同一平面上に有機系材料を含む領域2と無機系材料からなる領域3とを有し、フィルム1の中心部は無機系材料からなる領域3であり、かつ、フィルム1の周端部は有機系材料を含む領域2である。フィルム1の中心部が無機系材料からなる領域3であるため、フィルム1を有するペリクル膜12はEUV透過性に優れ、かつ耐久性に優れており、EUV光を長時間照射しても透過率は変化しない。
 また、フィルムの周端部が無機系材料からなる領域であるペリクル膜では、脆く、自立しにくいが、フィルム1の周端部が有機系材料を含む領域2であるペリクル膜12は、自立性を有する。
 本明細書において、「自立」とは、単独で膜形状を保持できることを指す。
 また、フィルム1の中心部である無機系材料からなる領域3は、リソグラフィー工程にフィルムに露光光が照射されてなる露光面積に比べて広い面積を有することが望ましい。領域3のサイズは特に限定されないが、周端から1mm~2mm程度の領域を除いて、横方向に100mm~110mm、縦方向に130mm~144mmの領域であることが望ましい。領域3の形状は特に限定されず、楕円形、円形、長方形、正方形などでもよく、直線および曲線を組み合わせた形状でもよい。
 フィルムの周端部に存在する有機系材料を含む領域は、有機系材料以外の材料(例えば、無機系材料)を含んでいてもよい。このとき、ペリクル膜の自立性を好適に確保する観点から、有機系材料を含む領域における有機系材料の含有量は、この領域の全質量に対して、50質量%以上であることが好ましく、70質量%以上であることがより好ましく、90質量%以上であることがさらに好ましい。さらに、有機系材料を含む領域における有機系材料の含有量は、この領域の全質量に対して100質量%であることが特に好ましい、即ち、有機系材料を含む領域は、有機系材料からなる領域であることが特に好ましい。
[有機系材料]
 有機系材料としては、炭素を含む有機物であればよい。
 また、有機系材料としては、高いエネルギーを与えることで、炭化するものが好ましい。これにより、有機系材料を含むフィルムの一部の領域に高いエネルギーを与えることで、エネルギーが与えられた領域における有機系材料が炭化し、同一平面上に有機系材料を含む領域(エネルギーが与えられていない領域)と無機系材料からなる領域(エネルギーが与えられた領域)とをそれぞれ設けることができる。
 有機系材料としては、ガラス転移温度及び融点の少なくとも一方が150℃以上であることが好ましい。また、有機系材料は、ガラス転移温度及び融点の少なくとも一方が、300℃以上であることがより好ましく、450℃以上であることがさらに好ましい。有機系材料のガラス転移温度及び融点の少なくとも一方が150℃以上であることにより、露光中にペリクル膜に吸収されたEUV光が熱に変換されてペリクル膜が高温になった場合に、発生した熱でペリクル膜の流動や変形が生じることを抑制することができる。よって、EUV光照射領域に皺が生じたり、穴があいたりすることを抑制することができ、耐熱性に優れたペリクル膜が得られる。
 より具体的には、露光光としてEUV光を照射する場合、ペリクル膜に吸収されたEUV光は熱に変換されて、一時的に250℃以上の温度に達する場合がある。しかし、有機系材料のガラス転移温度及び融点の少なくとも一方を150℃以上とすることで、発生した熱によるペリクル膜の流動や変形を好適に抑制できる。よって、EUV光照射領域に皺が生じたり、穴があいたりすることを好適に抑制できる。
 次に、露光光としてEUV光を照射したときのペリクル膜の温度と経過時間との関係にについて、図2を用いて説明する、図2は、照射強度5W/cmのEUV光を、透過率90%、膜厚み20nm、輻射率0.01のペリクル膜に10msec照射したときのペリクル膜の温度と経過時間との関係を示すグラフである。このグラフは、ペリクル膜に吸収されたEUV光が全て熱に変わると仮定して、算出したものである。図2に示されるように、ペリクル膜の温度は、EUV光が照射されている0msec~10msecの間で450℃まで上昇し、EUV光が照射されなくなると(10msec以降)、ペリクル膜の温度が下がる。このように、EUV光照射中のペリクル膜は高温になると予想されるため、ペリクル膜は高い耐熱性を有することが好ましい。
 融点及びガラス転移温度は、例えば、示差走査熱量測定器(Perkin Elmer社製DSC-7型)を用いて、JIS K7121(1987)に準拠した方法で測定すればよく、昇温速度は10℃/分とすればよい。
 ガラス転移温度及び融点の少なくとも一方が150℃以上である有機系材料としては、特に限定されないが、例えば、芳香族ポリイミド、直鎖脂肪族ポリイミド、ポリエーテルイミド、ポリフェニレンサルフォン、ポリフェニレンエーテル、ポリエーテルサルフォン、ポリエーテルエーテルケトン、液晶ポリマー、ポリエチレンテレフタレート、芳香族ポリアミド、パリレン、ポリアクリロニトリル、及びヘテロ芳香環を含む高分子化合物からなる群より選択される少なくとも一つの化合物が挙げられる。
 有機系材料は、EUV光の照射により分解反応の生じない樹脂であることが好ましく、例えば、3級炭素を含まない鎖状ポリマー、3級炭素を含まない鎖状ポリマーの架橋物、及び3級炭素に3つの芳香環が直接結合しているポリマーのいずれか一種であることが好ましい。「3級炭素を含まない鎖状ポリマーの架橋物」とは、「3級炭素を含まない鎖状ポリマー」が架橋した架橋物を意味し、架橋の結果、3級炭素を含むようになった鎖状ポリマーの架橋物も含まれる。
 ここで、ペリクル膜を形成する有機系材料にEUV光が照射されると、有機系材料中の炭素、酸素または窒素の内殻の電子とEUV光とが相互作用し、それらの原子核が二次電子を放出してイオン化したり、ラジカル種や電子励起種が生成したりする。そのため、3級炭素を含まない鎖状ポリマーでは、3級炭素を含む鎖状ポリマーと比較して、イオン種やラジカル種、励起種による架橋反応や分解反応を抑制することができる。また、3級炭素を含まない鎖状ポリマーが架橋して生じた3級炭素、または3つの芳香環に直接結合した3級炭素、を含むC-C結合は、3級炭素を含む鎖状ポリマーのC-C結合に比べて、架橋反応や分解反応が生じにくい。
 また、有機系材料は、分子内に芳香環やイミド環などの共役構造を含むことがより好ましい。ペリクル膜を形成する分子内に、共役構造を含む有機系材料は、吸収した電離放射線のエネルギーあるいは熱によって架橋反応が生じた際に、安定な炭素構造に変化することができる。
 有機系材料が分子内に芳香環を含む場合、有機系材料の芳香環当量は、3mmol/g以上15mmol/g以下であることが好ましく、5mmol/g以上12mmol/g以下であることがより好ましく、7mmol/g以上10mmol/g以下であることがさらに好ましい。本明細書において、芳香環当量とは、有機化合物一分子中に含まれる芳香環の数を、分子量で割ることにより求められる値であり、計算式は以下のとおりである。
 [式]
 芳香環当量[mmol/g]={一分子中に含まれる芳香環の数/分子量[g/mol]}×1000
 有機系材料としては、ポリイミド化合物、ポリアクリロニトリル化合物、ポリイソシアネート化合物、ポリアミド化合物、ヘテロ芳香環化合物、ポリフェニレン樹脂、ポリエーテル樹脂、液晶ポリマー樹脂、ポリパラキシリレン樹脂、フェノール樹脂、エポキシ樹脂及びフラン樹脂からなる群より選択される少なくとも一つの化合物であることが好ましい。これら化合物を用いて、有機系材料を含む領域をフィルムの周端部に形成することにより、ペリクル膜に自立性を好適に具備させることができる。
 さらに、上記有機系材料に高いエネルギーを与えることにより好適に炭化することが可能であり、炭素を含む無機系材料(炭素系材料)からなる領域と有機系材料を含む領域とが存在するフィルムを有するペリクル膜を好適に製造することができる。
 また、有機系材料としては、ポリイミド化合物であることがより好ましい。ポリイミド化合物を用いて、有機系材料を含む領域をフィルムの周端部に形成することにより、ペリクル膜に自立性をより好適に具備させることができる。
 さらに、ポリイミド化合物にエネルギーを与えることにより容易に炭化することが可能であり、炭素を含む無機系材料からなる領域と有機系材料を含む領域とが存在するフィルムを有するペリクル膜をより好適に製造することができる。
 ポリイミド化合物としては、芳香族ポリイミドであることが好ましい。また、芳香族ポリイミドの中でも、600℃以上に加熱または電離放射線などを照射したときに、分解反応を起こさずに、架橋反応を生じるポリイミドが望ましい。高分子フィルム(有機系材料から構成されるフィルム)の分解反応を抑制する観点から、高分子フィルムを形成する分子構造中に3級炭素やフッ素原子を含まないことがより好ましい。
 また、芳香族ポリイミドの中でも、剛直で直線的な分子構造を持ち、自発的に分子鎖が面内配向するポリイミドが望ましい。分子構造が剛直で直線的な構造を有するポリイミドは、ポリイミド薄膜(ポリイミドから構成されるフィルム)の製膜過程において自発的に面内配向する。配向性の高いポリイミド膜に対して熱、電離放射線などのエネルギーを加えると、配向性を維持しながら炭化反応が進行するため、炭化されたポリイミド膜は膜強度に優れる。
 剛直で直線的な分子構造をもつ芳香族ポリイミドを形成するための化合物としては、例えば、酸無水物においては、ピロメリット酸無水物、3,3',4,4'-ビフェニルテトラカルボン酸二無水物などが挙げられる。また、ジアミンにおいては、芳香環に対してパラ位に置換基を有する化合物が望ましく、例えば、p-フェニレンジアミン、4,4'-ジアミノジフェニルエーテル、4,4'-ジアミノジフェニルメタン、4,4'-ジアミノジフェニルスルホンなどが挙げられる。上記の酸無水物及びジアミンからなるポリイミドは、剛直で直線的な分子構造を有しており、これらを用いることで、自発的に面内配向したポリイミド薄膜を得ることができる。
 ポリイミド膜の面内配向の程度を示す指標として、厚み方向の複屈折または位相差(=厚み×複屈折)を用いることができる。厚み方向の複屈折は、位相差測定装置を用いて評価することができる。ポリイミド膜の厚み方向の複屈折は0.05以上であることが好ましく、0.1以上であることがより好ましい。
 厚み方向の複屈折を測定する際は、公知の位相差測定装置を用いればよく、例えば、ユニオプト株式会社製のABR-10A、ABR-22、ABR-30などを用いることができる。
 ポリイミド化合物としては、下記一般式(1)で表される繰り返し単位を含む芳香族ポリイミドであることがより好ましい。
Figure JPOXMLDOC01-appb-C000003
 一般式(1)において、Aはm-フェニレンジアミン、o-フェニレンジアミン、p-フェニレンジアミン、3,3’-ジアミノジフェニルエーテル、3,4’-ジアミノジフェニルエーテル、4,4’-ジアミノジフェニルエーテル、3,3’-ジアミノジフェニルスルホン、4,4’-ジアミノジフェニルスルホン、3,3’-ジアミノベンゾフェノン、3,3’-ジアミノジフェニルメタン、4,4’-ジアミノジフェニルメタン、1,3-ビス(3-アミノフェノキシ)ベンゼン、1,3-ビス(4-アミノフェノキシ)ベンゼン、及び4,4’-ビス(3-アミノフェノキシ)ビフェニルからなる群から選択される芳香族ジアミンから誘導される2価の基であり;
 Bは、ピロメリット酸二無水物、3,3’,4,4’-ビフェニルテトラカルボン酸二無水物、2,3,3’,4-ビフェニル-テトラカルボン酸二無水物、3,3’,4,4’-ベンゾフェノンテトラカルボン酸二無水物、4,4’-オキシジフタル酸二無水物、及び3,3’,4,4’-ジフェニルスルホンテトラカルボン酸二無水物からなる群から選択されるテトラカルボン酸二無水物から誘導される4価の基である。
 芳香族ポリイミドは、所望の物理的性質等を損なわない範囲で、前記芳香族ジアミンから誘導される基以外の、他のジアミンから誘導される2価の基、及び、前記テトラカルボン酸二無水物から誘導される基以外の、他のテトラカルボン酸二無水物から誘導される4価の基が、一種以上含まれていてもよい。
 ポリイミド化合物は、酸無水物とジアミンとの反応によって好ましく形成される。
 ポリイミド化合物を形成するための酸無水物の具体的な構造は以下のとおりである。
Figure JPOXMLDOC01-appb-C000004
 また、ポリイミド化合物を形成するためのジアミンの具体的な構造は以下のとおりである。
Figure JPOXMLDOC01-appb-C000005
 本実施形態のペリクル膜は、単層構造つまり、一層のフィルムからなる構造であってもよく、二層以上からなる構造体であってもよい。
 また、ペリクル膜は、フィルムの少なくとも片面側に、無機系材料からなる層を有していてもよい。無機系材料からなる層としては、酸化防止層などが挙げられる。
[酸化防止層]
 本実施形態のペリクル膜において、フィルムの少なくとも片面側に、酸化防止層が積層されてもよい。フィルムに酸化防止層が積層されると、EUV光照射時やペリクル保管時のペリクル膜の酸化が抑制される。
 酸化防止層は、EUV光に対して安定な材料からなる層であれば、その種類は特に制限されない。例えば、SiO(x≦2)、Si(x/yは0.7~1.5)、SiON、Y、YN、Mo、Ru、Rb、Sr、Y、Zr、Nb、またはRhからなる層等でありうる。
 EUV光の透過を阻害しないためには、酸化防止層の厚みは1nm~10nm程度が望ましく、2nm~5nm程度がさらに望ましい。酸化防止層の厚みを1nm~10nm程度とすることにより、酸化防止層にEUV光が吸収されることを抑制し、透過率の低下を抑制することができる。
 ペリクル膜の厚みに対する酸化防止層の厚みの割合は、0.03~1.0の範囲にあることが望ましい。上記数値範囲であれば、酸化防止層にEUV光が吸収されることを抑制し、透過率の低下を抑制することができる。
 また、酸化防止層を積層すると、新たに生成した層界面、すなわち酸化防止層と空気の界面、及び酸化防止層とペリクル膜との界面で、EUV光の反射が生じ、透過率が低下するおそれがある。これらの層界面でのEUV光の反射率は、ペリクル膜及び酸化防止層の厚み、ならびにペリクル膜及び酸化防止層を構成する元素の種類に応じて、算出することができる。そして、反射防止膜の原理と同様に膜厚みを最適化することによって、反射率を低下させることができる。
 酸化防止層の厚みは、吸収によるEUV光の透過率低下及び反射によるEUV光の透過率低下を抑制しつつ、かつ酸化防止の性能を有する範囲で、最適な厚みとすることが望ましい。
 酸化防止層の厚み均一性や表面粗さも特に限定されない。EUV露光のパターニング工程において、膜厚みの不均一性や表面粗さに由来した透過率の不均一性やEUV光の散乱による支障が生じなければ、酸化防止層が連続層あるいは海島状のどちらであってもよく、また、膜厚みが不均一であっても表面粗さがあってもよい。
 ペリクル膜と酸化防止層とを併せたペリクル膜の平均屈折率は1.9~5.0の範囲であることが望ましい。屈折率は分光エリプソメトリーなどの手法で測定することができる。また、ペリクル膜と酸化防止層とを併せたペリクル膜の平均密度は1.5g/cm~5.0g/cmの範囲であることが望ましい。密度はX線反射法などの手法で測定することができる。
 ペリクル膜の厚さ(二層以上からなる場合には総厚)は、例えば、10nm~200nmとすることができ、10nm~100nmが好ましく、10nm~70nmがより好ましく、10nm~50nmが特に好ましい。
[無機系材料]
 無機系材料としては、炭素系材料であることが好ましい。炭素系材料としては、例えば、非晶質炭素、グラファイトであることが好ましい。
 炭素系材料からなる領域の構造としては、後述するグラファイト構造のように六員環の連結体がc軸方向に規則的に配列した構造でなくてもよい。すなわち、グラファイト構造とは異なるX線回折パターンを有する、非晶質炭素の構造であってもよい。また、sp結合だけではなく、sp結合を含んでおり、炭素、水素以外にも、酸素や窒素などの第三成分の元素を含んでいてもよい。
 グラファイトは、例えば、炭素のみからなり、かつ波長550nmの光の屈折率が2.0~3.0である。
 図3は、グラファイトの結晶構造の模式図である。図3に示されるように、グラファイトの結晶は、sp炭素原子の六員環の連結体が、c軸方向に多数積層された構造を有する。本発明では、六員環の連結体がc軸方向に規則的に配列した化合物のみをグラファイトとし;グラフェンの積層物等、連結体がc軸方向に規則的に配列しないものは、グラファイトに含まない。グラファイトの一部には、窒素、ケイ素、sp炭素原子等が含まれてもよい。
 グラファイトは、単結晶構造であってもよく、多結晶構造であってもよい。単結晶構造のグラファイトは、強度が高く、熱伝導性が高い点で好ましい。一方、多結晶構造のグラファイトは、製造しやすく、コストの面で好ましい。
 また、グラファイトのモザイクスプレッドは、5.0以下であることが好ましく、より好ましくは0.1以上3.0以下であり、さらに好ましくは0.1以上1.0以下である。モザイクスプレッドが5.0以下であると、強度及び放熱性が優れる。モザイクスプレッドは、グラファイト中の結晶子のc軸方向の配向性を示す指標である。モザイクスプレッドの値が小さいほど、c軸方向の配向性が高いことを示す。モザイクスプレッドの値が大きい;つまりグラファイト中の結晶子のc軸方向の配向性が低いと、六員環の連結体がc軸方向に規則的に配列し難くなり、グラファイトが脆くなりやすい。
 例えば、モザイクスプレッドが0.3°であるとは、六員環の連結体(プレート面)に垂直な方向からのc軸のずれがほぼ±0.6°以内であること示す(カーボン用語事典,炭素材料学会カーボン用語事典編集委員会,安田螢一,小林和夫編,アグネ承風社,2000)。モザイクスプレッドは、グラファイトからなる領域の作製時の焼成過程の温度や圧力で調整される。
 モザイクスプレッドは、X線回折装置にて以下の手順で測定される。プレート状のグラファイトの(002)面のX線回折線がピークを示す位置にX線回折装置のカウンター(2θ軸)を固定する。そして、試料(θ軸)のみを回転させて、強度関数((002)面回折線ピーク強度の試料方位角依存曲線)を測定する。得られた強度関数からピーク強度の半減値を求め、これをモザイクスプレッドとする。
 非晶質炭素やグラファイト等の炭素系材料からなる領域については、有機系材料を含む領域に高いエネルギーを与えることで炭化させ、形成することができる。
 そのため、有機系材料を含むフィルム(好ましくは、有機系材料からなるフィルム)の中心部に高いエネルギーを与えることで、エネルギーが与えられた領域における有機系材料を炭化させ、フィルムの中心部が無機系材料(炭素系材料)からなる領域であるペリクル膜を製造することができる。また、フィルムにおける高いエネルギーが与えられていない領域は、有機系材料を含む領域であり、フィルムの少なくとも周端部が有機系材料を含む領域であれば、自立性を有するペリクル膜を製造することができる。
 グラファイトからなる領域は、前述の方法で形成後、さらに圧力をかけつつ高温で長時間再焼鈍した高配向熱分解グラファイト(Highly oriented pyrolytic graphite:HOPG)であることが好ましい。長時間再焼鈍して得られる高配向熱分解グラファイトは、モザイクスプレッドが非常に小さくなる。
 製造の容易さの点(例えば、より低温で炭素系材料からなる領域を形成できる点)で、炭素系材料としては、非晶質炭素が好ましい。
<ペリクル膜の製造方法>
 本発明に係るペリクル膜の製造方法としては、特に限定されないが、以下にペリクル膜の製造例を示す。
(基板上に犠牲層を積層して後に除去する方法)
 基板上に犠牲層を積層し、その上に有機系材料を含む膜(好ましくは、有機系材料からなる膜である。なお、膜はフィルムであってもよい。)を形成して、後で犠牲層を除去することで自立膜を得ることができる。犠牲層は、金属、酸化膜、樹脂、塩など、特定の処理方法で除去できるものとすることができる。例えば、犠牲層は、酸性溶液に溶けるアルミニウムなどの金属でありうる。具体的には、蒸着やスパッタなどでガラス基板やシリコンウエハの表面に金属層を積層し、さらに金属層の上に膜を積層した後に、酸性溶液など金属層を溶かすことができる溶液に浸漬することによって、基板から膜を剥離することができる。
 基板として自然酸化膜や酸化ケイ素層を有するシリコンウエハを用いた場合には、シリコンウエハ上の自然酸化膜または酸化ケイ素層に有機系材料を含む膜をコーティングした後に、フッ酸水溶液に浸漬することによって自然酸化膜や酸化ケイ素層を除去し、基板から膜を剥離することもできる。
 基板に積層する犠牲層を、部分けん化ポリビニルアルコール樹脂や塩化ナトリウムなどの塩のような水溶性材料としてもよい。犠牲層の上に有機系材料を含む膜を積層した後に、積層体を水に浸漬することによって、基板からペリクル膜を剥離することができる。
 基板上に積層した犠牲層を除去する方法を選定する上で、有機系材料を含む膜のプロセス耐性、膜強度、犠牲層の除去速度、犠牲層の膜厚み均一性や表面粗さなどの特徴に応じて、もっとも適切な任意の手法を選定することができる。
 有機系材料を含む膜を剥離した後、この膜の中心部に高いエネルギーを与えることで、エネルギーが与えられた領域における有機系材料を炭化させ、フィルムの中心部が無機系材料(炭素系材料)からなる領域であり、フィルムの周端部が有機系材料を含む領域であるペリクル膜を製造することができる。
(基板をエッチングまたは溶解させる方法)
 基板の材質を、金属、酸化膜、樹脂、塩など、特定の処理方法で除去できるものとした場合には、基板の上に有機系材料を含む膜を積層したのちに、基板をエッチングまたは溶解させることで、膜を得ることができる。
 例えば、基板として銅箔を用いた場合、銅箔表面に有機系材料を含む膜を積層した後に、塩化第二銅エッチング液に浸漬することで、銅箔基板をエッチングして基板を除去し、膜を得ることができる。
 基板をガラス基板とした場合、ガラス基板に有機系材料を含む膜を積層した後に、フッ化水素酸を用いてガラス基板をエッチングして基板を除去し、膜を得ることができる。
 基板をシリコンウエハとした場合、シリコンウエハに有機系材料を含む膜を積層した後に、ウェットエッチングまたはドライエッチングにより、シリコンウエハをエッチングしてシリコンウエハを除去し、膜を得ることができる。ウェットエッチングは、KOHやTMAH、ヒドラジンなどのエッチング液を用いることができる。ドライエッチングは、フッ素系(SF、CF、NF、PF、BF、CHF、XeF)、塩素系(Cl、SiCl)、臭素系(IBr)などのエッチングガスを用いることができる。ウェットエッチング速度は温度によって変化するため、シリコンウエハ上の有機系材料を含む薄い膜に損傷を与えないようにエッチングするためには、液温を下げエッチングレートを下げることが好ましい。
 シリコンウエハをドライエッチングする場合には、シリコン基板表面に事前にエッチングストップ層などの層を設けてもよい。エッチングストップ層としては、SiOやSiNからなる層などが挙げられる。エッチングストップ層は引張応力が生じる膜により構成されることが好ましい。基板及び薄膜の表面に対して平行方向に働く残留応力には引張応力と圧縮応力とがある。薄膜内部に薄膜を拡げようとする力が働くときには引張応力となり、一方で薄膜内部に薄膜を収縮させようとする力が働くときは圧縮応力となる。これらの応力は主に薄膜の製膜過程において生じる。残留応力をもたらす要因の一つとして、基板と薄膜との熱膨張率の違いがある。室温に戻すとき基板も薄膜も収縮するがその割合は熱膨張率により異なっており、薄膜の熱膨張率が基板の熱膨張率より大きければ引張応力、逆のときは圧縮応力となる。引張応力が生じる膜により、当該膜上に設けた有機系材料を含む膜に張力が加わり、皺のない膜ができるため好ましい。SiNからなる層は引張応力を生じさせるため、シリコンウエハをドライエッチングして得られる、有機系材料を含む膜を、皺のない膜とすることができる。エッチングストップ層は、シリコンウエハのドライエッチングが終わった後に除去することで、目的とする自立膜を得ることができる。
 基板を塩化ナトリウムなどの塩からなる基板とした場合、基板表面に有機系材料を含む膜を積層した後に、水に浸漬して基板をエッチングして基板を除去し、膜を得ることができる。基板をプラスチック基板とした場合、プラスチック基板表面に有機系材料を含む膜を積層した後に、プラスチック基板を可溶な溶媒に浸漬することで、プラスチック基板を溶解させて膜を得ることができる。
 有機系材料を含む膜を得た後、この膜の中心部に高いエネルギーを与えることで、エネルギーが与えられた領域における有機系材料を炭化させ、フィルムの中心部が無機系材料(炭素系材料)からなる領域であり、フィルムの周端部が有機系材料を含む領域であるペリクル膜を製造することができる。
(基板の表面上を剥離しやすいように前処理を施す方法)
 基板に表面処理を施すことで、有機系材料を含む膜と基板面との相互作用を制御し、溶媒への浸漬や機械的な剥離プロセスにより、基板から膜を容易に剥離することができる。有機系材料を含む膜と基板面との相互作用を制御する方法として、例えばシランカップリング剤による表面処理方法が挙げられる。そのほかには、水や有機溶媒、ピラニア溶液、硫酸、UVオゾン処理、などにより基板表面を洗浄する方法が挙げられる。基板をシリコンウエハとする場合には、過酸化水素水と水酸化アンモニウムの混合液や、塩酸と過酸化水素水の混合液など、RCA洗浄法で用いられる溶液などを使用することができる。
 犠牲層の製膜、基板上の表面処理は、基板をエッチングまたは溶解させる方法を、それぞれ組み合わせて用いてもよい。犠牲層や表面処理に用いられる物質は、有機系材料を含む膜の表面や内部に残りにくく、また残っても容易な方法で除去できるものが望ましい。例えば、ガスによるエッチング、熱による蒸発、溶媒による洗浄、光による分解除去などがあり、それらを組み合わせて除去を実施してもよい。
(炭素系材料からなる領域の形成方法)
 次に、有機系材料を含む膜に高いエネルギーを与えることで、エネルギーが与えられた領域における有機系材料を炭化させて炭素系材料からなる領域を形成する方法について説明する。
 炭素系材料からなる領域の形成方法としては、例えば、ポリイミド化合物、ポリアクリロニトリル化合物、ポリイソシアネート化合物、ポリアミド化合物、ヘテロ芳香環化合物、ポリフェニレン樹脂、ポリエーテル樹脂、液晶ポリマー樹脂、ポリパラキシリレン樹脂、フェノール樹脂、エポキシ樹脂、フラン樹脂などの化合物を含む高分子フィルムに高いエネルギーを与えて炭素系材料からなる領域を有するフィルムに転化する方法が挙げられる。高いエネルギーを与える方法は、高熱で焼成する方法、もしくは放射線を照射する方法であることが望ましい。
 高熱で焼成する方法として、高温の加熱炉を用いて加熱する方法や、紫外線、可視光などのレーザー、または赤外線をフィルムに照射して、フィルムを加熱する方法が挙げられる。フィルムの周端部に有機系材料を含む領域を保持し、フィルムを局所的に加熱する観点から、紫外線、可視光などのレーザー、または赤外線をフィルムに照射して、フィルムを加熱する方法が好ましい。
 照射する放射線としては、EUV光、X線、γ線、電子線、中性子線、イオンビーム(重荷電粒子線)等が挙げられ、特にEUV光を用いることが好ましい。放射線を高分子フィルムに放射すると、放射線がフィルムを構成する物質と相互作用し、フィルム中の原子にエネルギーが付与される。このエネルギーによって原子が励起されたり、イオン化されたり、2次電子が放出されたり、様々な化学反応が生じる。その結果、高分子フィルムの高エネルギーが与えられた領域における有機系材料が炭化して、炭素系材料からなる領域を有するフィルムが得られる。
 高強度であるEUV光を照射して高分子フィルムを炭化する場合には、2つの理由で高分子フィルムの炭化が進行する。一つの理由は、EUV光のエネルギーにより、原子が励起されたり、イオン化されたり、2次電子が放出されたりすることに起因して炭化が進行するためである。もう一つの理由は、フィルムに吸収されたEUV光のエネルギーが熱に代わり、高分子フィルムが熱分解温度を超えることによって炭化が生じるためである。
 よって、EUV光を照射して炭化する場合には、EUV光のエネルギーによる炭化の影響だけでなく、上記のような熱による炭化の影響が含まれ、炭化が促進されるため、好ましい。
 高分子フィルムの炭化については、EUV光を高分子フィルムに照射し、600℃~1000℃の温度に加熱して行なうことが好ましい。これにより、EUV光が照射された領域の構造が変化して高分子フィルムの炭化が進行し、炭素系材料からなる領域、特に、非晶質炭素からなる領域を好適に形成することができる。
 高分子フィルムの炭化は、ペリクル製造過程のいずれの段階で行なわれてもよい。例えば、酸化防止層上に高分子フィルムを塗布した直後に炭化を行なってもよく、基板上に高分子フィルムが積層された後、あるいは、高分子フィルムを基板から剥離した後のどちらかで炭化を行なってもよい。また、高分子フィルムを支持枠に固定した状態で炭化を行なってもよい。
 高分子フィルムの炭化する領域は、エネルギーが与えられる領域に対応する。高分子フィルムにおいて、その周端部を除いた領域が、エネルギーが与えられる領域となっていることが好ましい。例えば、高分子フィルムの周端から1mm~2mm程度の領域を除いて、横方向に100mm~110mm、縦方向に130mm~144mmの領域を炭化してもよい。
 高分子フィルムの炭化する領域の形状としては、特に限定されず、楕円形、円形、長方形、正方形などが挙げられ、また、直線および曲線を組み合わせた形状でもよい。
(炭素系材料からなる領域の構造)
 炭素系材料からなる領域の構造は、ラマン分光法、フーリエ変換型赤外分光分析(FT-IR)法、X線回折などの測定方法を用いて調べることができる。以下では、高分子フィルムとして、芳香族ポリイミドフィルムを用いた場合の例について説明する。
 例えば、励起光として532nmの光を用いたラマン測定では、ポリイミドの蛍光に由来した100cm-1~4000cm-1の広い波数範囲全体にわたって、ポリイミドの蛍光に由来したブロードなシグナルが検出される。芳香族ポリイミドフィルムの炭化が進行すると、約900cm-1~1800cm-1にピークが現れる。ポリイミド由来の蛍光のピーク強度及び炭素由来の900cm-1~1800cm-1のピーク強度を比較することで炭化の進行度を評価することができる。
 フィルム中にポリイミドの構造が存在するときにはポリイミドの構造に特有のIRピークが現れる。一方、炭素構造は幅広い波数範囲の赤外線を吸収し固有のピーク形状を持たない。そのため、ポリイミドが炭化するとポリイミドの構造に由来したピーク強度の減少がみられる。このように、ポリイミド由来のFT-IRのピークを測定することによっても、炭化の進行度を評価することが可能である。
 また、ラマン測定及びFT-IR測定の両方を用いて炭化の進行を見積もることができる。例えば、FT-IRスペクトルにおけるポリイミドに特徴的なピークが消失し、かつラマンスペクトルにおけるブロードな蛍光ピークの消失と、約900cm-1~1800cm-1にピークの出現と、がみられたときに、フィルム中のポリイミドが炭化したとみなすことができる。
 さらに、ラマン測定を行なうことにより、例えば、炭素系材料からなる領域がグラファイト構造であるか、非晶質炭素の構造であるかを判別することができる。グラファイト構造の場合、1580cm-1近傍に実質的に単一のシャープなピークが観察される。また、炭素系材料の構造が乱れ、非晶質に近づくにつれて1360cm-1近傍のピーク強度が増大し、ピークはブロードになる。これにより、高分子フィルムを600℃~1000℃の温度に加熱することで好適に形成される非晶質炭素の構造であることを判別することができる。非晶質炭素の構造としては、ラマンスペクトルにおける1580cm-1のピーク強度に対する1360cm-1のピーク強度の比は、0.1以上であることが好ましく、0.3以上であることがより好ましい。
[ペリクル膜の物性]
(放熱性及び耐熱性について)
 前述のように、EUV光照射時には、EUVのエネルギーが様々な緩和過程を経て熱に変わる。そのため、ペリクル膜には放熱性及び耐熱性が求められる。少なくともフィルムの中心部が炭素系材料からなるフィルムを有するペリクル膜は、放熱性及び耐熱性を兼ね備え、EUVリソグラフィー中に、ペリクル膜が破損するおそれが少ない。したがって、従来の単結晶シリコンからなるペリクル膜は放熱性が低く、EUV光照射中に熱的ダメージを受けて変形、あるいは、破損しやすいという問題がある一方、フィルムの中心部が炭素系材料からなるペリクル膜を用いることによって原版を確実に保護することができる。以下に、フィルムの中心部が炭素系材料からなるペリクル膜(以下、「炭素膜」とも称する)が放熱性及び耐熱性を兼ね備える理由を説明する。
 炭素膜の放熱性は主に、(i)炭素膜の輻射性(赤外線によるエネルギーの放出)及び(ii)炭素膜の熱伝導性によって定まる。
(i)炭素膜の輻射率ε(輻射性)と、EUV光照射中の炭素膜の温度T、EUV光照射強度P、炭素膜のEUV透過率Tr、シュテファン・ボルツマン定数σ、及び壁温度(EUV光照射装置内部の温度)Twとの間には、以下の関係式(1)が成り立つ。なお、関係式(1)では、炭素膜の熱伝導性を0とする。
Figure JPOXMLDOC01-appb-M000006
 図4は、上記式(1)から求められる「炭素膜温度T」と「炭素膜の輻射率ε」との関係を示すグラフであり;当該グラフでは、炭素膜のEUV透過率Trは80%、EUV光照射強度Pは100W/cmとしている。図4に示されるように、炭素膜の輻射率εが大きくなればなるほど、炭素膜の温度Tが低くなることがわかる。
 一方、図5は、前述の式(1)から求められる「炭素膜温度T」と「炭素膜の透過率Tr」との関係を示すグラフであり;当該グラフでは、炭素膜の輻射率εを0.01、EUV光照射強度Pを100W/cmとしている。図5に示されるように、炭素膜の透過率Trが変化すると、炭素膜の温度Tは多少変化するものの、その変化量が小さい。つまり、炭素膜の温度Tは、炭素膜のEUV透過率Trには殆ど依存せず、炭素膜の輻射率εに大きく依存する。
 ここで、炭素膜の輻射率εを実験的に求めることは困難である。ただし、キルヒホッフの法則に従えば、赤外線吸収率の高い膜ほど、輻射率εが高くなる。したがって、ペリクル膜の遠赤外線吸収スペクトルから、炭素膜の輻射性がある程度予測される。
 従来のペリクル膜である単結晶シリコンの赤外線吸収は、Si-Si結合の伸縮振動モードに起因する吸収のみであり、赤外線吸収率が低い。したがって、単結晶シリコン膜は、輻射性が低い。
 これに対し、炭素膜を形成する炭素系材料は、C-H結合由来の吸収やsp炭素結合由来の強い吸収を有し、赤外線吸収率が高い。したがって、炭素膜は輻射性が高い。
(ii)ペリクル膜の熱伝導性は、膜を形成する材料の熱伝導度によって定まる。単結晶シリコンの熱伝導度は150W/mK~170W/mKである。これに対し、炭素膜の一例であるグラファイト膜の熱伝導度は1000W/mK~5000W/mKである。つまり、単結晶シリコンからなるペリクル膜は熱伝導性が低いのに対し、グラファイト膜などの炭素膜は熱伝導性が高い。
 以上のことから、従来のペリクル膜である単結晶シリコン膜は(i)輻射性及び(ii)熱伝導性のいずれも劣るため、放熱性が不十分であることがわかる。これに対し、本実施形態の炭素膜は、(i)輻射性及び(ii)熱伝導性の両方が優れる。したがって、放熱性が非常に高いといえる。
 ペリクル膜の耐熱性は、ペリクル膜を構成する材料の融点によって定まる。グラファイトなどの炭素膜の融点が3600℃であるのに対し、単結晶シリコンの融点は1410℃である。
 つまり、グラファイト膜などの炭素膜は、従来の単結晶シリコンからなるペリクル膜と比較して、格段に耐熱性が優れる。
(ペリクル膜のEUV透過性と厚さ)
 本実施形態のペリクル膜は、無機系材料からなる領域のEUV光の透過率が高いことが好ましく;EUVリソグラフィーに用いる光(例えば、波長13.5nmの光や波長6.75nmの光)の透過率が50%以上であることが好ましく、80%以上であることがより好ましく、90%以上であることがさらに好ましい。ペリクル膜が酸化防止層と積層される場合には、これらを含む膜の光の透過率が50%以上であることが好ましい。
 ペリクル膜の光の透過率Trはフォトダイオードで測定される。具体的には、ペリクル膜を設置しない状態で検出される電流値(入射光強度I)、及びペリクル膜を設置した状態で検出される電流値(透過光強度I)から、下記の式(2)に基づいて求められる。
Figure JPOXMLDOC01-appb-M000007
 ペリクル膜の厚さは、ペリクル膜の光の透過率、ペリクル膜の赤外線吸収率、ペリクル膜の強度、及び自立性を勘案して設定されることが好ましい。ペリクル膜の好ましい厚さは、10nm~120nm程度であり、支持材を備える場合は9nm~110nm程度である。
 EUV露光のパターニング工程のときに、膜厚みの不均一性や表面粗さに由来した透過率の不均一性やEUV光の散乱による支障が生じなければ、ペリクル膜の厚み均一性や表面粗さは特に問わない。
 EUV露光のパターニング工程のときに、皺に由来した透過率の低下及び不均一性や、散乱による支障が生じなければ、ペリクル膜に皺があってもよい。
 ペリクル膜のEUV透過率Trと、ペリクル膜の厚さdとの間には、下記の式(3)の関係が成り立つ。
Figure JPOXMLDOC01-appb-M000008
 式(3)における密度ρはペリクル膜を構成する物質固有の密度である。また、上記式(3)における質量吸光係数μは、以下のように求められる。光子のエネルギーがおよそ30eVより大きく、なおかつ光子のエネルギーが原子の吸収端から十分に離れている場合、質量吸光係数μは原子どうしの結合状態等に依存しない。例えば波長13.5nmの光子エネルギーは、92.5eV付近であり、原子の吸収端からも十分に離れている。よって、上記質量吸光係数μは、ペリクル膜を構成する化合物の原子同士の結合状態に依存しない。そのため、ペリクル膜の質量吸光係数μは、ペリクル膜を構成する各元素(1,2,・・・,i)の質量吸光係数μと、各元素の質量分率Wとから、以下の式(4)で求められる。
Figure JPOXMLDOC01-appb-M000009
 上記式(4)における各元素の質量吸光係数μは、Henkeらによってまとめられている以下の参考文献の値を適用できる(B. L. Henke, E. M. Gullikson, and J. C. Davis, “X-Ray Interactions:Photoabsorption, Scattering, Transmission, and Reflection at E = 50-30,000 eV, Z = 1-92,” At. Data Nucl. Data Tables 54, 181 (1993) これらの数値の最新版はhttp://www.cxro.lbl.gov/optical_constants/に掲載されている。)。
 つまり、ペリクル膜の質量吸光係数μ、フィルムの密度ρが特定できれば、所望のEUV透過率Trに基づいて、好ましいペリクル膜の厚さdを設定できる。
(ペリクル膜の応力について)
 シリコンウエハなどの基板上に、薄膜を製膜して得たペリクル膜には応力が残留することがある。ペリクル膜の残留応力が大きいと、クラックが生じたり、自立膜としたときに破れの原因となったりするため、ペリクル膜の残留応力は小さいほうが好ましい。ペリクル膜の残留応力の向きと大きさは、製膜した基板の反りの向きと大きさを測定することによって測定することができる。製膜した基板の反りの向きと大きさは、例えばレーザー光を利用した変位計測装置を用いて測定することができ、具体的には三次元形状測定装置(NH-3SP 三鷹光器株式会社)などを用いて測定することができる。ペリクル膜の残留応力の大きさは1GPa以下であることが望ましく、より望ましくは0.5GPa以下、さらに望ましくは0.2GPa以下である。
 残留応力は、引張方向の応力であることが望ましい。残留応力の方向が引張方向である場合には、膜に張力が加わるために、皺の無い自立膜を得ることができる。一方、残留応力の方向が圧縮方向である場合には、膜に圧縮力が加わるため皺が生じる。膜の皺を抑制することで、膜の厚みの変化を抑制してEUVの透過率をより均一にすることができ、また、振動などの外力に対して破れを生じにくくすることができる。
(ペリクル膜のEUV耐性評価)
 ペリクル膜にEUV光を照射し、照射部分と未照射部分について、各種の分析を行うことでEUV耐性を評価することができる。例えば、XPS測定、EDS分析、RBSなどの組成分析の手法、XPS,EELS,IR測定やラマン分光などの構造解析の手法、エリプソメトリーや干渉分光法、X線反射法等などの膜厚み評価法、顕微鏡観察、SEM観察やAFM観察などの外観や表面形状評価方法などを用いることができる。放熱性は、コンピューターシミュレーションによる解析結果を組み合わせることで、より詳細に検討されうる。
 ペリクル膜は、EUV光に限らず評価項目に応じて、真空紫外線照射、紫外―可視光線照射、赤外線照射、電子線照射、プラズマ照射、加熱処理などの方法を適宜選択し、ペリクル膜の耐性評価を実施してもよい。
(ペリクル膜の膜強度の評価について)
 基板上のペリクル膜の強度の評価方法としては、ナノインデンターによる評価方法が挙げられる。自立膜の膜強度の評価方法としては、共鳴法やバルジ試験法、エアブローによる膜の破れの有無の評価法、振動試験による膜の破れの有無の評価法等の手法を用いることができる。
<ペリクル>
 本実施形態のペリクルは、本実施形態のペリクル膜と、前記ペリクル膜を支持する支持材と、を有する。
 本実施形態のペリクルは、本実施形態のペリクル膜を備えるので、本実施形態のペリクル膜と同様の効果を奏する。
 以下、図6を参照しながら、本実施形態に係るペリクルについて説明する。図6は、本実施形態のペリクル10を示す概略断面図である。ペリクル10は、膜接着剤層13を介してペリクル膜12と支持枠14とが接着されて構成されており、支持枠14には、通気孔16が形成され、かつ、原版用接着剤層15が形成されている。
[支持枠]
 支持枠(ペリクル枠)14は、ペリクル膜12を支持するためのものである。
 また、本実施形態のペリクル膜12の支持枠14によって支持される部分が、有機系材料を含む領域であることが好ましい。これにより、支持枠14によって支持される部分に、ペリクル膜12の有機系材料を含む領域が固定され、露光光が照射された際に、無機系材料からなる領域に透過光を透過させることができる。
 支持枠の材質、形状などは、本実施形態のペリクル膜を、膜接着剤層等を介して支持可能な枠であれば特に制限されない。支持枠としては、例えばアルミニウム、ステンレス、ポリエチレン、セラミックス製の枠であってもよい。例えば図6に示されるように、支持枠14は、ペリクル10及び原版(不図示)に囲まれた領域と、EUV露光装置内との気圧を一定とするための通気孔16と、を有することが好ましい。EUV光による露光は、真空環境(減圧環境)下で行われるため、露光時の気圧が不均一であると、ペリクル膜12が、圧力差によって伸縮したり、破損するおそれがある。通気孔16には、ペリクル10及び原版に囲まれた領域に異物が入らないよう、フィルターが配設されることが好ましい。フィルターとしては、ULPA(Ultra Low Penetration Air)フィルター、金属メッシュなどが挙げられる。また、支持枠14は検査しやすいように露光に支障が無い範囲で着色されていてもよい。
 ペリクル膜を支持枠へ固定する手順や方法は特に制限されない。また、エッチングされた基板を支持枠の一部として使用してもよい。例えば、金属、シリコンウエハ、ガラス、樹脂、塩など、特定の処理方法で除去できる基板の上にペリクル膜を積層してもよく、その後に、ペリクル膜の配置面と反対面の基板表面に、枠のサイズに合わせてマスクを施し、マスク形状を残してエッチングまたは溶解させてもよい。これにより、基板の一部を支持枠として使用したペリクルを得ることができる。
 基板の形状を枠形状と合わせるためのトリミング方法は特に制限されない。シリコンウエハを用いる場合には、機械的にウエハを割る方法や、レーザートリミングの方法を用いることができる。
[膜接着剤層]
 膜接着剤層13は、支持枠14とペリクル膜12とを接着する層である。膜接着剤層13は、例えばアクリル樹脂接着剤、エポキシ樹脂接着剤、ポリイミド樹脂接着剤、シリコーン樹脂接着剤、無機系接着剤等からなる層でありうる。EUV露光時の真空度を保持する観点から、膜接着剤層13は、アウトガスが少ないものが好ましい。アウトガスの評価方法として、例えば昇温脱離ガス分析装置を用いることができる。
 また、ペリクル膜を支持枠に固定する方法は特に制限されず、ペリクル膜を支持枠へ直接貼り付けてもよく、支持枠の一方の端面にある膜接着剤層を介してもよく、機械的に固定する方法や磁石などの引力を利用してペリクル膜と支持枠とを固定してもよい。
 ペリクル膜と支持枠の接着性の評価方法としては、例えば圧力、面積、距離、角度を変えてエアブローにより膜の破れや剥離の有無を評価する手法や、加速度、振幅を変えて振動試験により膜の破れや剥離の有無を評価する手法などを用いることができる。
[原版用接着剤層]
 原版用接着剤層15は、支持枠14と原版とを接着する層である。図6に示されるように、原版用接着剤層15は、支持枠14のペリクル膜12が固定されていない側の端部に設けられる。原版用接着剤層15は、例えば、両面粘着テープ、シリコーン樹脂粘着剤、アクリル系粘着剤、ポリオレフィン系粘着剤、無機系接着剤等である。EUV露光時の真空度を保持する観点から、原版用接着剤層15は、アウトガスが少ないものが好ましい。アウトガスの評価方法として、例えば昇温脱離ガス分析装置を用いることができる。
 膜接着剤層13及び原版用接着剤層15は、EUV露光装置内で散乱したEUV光に曝されるため、EUV耐性を有することが望ましい。EUV耐性が低いと、EUV露光中に接着剤の接着性や強度が低下して、露光装置内部で接着剤の剥離や異物発生などの不具合が生じる。EUV光照射による耐性評価は、例えば、XPS測定、EDS分析、RBSなどの組成分析の手法、XPS,EELS,IR測定やラマン分光などの構造解析の手法、エリプソメトリーや干渉分光法、X線反射法等などの膜厚み評価法、顕微鏡観察、SEM観察やAFM観察などの外観や表面形状評価方法、ナノインデンターや剥離試験による強度及び接着性評価方法などを用いることができる。
 リソグラフィーでは、回路パターンが正確に転写されることが必要である。従って、露光範囲において露光光の透過率がほぼ均一であることが必要である。本実施形態のペリクル膜12を用いることで、露光範囲において一定の光透過率を有するペリクル10が得られる。
(ペリクルの用途)
 本発明のペリクルは、EUV露光装置内で、原版に異物が付着することを抑制するための保護部材としてだけでなく、原版の保管時や、原版の運搬時に原版を保護するための保護部材としてもよい。例えば、原版にペリクルを装着した状態(露光原版)にしておけば、EUV露光装置から取り外した後、そのまま保管すること等が可能となる。ペリクルを原版に装着する方法には、接着剤で貼り付ける方法、静電吸着法、機械的に固定する方法等がある。
[変形例1]
 次に、本実施形態のペリクル20の変形例について、図7を参照して説明する。図7に示すように、ペリクル20を形成するペリクル膜22は、有機系材料を含む領域及び無機系材料からなる領域が存在するフィルム21の両面に、酸化防止層23が積層されていてもよい。フィルム1に酸化防止層23が積層されると、EUV光照射時やペリクル保管時のペリクル膜22の酸化が抑制される。なお、酸化防止層は、フィルムの片面側のみに積層されていてもよい。
[変形例2]
 本実施形態に係るペリクルは、ポリイミド化合物を含むフィルム(好ましくは、ポリイミド化合物からなるフィルム)を含むペリクル膜と、前記ペリクル膜を支持する支持枠と、を有するペリクルであってもよい。ポリイミド化合物を含むフィルムに上述したような高いエネルギーを与えることによって、エネルギーが与えられた領域におけるポリイミド化合物が炭化し、同一平面上にポリイミドを含む領域(エネルギーが与えられていない領域)と炭素系材料からなる領域(エネルギーが与えられた領域)と、をそれぞれ有するペリクル膜を有するペリクルが得られる。
<露光原版>
 本実施形態の露光原版は、原版と、前記原版に装着された本実施形態のペリクルと、を有する。
 本実施形態の露光原版は、本実施形態のペリクルを備えるので、本実施形態のペリクルと同様の効果を奏する。
 本実施形態のペリクルに原版を装着する方法は、特に限定されない。例えば、原版を支持枠14へ直接貼り付けてもよく、支持枠14の一方の端面にある原版用接着剤層15を介してもよく、機械的に固定する方法や磁石などの引力を利用して原版と支持枠14と、を固定してもよい。
 ここで、原版としては、支持基板と、この支持基板上に積層された反射層と、反射層上に形成された吸収体層と、を含む原版を用いることができる。吸収体層がEUV光を一部吸収することで、感応基板(例えば、フォトレジスト膜付き半導体基板)上に、所望の像が形成される。反射層は、モリブデン(Mo)とシリコン(Si)との多層膜でありうる。吸収体層は、クロム(Cr)や窒化タンタル等、EUV光等の吸収性の高い材料でありうる。
<露光装置>
 本実施形態の露光装置は、本実施形態の露光原版を備える。
 このため、本実施形態の露光原版と同様の効果を奏する。
 本実施形態の露光装置は、露光光(好ましくはEUV光等、より好ましくはEUV光。以下同じ。)を放出する光源と、本実施形態の露光原版と、前記光源から放出された露光光を前記露光原版に導く光学系と、を備え、前記露光原版は、前記光源から放出された露光光が前記ペリクル膜を透過して前記原版に照射されるように配置されていることが好ましい。
 この態様によれば、EUV光等によって微細化されたパターン(例えば線幅32nm以下)を形成できることに加え、異物による解像不良が問題となり易いEUV光を用いた場合であっても、異物による解像不良が低減されたパターン露光を行うことができる。
<半導体装置の製造方法>
 本実施形態の半導体装置の製造方法は、光源から放出された露光光を、本実施形態の露光原版の前記ペリクル膜を透過させて前記原版に照射し、前記原版で反射させるステップと、前記原版によって反射された露光光を、前記ペリクル膜を透過させて感応基板に照射することにより、前記感応基板をパターン状に露光するステップと、を有する。
 本実施形態の半導体装置の製造方法によれば、異物による解像不良が問題となり易いEUV光を用いた場合であっても、異物による解像不良が低減された半導体装置を製造することができる。
 図8は、本実施形態の露光装置の一例である、EUV露光装置800の概略断面図である。
 図8に示されるように、EUV露光装置800は、EUV光を放出する光源831と、本実施形態の露光原版の一例である露光原版850と、光源831から放出されたEUV光を露光原版850に導く照明光学系837と、を備える。
 露光原版850は、ペリクル膜812及び支持枠814を含むペリクル810と、原版833と、を備えている。この露光原版850は、光源831から放出されたEUV光がペリクル膜812を透過して原版833に照射されるように配置されている。
 原版833は、照射されたEUV光をパターン状に反射するものである。
 支持枠814及びペリクル810は、それぞれ、本実施形態の支持枠及びペリクルの一例である。
 EUV露光装置800において、光源831と照明光学系837との間、及び照明光学系837と原版833の間には、フィルター・ウィンドウ820及び825がそれぞれ設置されている。
 また、EUV露光装置800は、原版833が反射したEUV光を感応基板834へ導く投影光学系838を備えている。
 EUV露光装置800では、原版833により反射されたEUV光が、投影光学系838を通じて感応基板834上に導かれ、感応基板834がパターン状に露光される。なお、EUVによる露光は、減圧条件下で行われる。
 EUV光源831は、照明光学系837に向けて、EUV光を放出する。
 EUV光源831には、ターゲット材と、パルスレーザー照射部等が含まれる。このターゲット材にパルスレーザーを照射し、プラズマを発生させることで、EUVが得られる。ターゲット材をXeとすると、波長13nm~14nmのEUVが得られる。EUV光源が発する光の波長は、13nm~14nmに限られず、波長5nm~30nmの範囲内の、目的に適した波長の光であればよい。
 照明光学系837は、EUV光源831から照射された光を集光し、照度を均一化して原版833に照射する。
 照明光学系837には、EUVの光路を調整するための複数枚の多層膜ミラー832と、光結合器(オプティカルインテグレーター)等が含まれる。多層膜ミラーは、モリブデン(Mo)、シリコン(Si)が交互に積層された多層膜等である。
 フィルター・ウィンドウ820,825の装着方法は特に制限されず、接着剤等を介して貼り付ける方法や、機械的にEUV露光装置内に固定する方法等が挙げられる。
 光源831と照明光学系837との間に配置されるフィルター・ウィンドウ820は、光源から発生する飛散粒子(デブリ)を捕捉し、飛散粒子(デブリ)が照明光学系837内部の素子(例えば多層膜ミラー832)に付着しないようにする。
 一方、照明光学系837と原版833との間に配置されるフィルター・ウィンドウ825は、光源831側から飛散する粒子(デブリ)を捕捉し、飛散粒子(デブリ)が原版833に付着しないようにする。
 また、原版に付着した異物は、EUV光を吸収、もしくは散乱させるため、ウエハへの解像不良を引き起こす。したがって、ペリクル810は原版833のEUV光照射エリアを覆うように装着されている。EUV光はペリクル膜812を通過して、原版833に照射される。
 原版833で反射されたEUV光は、ペリクル膜812を通過し、投影光学系838を通じて感応基板834に照射される。
 投影光学系838は、原版833で反射された光を集光し、感応基板834に照射する。投影光学系838には、EUVの光路を調製するための複数枚の多層膜ミラー835、836等が含まれる。
 感応基板834は、半導体ウエハ上にレジストが塗布された基板等であり、原版833によって反射されたEUVにより、レジストがパターン状に硬化する。このレジストを現像し、半導体ウエハのエッチングを行うことで、半導体ウエハに所望のパターンを形成する。
 また、ペリクル810は、原版用接着剤層等を介して原版833に装着される。原版に付着した異物は、EUVを吸収、もしくは散乱させるため、ウエハへの解像不良を引き起こす。したがって、ペリクル810は原版833のEUV光照射エリアを覆うように装着され、EUVはペリクル膜812を通過して、原版833に照射される。
 ペリクル810の原版833への装着方法としては、原版表面に異物が付着しないように原版に設置できる方法であればよく、支持枠814と原版833とを接着剤で貼り付ける方法や、静電吸着法、機械的に固定する方法などが挙げられるが特に限定されない。好ましくは、接着剤で貼り付ける方法が用いられる。
 以下に実施例によって本発明をより具体的に説明するが、本発明はこれら実施例によって制限されるものではない。
[実施例1]
 直径4インチのシリコンウエハ上に厚さ110nmのPMDA-ODA(ピロメリット酸無水物、4,4’-ジアミノジフェニルエーテル)からなるポリイミド膜を製膜した。製膜したポリイミド膜を、シリコンウエハから剥離してアルミニウム合金A7075製の支持枠(幅2.0mm)にアクリル系接着剤で貼り付けた。
 支持枠と接触しているポリイミド膜の部分にEUV光が当たらないよう、ポリイミド膜の中心部分のみに対して強度150mW/cm、波長13.5nmのEUV光を、100分間連続照射してポリイミド膜の炭化を行った。EUV光源はEUV光照射装置(ニュースバル(施設名) BL-10、兵庫県立大)を用い、EUVの照射方向は膜面に対して垂直方向とした。入射光強度の半値全幅から求めたビームサイズは0.15mm×0.8mmであった。ポリイミド膜の炭化後、FT-IR測定及びラマン測定を行なった。
 FT-IR測定では、顕微FT-IR装置 FTS-6000/UMA-500(バイオラッド社製)を用い、測定条件は、環境雰囲気:大気中、分解能:4cm-1、積算回数:128回、測定面積:100μm×100μmとした。ラマン測定では、ラマン顕微鏡(堀場製作所製社製 XploRA)を用い、測定条件は、環境雰囲気:大気中、励起光:532nm、グレーティング:600T、測定領域:400cm-1~3200cm-1とした。
 炭化前のポリイミド膜と炭素膜(EUV光照射領域)とのラマンスペクトル、IRスペクトルの結果を図9、10に示す。図9、10に示すとおり、炭素膜ではポリイミドに特有の構造や蛍光由来のシグナルが消失し、900cm-1~1800cm-1の波数範囲に炭素に特有のシグナルを有していたことから、ポリイミド膜の中心部が炭化された炭素膜に変化したことを確かめた。
 上記炭素膜に対して強度150mW/cmのEUV光を280分間連続照射した。そして、EUV光照射部の変色の確認、EUV透過率の測定、EUV透過率安定性、EUV光照射後のラマンスペクトルの変化を確認した。
 280分間EUV光を照射している間のEUV透過率の結果を図11に示す。図11に示すとおり、炭素膜のEUV透過率は一定の値を示し、高いEUV耐性(耐久性)を示した。また、EUV光照射後も自立膜の形状を維持しており、外観やラマンスペクトルの変化は特に見られなかった。
[実施例2]
 まず、実施例1と同様、ポリイミド膜を製膜し、製膜したポリイミド膜をアクリル系接着剤で支持枠(幅2.0mm)に貼り付けた。
 支持枠と接触しているポリイミド膜の部分にArF光(紫外光)が当たらないよう、ポリイミド膜の中心部分のみに対して強度 2W/cm、波長193nmの紫外光を、5分間連続照射してポリイミド膜の炭化を行った。紫外光の照射方向は膜面に対して垂直方向とした。入射光強度の半値全幅から求めたビームサイズは5mm×5mmであった。ポリイミド膜の炭化後、実施例1と同様の条件でFT-IR測定及びラマン測定を行なった。
 炭化前のポリイミド膜と炭素膜(紫外光照射領域)とのラマンスペクトル、IRスペクトルの結果は実施例1と同様、炭素膜ではポリイミドに特有の構造や蛍光由来のシグナルが消失し、900cm-1~1800cm-1の波数範囲に炭素に特有のシグナルを有していたことから、ポリイミド膜の中心部が炭化された炭素膜に変化したことを確かめた。
[比較例1]
 銅箔を準備し、当該銅箔上にCH、H、Arの混合ガスを用いてCVD法でグラフェン膜を作製した。得られたグラフェン膜上にPET(ポリエチレンテレフタレート)フィルムを積層した。当該積層体を希塩酸に浸し、銅箔を溶解除去した。その後、PETフィルムとグラフェンとの積層体を、ヘキサフルオロイソプロパノールに浸漬させて、PETフィルムを溶解除去した。しかし、PETフィルム溶解中にグラフェン膜が破れてしまい、自立性のあるペリクル膜が得られなかった。
 2014年5月19日に出願された日本国特許出願2014-103411の開示はその全体が参照により本明細書に取り込まれる。
 本明細書に記載された全ての文献、特許出願、及び技術規格は、個々の文献、特許出願、及び技術規格が参照により取り込まれることが具体的かつ個々に記された場合と同程度に、本明細書中に参照により取り込まれる。

Claims (18)

  1.  有機系材料及び無機系材料からなるフィルムを有し、該フィルムの同一平面上に有機系材料を含む領域と無機系材料からなる領域とが存在し、少なくとも前記フィルムの中心部は無機系材料からなる領域であり、かつ、少なくとも前記フィルムの周端部は有機系材料を含む領域である、ペリクル膜。
  2.  前記有機系材料を含む領域は、有機系材料からなる領域である請求項1に記載のペリクル膜。
  3.  前記有機系材料のガラス転移温度及び融点の少なくとも一方が150℃以上である、請求項1または請求項2に記載のペリクル膜。
  4.  前記有機系材料が、ポリイミド化合物、ポリアクリロニトリル化合物、ポリイソシアネート化合物、ポリアミド化合物、ヘテロ芳香環化合物、ポリフェニレン樹脂、ポリエーテル樹脂、液晶ポリマー樹脂、ポリパラキシリレン樹脂、フェノール樹脂、エポキシ樹脂、及び、フラン樹脂からなる群より選択される少なくとも一つの化合物である、請求項1~請求項3のいずれか1項に記載のペリクル膜。
  5.  前記有機系材料の芳香環当量が3mmol/g以上15mmol/g以下である、請求項1~請求項4のいずれか1項に記載のペリクル膜。
  6.  前記有機系材料がポリイミド化合物である、請求項1~請求項5のいずれか1項に記載のペリクル膜。
  7.  前記ポリイミド化合物が、下記一般式(1)で表される繰り返し単位を含む芳香族ポリイミドである、請求項6に記載のペリクル膜。
    Figure JPOXMLDOC01-appb-C000001

    〔一般式(1)において、Aはm-フェニレンジアミン、o-フェニレンジアミン、p-フェニレンジアミン、3,3’-ジアミノジフェニルエーテル、3,4’-ジアミノジフェニルエーテル、4,4’-ジアミノジフェニルエーテル、3,3’-ジアミノジフェニルスルホン、4,4’-ジアミノジフェニルスルホン、3,3’-ジアミノベンゾフェノン、3,3’-ジアミノジフェニルメタン、4,4’-ジアミノジフェニルメタン、1,3-ビス(3-アミノフェノキシ)ベンゼン、1,3-ビス(4-アミノフェノキシ)ベンゼン、及び4,4’-ビス(3-アミノフェノキシ)ビフェニルからなる群から選択されるジアミンから誘導される2価の基であり;
     Bは、ピロメリット酸二無水物、3,3’,4,4’-ビフェニルテトラカルボン酸二無水物、2,3,3’,4-ビフェニル-テトラカルボン酸二無水物、3,3’,4,4’-ベンゾフェノンテトラカルボン酸二無水物、4,4’-オキシジフタル酸二無水物、及び3,3’,4,4’-ジフェニルスルホンテトラカルボン酸二無水物からなる群から選択されるテトラカルボン酸二無水物から誘導される4価の基である〕
  8.  前記無機系材料が炭素系材料である、請求項1~請求項7のいずれか1項に記載のペリクル膜。
  9.  さらに前記フィルムの少なくとも片面側に、無機系材料からなる層を有する、請求項1~請求項8のいずれか1項に記載のペリクル膜。
  10.  請求項1~請求項9のいずれか1項に記載のペリクル膜と、
     前記ペリクル膜を支持する支持枠と、
    を有する、ペリクル。
  11.  前記ペリクル膜の前記支持枠によって支持される部分が、有機系材料を含む領域である、請求項10に記載のペリクル。
  12.  ポリイミド化合物を含むフィルムを含むペリクル膜と、
     前記ペリクル膜を支持する支持枠と、
    を有する、ペリクル。
  13.  原版と、
     前記原版に装着された請求項10~請求項12のいずれか1項に記載のペリクルと、
    を含む、露光原版。
  14.  請求項13に記載の露光原版を有する、露光装置。
  15.  露光光を放出する光源と、
     請求項13に記載の露光原版と、
     前記光源から放出された露光光を前記露光原版に導く光学系と、
    を有し、
     前記露光原版は、前記光源から放出された露光光が前記ペリクル膜を透過して前記原版に照射されるように配置されている、露光装置。
  16.  前記露光光が、EUV光である、請求項15に記載の露光装置。
  17.  光源から放出された露光光を、請求項13に記載の露光原版の前記ペリクル膜を透過させて前記原版に照射し、前記原版で反射させるステップと、
     前記原版によって反射された露光光を、前記ペリクル膜を透過させて感応基板に照射することにより、前記感応基板をパターン状に露光するステップと、
    を有する、半導体装置の製造方法。
  18.  前記露光光が、EUV光である、請求項17に記載の半導体装置の製造方法。
PCT/JP2015/063634 2014-05-19 2015-05-12 ペリクル膜、ペリクル、露光原版、露光装置及び半導体装置の製造方法 WO2015178250A1 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
CN201580023964.0A CN106462052B (zh) 2014-05-19 2015-05-12 防护膜、防护膜组件、曝光原版、曝光装置及半导体装置的制造方法
EP15796576.5A EP3133442B1 (en) 2014-05-19 2015-05-12 Pellicle film, pellicle, exposure master, exposure device, and method for manufacturing semiconductor device
KR1020187017204A KR20180072844A (ko) 2014-05-19 2015-05-12 펠리클막, 펠리클, 노광 원판, 노광 장치 및 반도체 장치의 제조 방법
SG11201609463SA SG11201609463SA (en) 2014-05-19 2015-05-12 Pellicle membrane, pellicle, original plate for exposure, exposure apparatus, and method of producing semiconductor device
JP2016521048A JP6279722B2 (ja) 2014-05-19 2015-05-12 ペリクル膜、ペリクル、露光原版、露光装置及び半導体装置の製造方法
KR1020167031149A KR102047588B1 (ko) 2014-05-19 2015-05-12 펠리클막, 펠리클, 노광 원판, 노광 장치 및 반도체 장치의 제조 방법
US15/310,830 US10108084B2 (en) 2014-05-19 2015-05-12 Pellicle membrane, pellicle, original plate for exposure, exposure apparatus, and method of producing semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014103411 2014-05-19
JP2014-103411 2014-05-19

Publications (1)

Publication Number Publication Date
WO2015178250A1 true WO2015178250A1 (ja) 2015-11-26

Family

ID=54553923

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2015/063634 WO2015178250A1 (ja) 2014-05-19 2015-05-12 ペリクル膜、ペリクル、露光原版、露光装置及び半導体装置の製造方法

Country Status (8)

Country Link
US (1) US10108084B2 (ja)
EP (1) EP3133442B1 (ja)
JP (1) JP6279722B2 (ja)
KR (2) KR102047588B1 (ja)
CN (1) CN106462052B (ja)
SG (1) SG11201609463SA (ja)
TW (1) TWI655497B (ja)
WO (1) WO2015178250A1 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017179199A1 (ja) * 2016-04-15 2017-10-19 凸版印刷株式会社 ペリクル
CN107353006A (zh) * 2016-05-09 2017-11-17 松下知识产权经营株式会社 石墨板及其制造方法
JP2018092155A (ja) * 2016-11-30 2018-06-14 三星電子株式会社Samsung Electronics Co.,Ltd. フォトマスク用ペリクル、それを含むレチクル、及びリソグラフィ用露光装置
JP2018146668A (ja) * 2017-03-02 2018-09-20 旭化成株式会社 ペリクル膜、及びペリクル膜の製造方法
JPWO2018003603A1 (ja) * 2016-06-28 2019-03-28 三井化学株式会社 ペリクル膜、ペリクル枠体、ペリクル、及びその製造方法
WO2019172170A1 (ja) * 2018-03-09 2019-09-12 株式会社カネカ グラファイト薄膜を含むペリクル
WO2019176410A1 (ja) * 2018-03-14 2019-09-19 株式会社カネカ 炭素質膜を含むペリクル及び炭素質膜を含むペリクルの製造方法
WO2020008976A1 (ja) * 2018-07-06 2020-01-09 株式会社カネカ ペリクル複合体及びその製造方法
JP2021073536A (ja) * 2017-10-10 2021-05-13 信越化学工業株式会社 Euv用ペリクルフレームの通気構造、euv用ペリクル、euv用ペリクル付露光原版、露光方法、半導体の製造方法及び液晶ディスプレイの製造方法
JP2022036240A (ja) * 2016-04-25 2022-03-04 エーエスエムエル ネザーランズ ビー.ブイ. Euvリソグラフィ用のメンブレン
US11281092B2 (en) 2018-11-20 2022-03-22 Samsung Electronics Co., Ltd. Pellicle for extreme ultraviolet lithography and method of manufacturing the same

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2534404A (en) * 2015-01-23 2016-07-27 Cnm Tech Gmbh Pellicle
US9835940B2 (en) * 2015-09-18 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method to fabricate mask-pellicle system
CN116594258A (zh) 2016-07-05 2023-08-15 三井化学株式会社 防护膜及其组件和组件框体、组件制造方法、曝光原版、曝光装置、半导体装置的制造方法
KR102649129B1 (ko) * 2016-11-16 2024-03-19 삼성전자주식회사 반도체 장치의 제조 방법
JP6844443B2 (ja) * 2017-06-23 2021-03-17 信越化学工業株式会社 フォトリソグラフィ用ペリクル膜、ペリクル及びフォトマスク、露光方法並びに半導体デバイス又は液晶ディスプレイの製造方法
US11314169B2 (en) 2019-10-30 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Robust, high transmission pellicle for extreme ultraviolet lithography systems
DE102020115130A1 (de) * 2019-10-30 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Robuste membran mit hoher durchlässigkeit für lithografische extremes-ultraviolett-anlagen
JP7464384B2 (ja) 2019-12-11 2024-04-09 Dicグラフィックス株式会社 金属印刷用インキ
DE102020210857A1 (de) 2020-08-27 2021-08-26 Carl Zeiss Smt Gmbh Lithographie-system mit pellikel und verfahren zum betrieb desselben
NL2036344A (en) * 2020-09-03 2023-12-11 Asml Netherlands Bv Pellicle membrane for a lithographic apparatus
KR20230016970A (ko) * 2021-07-27 2023-02-03 (주)휴넷플러스 요철 구조가 형성된 펠리클의 제조방법
KR20230016968A (ko) * 2021-07-27 2023-02-03 (주)휴넷플러스 관통홀 구조가 형성된 펠리클의 제조방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1070066A (ja) * 1996-08-28 1998-03-10 Canon Inc X線マスク構造体、該x線マスク構造体を用いたx線露光方法、前記x線マスク構造体を用いたx線露光装置、前記x線マスク構造体を用いた半導体デバイスの製造方法及び該製造方法によって製造された半導体デバイス
JPH1092743A (ja) * 1996-09-06 1998-04-10 Internatl Business Mach Corp <Ibm> X線マスク・ペリクル
JP2002139826A (ja) * 2000-11-06 2002-05-17 Semiconductor Leading Edge Technologies Inc フォトマスク用ペリクル、ペリクルを備えたフォトマスク、フォトマスクを用いた露光方法、および半導体装置
JP2002182373A (ja) * 2000-12-18 2002-06-26 Shin Etsu Chem Co Ltd ペリクル及びその製造方法及びフォトマスク
WO2007094197A1 (ja) * 2006-02-16 2007-08-23 Nikon Corporation 保護装置、マスク及び露光装置
WO2014188710A1 (ja) * 2013-05-24 2014-11-27 三井化学株式会社 ペリクル、及びこれらを含むeuv露光装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6101237A (en) 1996-08-28 2000-08-08 Canon Kabushiki Kaisha X-ray mask and X-ray exposure method using the same
US5809103A (en) * 1996-12-20 1998-09-15 Massachusetts Institute Of Technology X-ray lithography masking
US6180292B1 (en) * 1999-06-18 2001-01-30 International Business Machines Corporation Structure and manufacture of X-ray mask pellicle with washer-shaped member
US7456932B2 (en) 2003-07-25 2008-11-25 Asml Netherlands B.V. Filter window, lithographic projection apparatus, filter window manufacturing method, device manufacturing method and device manufactured thereby
US7153615B2 (en) * 2003-08-20 2006-12-26 Intel Corporation Extreme ultraviolet pellicle using a thin film and supportive mesh
WO2006137454A1 (ja) * 2005-06-21 2006-12-28 Nippon Sheet Glass Company, Limited 透明物品およびその製造方法
US7862961B2 (en) * 2006-02-16 2011-01-04 Nikon Corporation Mask and exposure apparatus
JP4936515B2 (ja) * 2006-05-18 2012-05-23 Hoya株式会社 フォトマスクの製造方法、およびハーフトーン型位相シフトマスクの製造方法
JP4861963B2 (ja) 2007-10-18 2012-01-25 信越化学工業株式会社 ペリクルおよびペリクルの製造方法
JP5394808B2 (ja) 2009-04-22 2014-01-22 信越化学工業株式会社 リソグラフィ用ペリクルおよびその製造方法
KR102068146B1 (ko) 2010-06-25 2020-01-20 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 방법
JP6156998B2 (ja) * 2013-10-22 2017-07-05 信越化学工業株式会社 ペリクル

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1070066A (ja) * 1996-08-28 1998-03-10 Canon Inc X線マスク構造体、該x線マスク構造体を用いたx線露光方法、前記x線マスク構造体を用いたx線露光装置、前記x線マスク構造体を用いた半導体デバイスの製造方法及び該製造方法によって製造された半導体デバイス
JPH1092743A (ja) * 1996-09-06 1998-04-10 Internatl Business Mach Corp <Ibm> X線マスク・ペリクル
JP2002139826A (ja) * 2000-11-06 2002-05-17 Semiconductor Leading Edge Technologies Inc フォトマスク用ペリクル、ペリクルを備えたフォトマスク、フォトマスクを用いた露光方法、および半導体装置
JP2002182373A (ja) * 2000-12-18 2002-06-26 Shin Etsu Chem Co Ltd ペリクル及びその製造方法及びフォトマスク
WO2007094197A1 (ja) * 2006-02-16 2007-08-23 Nikon Corporation 保護装置、マスク及び露光装置
WO2014188710A1 (ja) * 2013-05-24 2014-11-27 三井化学株式会社 ペリクル、及びこれらを含むeuv露光装置

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017179199A1 (ja) * 2016-04-15 2017-10-19 凸版印刷株式会社 ペリクル
JP2022036240A (ja) * 2016-04-25 2022-03-04 エーエスエムエル ネザーランズ ビー.ブイ. Euvリソグラフィ用のメンブレン
JP7126032B2 (ja) 2016-04-25 2022-08-25 エーエスエムエル ネザーランズ ビー.ブイ. Euvリソグラフィ用のメンブレン
CN107353006A (zh) * 2016-05-09 2017-11-17 松下知识产权经营株式会社 石墨板及其制造方法
JPWO2018003603A1 (ja) * 2016-06-28 2019-03-28 三井化学株式会社 ペリクル膜、ペリクル枠体、ペリクル、及びその製造方法
JP2018092155A (ja) * 2016-11-30 2018-06-14 三星電子株式会社Samsung Electronics Co.,Ltd. フォトマスク用ペリクル、それを含むレチクル、及びリソグラフィ用露光装置
JP7086575B2 (ja) 2016-11-30 2022-06-20 三星電子株式会社 フォトマスク用ペリクル、それを含むレチクル、及びリソグラフィ用露光装置
JP2018146668A (ja) * 2017-03-02 2018-09-20 旭化成株式会社 ペリクル膜、及びペリクル膜の製造方法
JP7451442B2 (ja) 2017-10-10 2024-03-18 信越化学工業株式会社 Euv用ペリクルフレームの通気構造、euv用ペリクル、euv用ペリクル付露光原版、露光方法及び半導体の製造方法
JP2021073536A (ja) * 2017-10-10 2021-05-13 信越化学工業株式会社 Euv用ペリクルフレームの通気構造、euv用ペリクル、euv用ペリクル付露光原版、露光方法、半導体の製造方法及び液晶ディスプレイの製造方法
JPWO2019172170A1 (ja) * 2018-03-09 2021-03-04 株式会社カネカ グラファイト薄膜を含むペリクル
JP7304035B2 (ja) 2018-03-09 2023-07-06 株式会社カネカ グラファイト薄膜を含むペリクル
WO2019172170A1 (ja) * 2018-03-09 2019-09-12 株式会社カネカ グラファイト薄膜を含むペリクル
WO2019176410A1 (ja) * 2018-03-14 2019-09-19 株式会社カネカ 炭素質膜を含むペリクル及び炭素質膜を含むペリクルの製造方法
JPWO2020008976A1 (ja) * 2018-07-06 2021-07-08 株式会社カネカ ペリクル複合体及びその製造方法
WO2020008976A1 (ja) * 2018-07-06 2020-01-09 株式会社カネカ ペリクル複合体及びその製造方法
JP7213248B2 (ja) 2018-07-06 2023-01-26 株式会社カネカ ペリクル複合体及びその製造方法
US11281092B2 (en) 2018-11-20 2022-03-22 Samsung Electronics Co., Ltd. Pellicle for extreme ultraviolet lithography and method of manufacturing the same

Also Published As

Publication number Publication date
JP6279722B2 (ja) 2018-02-14
US20170090279A1 (en) 2017-03-30
TWI655497B (zh) 2019-04-01
CN106462052A (zh) 2017-02-22
KR20180072844A (ko) 2018-06-29
EP3133442A4 (en) 2017-12-13
JPWO2015178250A1 (ja) 2017-04-20
SG11201609463SA (en) 2016-12-29
KR102047588B1 (ko) 2019-11-21
EP3133442B1 (en) 2019-02-13
CN106462052B (zh) 2019-08-16
US10108084B2 (en) 2018-10-23
EP3133442A1 (en) 2017-02-22
TW201610562A (zh) 2016-03-16
KR20160145073A (ko) 2016-12-19

Similar Documents

Publication Publication Date Title
JP6279722B2 (ja) ペリクル膜、ペリクル、露光原版、露光装置及び半導体装置の製造方法
JP6364404B2 (ja) ペリクル、及びこれらを含むeuv露光装置
JP6326056B2 (ja) ペリクル膜、それを用いたペリクル、露光原版および露光装置、ならびに半導体装置の製造方法
US20190129300A1 (en) Pellicle film, pellicle frame, pellicle, method for producing same, original plate for light exposure, light exposure apparatus and method for manufacturing semiconductor device
TWI776625B (zh) 防護組件及形成倍縮光罩組件及增加防護薄膜壽命的方法
JP2020160345A (ja) ペリクル自立膜の製造方法、ペリクルの製造方法、および半導体装置の製造方法
WO2022030499A1 (ja) ペリクル、露光原版、露光装置、ペリクルの製造方法及び半導体装置の製造方法
WO2021172104A1 (ja) ペリクル膜、ペリクル、露光原版、露光装置、ペリクルの製造方法及び半導体装置の製造方法
WO2022196182A1 (ja) ペリクル、露光原版、露光装置、ペリクルの製造方法及び半導体装置の製造方法
TW202303266A (zh) 防護膜組件、曝光原版、曝光裝置、防護膜組件的製造方法、及半導體裝置的製造方法
JP2022148581A (ja) ペリクル膜、ペリクル、露光原版、露光装置及び半導体装置の製造方法
JP2018146668A (ja) ペリクル膜、及びペリクル膜の製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15796576

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2016521048

Country of ref document: JP

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 20167031149

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 15310830

Country of ref document: US

REEP Request for entry into the european phase

Ref document number: 2015796576

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2015796576

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: DE