KR102047588B1 - 펠리클막, 펠리클, 노광 원판, 노광 장치 및 반도체 장치의 제조 방법 - Google Patents

펠리클막, 펠리클, 노광 원판, 노광 장치 및 반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR102047588B1
KR102047588B1 KR1020167031149A KR20167031149A KR102047588B1 KR 102047588 B1 KR102047588 B1 KR 102047588B1 KR 1020167031149 A KR1020167031149 A KR 1020167031149A KR 20167031149 A KR20167031149 A KR 20167031149A KR 102047588 B1 KR102047588 B1 KR 102047588B1
Authority
KR
South Korea
Prior art keywords
film
pellicle
exposure
pellicle film
region
Prior art date
Application number
KR1020167031149A
Other languages
English (en)
Other versions
KR20160145073A (ko
Inventor
요스케 오노
가즈오 고무라
Original Assignee
미쯔이가가꾸가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 미쯔이가가꾸가부시끼가이샤 filed Critical 미쯔이가가꾸가부시끼가이샤
Publication of KR20160145073A publication Critical patent/KR20160145073A/ko
Application granted granted Critical
Publication of KR102047588B1 publication Critical patent/KR102047588B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • G03F1/64Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material, including bonding means therefor
    • G03F1/142
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • G03F7/029Inorganic compounds; Onium compounds; Organic compounds having hetero atoms other than oxygen, nitrogen or sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0387Polyamides or polyimides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70233Optical aspects of catoptric systems, i.e. comprising only reflective elements, e.g. extreme ultraviolet [EUV] projection systems

Abstract

펠리클막은, 유기계 재료 및 무기계 재료로 이루어지는 필름을 갖고, 해당 필름의 동일 평면 상에 유기계 재료를 포함하는 영역과 무기계 재료로 이루어지는 영역이 존재하고, 적어도 상기 필름의 중심부는 무기계 재료로 이루어지는 영역이고, 또한 적어도 상기 필름의 주위 단부는 유기계 재료를 포함하는 영역이다.

Description

펠리클막, 펠리클, 노광 원판, 노광 장치 및 반도체 장치의 제조 방법 {PELLICLE FILM, PELLICLE, EXPOSURE MASTER, EXPOSURE DEVICE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE}
본 발명은 펠리클막, 펠리클막을 갖는 펠리클, 펠리클을 갖는 노광 원판, 노광 원판을 갖는 노광 장치 및 반도체 장치의 제조 방법에 관한 것이다.
반도체 디바이스(반도체 장치)의 고집적화 및 미세화는, 해마다 가속되고 있다.
예를 들어, 현재로는 엑시머 노광으로 선 폭 45nm 정도의 패턴이 형성되고 있지만, 최근에는 반도체 디바이스의 추가적인 미세화에 수반하여, 선 폭 32nm 이하의 패턴의 형성이 요구되고 있다. 이러한 미세 가공은, 종래의 엑시머 노광으로는 대응이 어렵다. 따라서, 노광광을 보다 단파장의 EUV(Extreme Ultra Violet: 극단자외)광으로 바꾸는 것이 검토되고 있다.
EUV광은, 모든 물질에 흡수되기 쉬운 특성을 갖는다.
따라서, 노광광으로서 EUV광을 사용하는 포토리소그래피(이하, 「EUV 리소그래피」라고도 함)에서는, 반사 광학계를 사용하여 노광을 행한다. 구체적으로는, 노광 패턴이 반영된 원판에 의해 EUV광을 반사시키고, 반사광으로서의 EUV광에 의해 레지스트를 노광한다. 이때, 원판에 이물이 부착되어 있으면, EUV광이 이물에 흡수되거나, EUV광이 산란되기 때문에, 원하는 패턴으로 노광되지 않는 경우가 있다.
따라서, 원판의 EUV광 조사면을 펠리클로 보호하는 것이 검토되고 있다.
펠리클의 구성은, 원판의 EUV광 조사면을 보호하기 위한 펠리클막과, 이 펠리클막을 지지하는 펠리클 프레임(지지 프레임)을 갖는 구성으로 되어 있다.
EUV 리소그래피에 사용되는 펠리클막으로서는, EUV광에 대하여 높은 투과성을 가질 것, EUV광의 조사에 의해 분해ㆍ변형되지 않을 것이 요구된다. 이러한 요구를 충족하는 펠리클막으로서, 단결정 실리콘막 등의 실리콘 결정막(예를 들어, 문헌 1 및 2 참조), 금속 메쉬 상에 적층된 질화알루미늄막(예를 들어, 문헌 3 참조), 그래핀막(예를 들어, 문헌 4 참조) 등이 제안되어 있다.
일본 특허 공개 제2010-256434호 공보 일본 특허 공개 제2009-116284호 공보 일본 특허 공개 제2005-43895호 공보 국제 공개 제2011/160861호 공보
펠리클막에 EUV광 등의 노광광이 조사되면, 그 에너지의 일부가 펠리클막에 흡수된다. 그리고, 펠리클막에 흡수된 EUV광의 에너지는, 여러가지 완화 과정을 거쳐 열로 변환된다. 따라서, 노광 시에는 펠리클막의 온도가 상승한다. 그래서, 펠리클막에는 높은 방열성이나 내열성이 요구된다. 그러나, 상술한 단결정 실리콘막은 방열성이 낮고, 또한 융점도 낮다. 그 때문에, EUV광의 조사 시에 막이 손상을 받기 쉽다고 하는 문제가 있다. 또한, 단결정 실리콘막은 제조 공정이 번잡하고, 고가라고 하는 난점도 있다.
또한, 문헌 3의 질화알루미늄막은 EUV 투과율이 낮아, 높은 EUV 투과율이 요구되는 리소그래피 용도에는 적합하지 않다고 하는 문제가 있다. 또한, 문헌 4의 그래핀막은 사이즈가 작은(통상 100nm 내지 1000nm 정도) 결정의 집합체이지만, 막이 무르고, 막의 내구성이 불충분하여, 자립한 막이 얻어지지 않는다고 하는 문제가 있다. 또한, 이러한 그래핀막을 다수 적층해도 충분한 강도가 얻어지기 어렵다고 하는 문제도 있다.
본 발명은 상기에 비추어 이루어진 것이며, 이하의 목적을 달성하는 것을 과제로 한다.
즉, 본 발명의 목적은, EUV 투과성 및 내구성이 우수하고, 또한 자립성을 갖는 펠리클막을 제공하는 것이다.
또한, 본 발명의 목적은, 상기 펠리클막을 갖는 펠리클, 상기 펠리클을 갖는 노광 원판, 및 상기 노광 원판을 갖는 노광 장치를 제공하는 것이다.
또한, 본 발명의 목적은, 상기 펠리클막을 사용한 반도체 장치의 제조 방법을 제공하는 것이다.
상기 과제를 해결하기 위한 구체적 수단은 이하와 같다.
<1> 유기계 재료 및 무기계 재료로 이루어지는 필름을 갖고, 해당 필름의 동일 평면 상에 유기계 재료를 포함하는 영역과 무기계 재료로 이루어지는 영역이 존재하고, 적어도 상기 필름의 중심부는 무기계 재료로 이루어지는 영역이고, 또한 적어도 상기 필름의 주위 단부는 유기계 재료를 포함하는 영역인, 펠리클막.
<2> 상기 유기계 재료를 포함하는 영역은, 유기계 재료로 이루어지는 영역인 <1>에 기재된 펠리클막.
<3> 상기 유기계 재료의 유리 전이 온도 및 융점 중 적어도 한쪽이 150℃ 이상인, <1> 또는 <2>에 기재된 펠리클막.
<4> 상기 유기계 재료가, 폴리이미드 화합물, 폴리아크릴로니트릴 화합물, 폴리이소시아네이트 화합물, 폴리아미드 화합물, 헤테로 방향환 화합물, 폴리페닐렌 수지, 폴리에테르 수지, 액정 중합체 수지, 폴리파라크실릴렌 수지, 페놀 수지, 에폭시 수지 및 푸란 수지로 이루어지는 군으로부터 선택되는 적어도 하나의 화합물인, <1> 내지 <3> 중 어느 하나에 기재된 펠리클막.
<5> 상기 유기계 재료의 방향환 당량이 3mmol/g 이상 15mmol/g 이하인, <1> 내지 <4> 중 어느 하나에 기재된 펠리클막.
<6> 상기 유기계 재료가 폴리이미드 화합물인, <1> 내지 <5> 중 어느 하나에 기재된 펠리클막.
<7> 상기 폴리이미드 화합물이, 하기 화학식 (1)로 표시되는 반복 단위를 포함하는 방향족 폴리이미드인, <6>에 기재된 펠리클막.
Figure 112016108863973-pct00001
[화학식 (1)에 있어서, A는 m-페닐렌디아민, o-페닐렌디아민, p-페닐렌디아민, 3,3'-디아미노디페닐에테르, 3,4'-디아미노디페닐에테르, 4,4'-디아미노디페닐에테르, 3,3'-디아미노디페닐술폰, 4,4'-디아미노디페닐술폰, 3,3'-디아미노벤조페논, 3,3'-디아미노디페닐메탄, 4,4'-디아미노디페닐메탄, 1,3-비스(3-아미노페녹시)벤젠, 1,3-비스(4-아미노페녹시)벤젠 및 4,4'-비스(3-아미노페녹시)비페닐로 이루어지는 군으로부터 선택되는 디아민으로부터 유도되는 2가의 기이고;
B는 피로멜리트산 이무수물, 3,3',4,4'-비페닐테트라카르복실산 이무수물, 2,3,3',4-비페닐-테트라카르복실산 이무수물, 3,3',4,4'-벤조페논테트라카르복실산 이무수물, 4,4'-옥시디프탈산 이무수물 및 3,3',4,4'-디페닐술폰테트라카르복실산 이무수물로 이루어지는 군으로부터 선택되는 테트라카르복실산 이무수물로부터 유도되는 4가의 기임]
<8> 상기 무기계 재료가 탄소계 재료인, <1> 내지 <7> 중 어느 하나에 기재된 펠리클막.
<9> 상기 필름의 적어도 편면측에, 무기계 재료로 이루어지는 층을 더 갖는, <1> 내지 <8> 중 어느 하나에 기재된 펠리클막.
<10> <1> 내지 <9> 중 어느 하나에 기재된 펠리클막과, 상기 펠리클막을 지지하는 지지 프레임을 갖는, 펠리클.
<11> 상기 펠리클막의 상기 지지 프레임에 의해 지지되는 부분이, 유기계 재료를 포함하는 영역인, <10>에 기재된 펠리클.
<12> 폴리이미드 화합물을 포함하는 필름을 포함하는 펠리클막과, 상기 펠리클막을 지지하는 지지 프레임을 갖는, 펠리클.
<13> 원판과, 상기 원판에 장착된 <10> 내지 <12> 중 어느 하나에 기재된 펠리클을 포함하는, 노광 원판.
<14> <13>에 기재된 노광 원판을 갖는, 노광 장치.
<15> 노광광을 방출하는 광원과, <13>에 기재된 노광 원판과, 상기 광원으로부터 방출된 노광광을 상기 노광 원판으로 유도하는 광학계를 갖고, 상기 노광 원판은, 상기 광원으로부터 방출된 노광광이 상기 펠리클막을 투과하여 상기 원판에 조사되도록 배치되어 있는, 노광 장치.
<16> 상기 노광광이 EUV광인, <15>에 기재된 노광 장치.
<17> 광원으로부터 방출된 노광광을, <13>에 기재된 노광 원판의 상기 펠리클막을 투과시켜 상기 원판에 조사하고, 상기 원판에서 반사시키는 스텝과, 상기 원판에 의해 반사된 노광광을, 상기 펠리클막을 투과시켜 감응 기판에 조사함으로써, 상기 감응 기판을 패턴형으로 노광하는 스텝을 갖는, 반도체 장치의 제조 방법.
<18> 상기 노광광이 EUV광인, <17>에 기재된 반도체 장치의 제조 방법.
본 발명에 따르면, EUV 투과성 및 내구성이 우수하고, 또한 자립성을 갖는 펠리클막을 제공할 수 있다.
또한, 본 발명에 따르면, 상기 펠리클막을 갖는 펠리클, 상기 펠리클을 갖는 노광 원판, 및 상기 노광 원판을 갖는 노광 장치를 제공할 수 있다.
또한, 본 발명에 따르면, 상기 펠리클막을 사용한 반도체 장치의 제조 방법을 제공할 수 있다.
도 1은 본 실시 형태의 펠리클막을 도시하는 개략 상면도이다.
도 2는 EUV광을 펠리클막에 조사하였을 때의 펠리클막의 온도와 경과 시간의 관계를 나타내는 그래프이다.
도 3은 그래파이트의 결정 구조를 도시하는 설명도이다.
도 4는 펠리클막의 온도 T와 펠리클막의 복사율 ε의 관계를 나타내는 그래프이다.
도 5는 펠리클막의 온도 T와 펠리클막의 투과율 Tr의 관계를 나타내는 그래프이다.
도 6은 본 실시 형태의 펠리클을 도시하는 개략 단면도이다.
도 7은 본 실시 형태의 변형예의 펠리클을 도시하는 개략 단면도이다.
도 8은 본 실시 형태의 노광 장치의 일례인, EUV 노광 장치의 개략 단면도이다.
도 9는 탄화 전의 폴리이미드막 및 탄소막(EUV광 조사 영역)의 라만 측정의 결과를 나타낸다.
도 10은 탄화 전의 폴리이미드막 및 탄소막(EUV광 조사 영역)의 FT-IR 측정의 결과를 나타낸다.
도 11은 탄소막에 EUV광을 조사하는 동안의 EUV 투과율의 결과를 나타낸다.
이하, 적절히, 도면을 참조하면서, 본 발명의 실시 형태에 대하여 설명한다. 그러나, 본 발명은 도면 등의 구체적인 실시 형태에 한정되는 일은 없다. 또한, 각 도면에 공통의 요소에 대해서는, 동일한 부호를 부여하는 경우가 있으며, 중복된 설명을 생략하는 경우가 있다.
<펠리클막>
본 발명의 일 실시 형태에 관한 펠리클막에 대하여, 도 1을 사용하여 설명한다. 도 1은, 본 실시 형태의 펠리클막(12)을 도시하는 개략 상면도이다.
펠리클막(12)은, 유기계 재료 및 무기계 재료로 이루어지는 필름(1)을 갖고, 필름(1)의 동일 평면 상에 유기계 재료를 포함하는 영역(2)과 무기계 재료로 이루어지는 영역(3)이 존재하고, 적어도 필름(1)의 중심부는 무기계 재료로 이루어지는 영역(3)이고, 또한 적어도 필름(1)의 주위 단부는 유기계 재료를 포함하는 영역(2)이다.
본 실시 형태의 펠리클막은, 특히 파장이 짧은 노광광(예를 들어, EUV광, EUV광보다 더 파장이 짧은 광 등)을 사용한 리소그래피용 펠리클의 제작에 적합하다.
본 실시 형태에 있어서, EUV(Extreme Ultra Violet: 극단자외)광이란, 파장 5nm 이상 30nm 이하의 광을 가리킨다.
EUV광의 파장은, 5nm 이상 13.5nm 이하가 바람직하다.
본 실시 형태에서는, EUV광 및 EUV광보다 파장이 짧은 광을 총칭하여, 「EUV광 등」이라고 하는 경우가 있다.
필름(1)은, 동일 평면 상에 유기계 재료를 포함하는 영역(2)과 무기계 재료로 이루어지는 영역(3)을 갖고, 필름(1)의 중심부는 무기계 재료로 이루어지는 영역(3)이고, 또한 필름(1)의 주위 단부는 유기계 재료를 포함하는 영역(2)이다. 필름(1)의 중심부가 무기계 재료로 이루어지는 영역(3)이기 때문에, 필름(1)을 갖는 펠리클막(12)은 EUV 투과성이 우수하고, 또한 내구성이 우수하며, EUV광을 장시간 조사해도 투과율은 변화하지 않는다.
또한, 필름의 주위 단부가 무기계 재료로 이루어지는 영역인 펠리클막이라면, 무르고, 자립하기 어렵지만, 필름(1)의 주위 단부가 유기계 재료를 포함하는 영역(2)인 펠리클막(12)은, 자립성을 갖는다.
본 명세서에 있어서, 「자립」이란, 단독으로 막 형상을 유지할 수 있음을 가리킨다.
또한, 필름(1)의 중심부인 무기계 재료로 이루어지는 영역(3)은, 리소그래피 공정에 필름에 노광광이 조사되어 이루어지는 노광 면적에 비하여 넓은 면적을 갖는 것이 바람직하다. 영역(3)의 사이즈는 특별히 한정되지 않지만, 주위 단부로부터 1mm 내지 2mm 정도의 영역을 제외하고, 횡방향으로 100mm 내지 110mm, 종방향으로 130mm 내지 144mm의 영역인 것이 바람직하다. 영역(3)의 형상은 특별히 한정되지 않으며, 타원형, 원형, 직사각형, 정사각형 등이어도 되고, 직선 및 곡선을 조합한 형상이어도 된다.
필름의 주위 단부에 존재하는 유기계 재료를 포함하는 영역은, 유기계 재료 이외의 재료(예를 들어, 무기계 재료)를 포함하고 있어도 된다. 이때, 펠리클막의 자립성을 적합하게 확보한다는 관점에서, 유기계 재료를 포함하는 영역에서의 유기계 재료의 함유량은, 이 영역의 전체 질량에 대하여, 50질량% 이상인 것이 바람직하고, 70질량% 이상인 것이 보다 바람직하고, 90질량% 이상인 것이 더욱 바람직하다. 또한, 유기계 재료를 포함하는 영역에서의 유기계 재료의 함유량은, 이 영역의 전체 질량에 대하여 100질량%인 것이 특히 바람직하며, 즉 유기계 재료를 포함하는 영역은, 유기계 재료로 이루어지는 영역인 것이 특히 바람직하다.
[유기계 재료]
유기계 재료로서는 탄소를 포함하는 유기물이면 된다.
또한, 유기계 재료로서는, 높은 에너지를 제공함으로써, 탄화하는 것이 바람직하다. 이에 의해, 유기계 재료를 포함하는 필름의 일부 영역에 높은 에너지를 제공함으로써, 에너지가 제공된 영역에서의 유기계 재료가 탄화하고, 동일 평면 상에 유기계 재료를 포함하는 영역(에너지가 제공되지 않은 영역)과 무기계 재료로 이루어지는 영역(에너지가 제공된 영역)을 각각 형성할 수 있다.
유기계 재료로서는, 유리 전이 온도 및 융점 중 적어도 한쪽이 150℃ 이상인 것이 바람직하다. 또한, 유기계 재료는, 유리 전이 온도 및 융점 중 적어도 한쪽이 300℃ 이상인 것이 보다 바람직하고, 450℃ 이상인 것이 더욱 바람직하다. 유기계 재료의 유리 전이 온도 및 융점 중 적어도 한쪽이 150℃ 이상임으로써, 노광 중에 펠리클막에 흡수된 EUV광이 열로 변환되어 펠리클막이 고온으로 된 경우에, 발생한 열에 의해 펠리클막의 유동이나 변형이 발생하는 것을 억제할 수 있다. 따라서, EUV광 조사 영역에 주름이 발생하거나, 구멍이 뚫리거나 하는 것을 억제할 수 있고, 내열성이 우수한 펠리클막이 얻어진다.
보다 구체적으로는, 노광광으로서 EUV광을 조사하는 경우, 펠리클막에 흡수된 EUV광은 열로 변환되어, 일시적으로 250℃ 이상의 온도에 달하는 경우가 있다. 그러나, 유기계 재료의 유리 전이 온도 및 융점 중 적어도 한쪽을 150℃ 이상으로 함으로써, 발생한 열에 의한 펠리클막의 유동이나 변형을 적합하게 억제할 수 있다. 따라서, EUV광 조사 영역에 주름이 발생하거나, 구멍이 뚫리거나 하는 것을 적합하게 억제할 수 있다.
이어서, 노광광으로서 EUV광을 조사하였을 때의 펠리클막의 온도와 경과 시간의 관계에 대하여, 도 2를 사용하여 설명한다. 도 2는, 조사 강도 5W/㎠의 EUV광을, 투과율 90%, 막 두께 20nm, 복사율 0.01의 펠리클막에 10msec 조사하였을 때의 펠리클막의 온도와 경과 시간의 관계를 나타내는 그래프이다. 이 그래프는, 펠리클막에 흡수된 EUV광이 모두 열로 변한다고 가정하여 산출한 것이다. 도 2에 도시되는 바와 같이, 펠리클막의 온도는, EUV광이 조사되고 있는 0msec 내지 10msec의 사이에서 450℃까지 상승하고, EUV광이 조사되지 않게 되면(10msec 이후), 펠리클막의 온도가 내려간다. 이와 같이, EUV광 조사 중의 펠리클막은 고온으로 될 것으로 예상되기 때문에, 펠리클막은 높은 내열성을 갖는 것이 바람직하다.
융점 및 유리 전이 온도는, 예를 들어 시차 주사 열량 측정기(Perkin Elmer사제 DSC-7형)를 사용하여, JIS K7121(1987)에 준거한 방법으로 측정하면 되며, 승온 속도는 10℃/분으로 하면 된다.
유리 전이 온도 및 융점 중 적어도 한쪽이 150℃ 이상인 유기계 재료로서는, 특별히 한정되지 않지만, 예를 들어 방향족 폴리이미드, 직쇄 지방족 폴리이미드, 폴리에테르이미드, 폴리페닐렌술폰, 폴리페닐렌에테르, 폴리에테르술폰, 폴리에테르에테르케톤, 액정 중합체, 폴리에틸렌테레프탈레이트, 방향족 폴리아미드, 파릴렌, 폴리아크릴로니트릴 및 헤테로 방향환을 포함하는 고분자 화합물로 이루어지는 군으로부터 선택되는 적어도 하나의 화합물을 들 수 있다.
유기계 재료는, EUV광의 조사에 의해 분해 반응이 발생하지 않는 수지인 것이 바람직하며, 예를 들어 3급 탄소를 포함하지 않는 쇄상 중합체, 3급 탄소를 포함하지 않는 쇄상 중합체의 가교물, 및 3급 탄소에 3개의 방향환이 직접 결합되어 있는 중합체 중 어느 1종인 것이 바람직하다. 「3급 탄소를 포함하지 않는 쇄상 중합체의 가교물」이란, 「3급 탄소를 포함하지 않는 쇄상 중합체」가 가교된 가교물을 의미하며, 가교 결과, 3급 탄소를 포함하게 된 쇄상 중합체의 가교물도 포함된다.
여기서, 펠리클막을 형성하는 유기계 재료에 EUV광이 조사되면, 유기계 재료 중의 탄소, 산소 또는 질소의 내각(內殼)의 전자와 EUV광이 상호 작용하여, 그들의 원자핵이 2차 전자를 방출하여 이온화되거나, 라디칼종이나 전자 여기종이 생성되거나 한다. 그로 인해, 3급 탄소를 포함하지 않는 쇄상 중합체라면, 3급 탄소를 포함하는 쇄상 중합체와 비교하여, 이온종이나 라디칼종, 여기종에 의한 가교 반응이나 분해 반응을 억제할 수 있다. 또한, 3급 탄소를 포함하지 않는 쇄상 중합체가 가교하여 발생한 3급 탄소, 또는 3개의 방향환에 직접 결합한 3급 탄소를 포함하는 C-C 결합은, 3급 탄소를 포함하는 쇄상 중합체의 C-C 결합에 비하여, 가교 반응이나 분해 반응이 발생하기 어렵다.
또한, 유기계 재료는, 분자 내에 방향환이나 이미드환 등의 공액 구조를 포함하는 것이 보다 바람직하다. 펠리클막을 형성하는 분자 내에, 공액 구조를 포함하는 유기계 재료는, 흡수한 전리 방사선의 에너지 혹은 열에 의해 가교 반응이 발생하였을 때, 안정된 탄소 구조로 변화할 수 있다.
유기계 재료가 분자 내에 방향환을 포함하는 경우, 유기계 재료의 방향환 당량은, 3mmol/g 이상 15mmol/g 이하인 것이 바람직하고, 5mmol/g 이상 12mmol/g 이하인 것이 보다 바람직하고, 7mmol/g 이상 10mmol/g 이하인 것이 더욱 바람직하다. 본 명세서에 있어서, 방향환 당량이란, 유기 화합물 1분자 중에 포함되는 방향환의 수를, 분자량으로 나눔으로써 구해지는 값이며, 계산식은 이하와 같다.
[식]
방향환 당량[mmol/g]={1분자 중에 포함되는 방향환의 수/분자량[g/mol]}×1000
유기계 재료로서는, 폴리이미드 화합물, 폴리아크릴로니트릴 화합물, 폴리이소시아네이트 화합물, 폴리아미드 화합물, 헤테로 방향환 화합물, 폴리페닐렌 수지, 폴리에테르 수지, 액정 중합체 수지, 폴리파라크실릴렌 수지, 페놀 수지, 에폭시 수지 및 푸란 수지로 이루어지는 군으로부터 선택되는 적어도 하나의 화합물인 것이 바람직하다. 이들 화합물을 사용하여, 유기계 재료를 포함하는 영역을 필름의 주위 단부에 형성함으로써, 펠리클막에 자립성을 적합하게 구비시킬 수 있다.
또한, 상기 유기계 재료에 높은 에너지를 제공함으로써 적합하게 탄화하는 것이 가능하며, 탄소를 포함하는 무기계 재료(탄소계 재료)로 이루어지는 영역과 유기계 재료를 포함하는 영역이 존재하는 필름을 갖는 펠리클막을 적합하게 제조할 수 있다.
또한, 유기계 재료로서는 폴리이미드 화합물인 것이 보다 바람직하다. 폴리이미드 화합물을 사용하여, 유기계 재료를 포함하는 영역을 필름의 주위 단부에 형성함으로써, 펠리클막에 자립성을 보다 적합하게 구비시킬 수 있다.
또한, 폴리이미드 화합물에 에너지를 제공함으로써 용이하게 탄화하는 것이 가능하며, 탄소를 포함하는 무기계 재료로 이루어지는 영역과 유기계 재료를 포함하는 영역이 존재하는 필름을 갖는 펠리클막을 보다 적합하게 제조할 수 있다.
폴리이미드 화합물로서는 방향족 폴리이미드인 것이 바람직하다. 또한, 방향족 폴리이미드 중에서도, 600℃ 이상으로 가열 또는 전리 방사선 등을 조사하였을 때, 분해 반응을 일으키지 않고, 가교 반응을 발생시키는 폴리이미드가 바람직하다. 고분자 필름(유기계 재료로 구성되는 필름)의 분해 반응을 억제한다는 관점에서, 고분자 필름을 형성하는 분자 구조 중에 3급 탄소나 불소 원자를 포함하지 않는 것이 보다 바람직하다.
또한, 방향족 폴리이미드 중에서도, 강직하고 직선적인 분자 구조를 갖고, 자발적으로 분자쇄가 면 내 배향되는 폴리이미드가 바람직하다. 분자 구조가 강직하고 직선적인 구조를 갖는 폴리이미드는, 폴리이미드 박막(폴리이미드로 구성되는 필름)의 제막 과정에 있어서 자발적으로 면 내 배향된다. 배향성이 높은 폴리이미드막에 대하여 열, 전리 방사선 등의 에너지를 가하면, 배향성을 유지하면서 탄화 반응이 진행되기 때문에, 탄화된 폴리이미드막은 막 강도가 우수하다.
강직하고 직선적인 분자 구조를 갖는 방향족 폴리이미드를 형성하기 위한 화합물로서는, 예를 들어 산 무수물에 있어서는, 피로멜리트산 무수물, 3,3',4,4'-비페닐테트라카르복실산 이무수물 등을 들 수 있다. 또한, 디아민에 있어서는, 방향환에 대하여 파라 위치에 치환기를 갖는 화합물이 바람직하며, 예를 들어 p-페닐렌디아민, 4,4'-디아미노디페닐에테르, 4,4'-디아미노디페닐메탄, 4,4'-디아미노디페닐술폰 등을 들 수 있다. 상기 산 무수물 및 디아민으로 이루어지는 폴리이미드는, 강직하고 직선적인 분자 구조를 갖고 있으며, 이것들을 사용함으로써 자발적으로 면 내 배향된 폴리이미드 박막을 얻을 수 있다.
폴리이미드막의 면 내 배향의 정도를 나타내는 지표로서, 두께 방향의 복굴절 또는 위상차(=두께×복굴절)를 사용할 수 있다. 두께 방향의 복굴절은, 위상차 측정 장치를 사용하여 평가할 수 있다. 폴리이미드막의 두께 방향의 복굴절은 0.05 이상인 것이 바람직하고, 0.1 이상인 것이 보다 바람직하다.
두께 방향의 복굴절을 측정할 때에는, 공지된 위상차 측정 장치를 사용하면 되며, 예를 들어 유니옵트 주식회사제의 ABR-10A, ABR-22, ABR-30 등을 사용할 수 있다.
폴리이미드 화합물로서는, 하기 화학식 (1)로 표시되는 반복 단위를 포함하는 방향족 폴리이미드인 것이 보다 바람직하다.
Figure 112016108863973-pct00002
화학식 (1)에 있어서, A는 m-페닐렌디아민, o-페닐렌디아민, p-페닐렌디아민, 3,3'-디아미노디페닐에테르, 3,4'-디아미노디페닐에테르, 4,4'-디아미노디페닐에테르, 3,3'-디아미노디페닐술폰, 4,4'-디아미노디페닐술폰, 3,3'-디아미노벤조페논, 3,3'-디아미노디페닐메탄, 4,4'-디아미노디페닐메탄, 1,3-비스(3-아미노페녹시)벤젠, 1,3-비스(4-아미노페녹시)벤젠 및 4,4'-비스(3-아미노페녹시)비페닐로 이루어지는 군으로부터 선택되는 방향족 디아민으로부터 유도되는 2가의 기이고;
B는 피로멜리트산 이무수물, 3,3',4,4'-비페닐테트라카르복실산 이무수물, 2,3,3',4-비페닐-테트라카르복실산 이무수물, 3,3',4,4'-벤조페논테트라카르복실산 이무수물, 4,4'-옥시디프탈산 이무수물 및 3,3',4,4'-디페닐술폰테트라카르복실산 이무수물로 이루어지는 군으로부터 선택되는 테트라카르복실산 이무수물로부터 유도되는 4가의 기이다.
방향족 폴리이미드는, 원하는 물리적 성질 등을 손상시키지 않는 범위에서, 상기 방향족 디아민으로부터 유도되는 기 이외의, 다른 디아민으로부터 유도되는 2가의 기, 및 상기 테트라카르복실산 이무수물로부터 유도되는 기 이외의, 다른 테트라카르복실산 이무수물로부터 유도되는 4가의 기가, 1종 이상 포함되어 있어도 된다.
폴리이미드 화합물은, 산 무수물과 디아민의 반응에 의해 바람직하게 형성된다.
폴리이미드 화합물을 형성하기 위한 산 무수물의 구체적인 구조는 이하와 같다.
Figure 112016108863973-pct00003
또한, 폴리이미드 화합물을 형성하기 위한 디아민의 구체적인 구조는 이하와 같다.
Figure 112016108863973-pct00004
본 실시 형태의 펠리클막은 단층 구조, 즉 1층의 필름으로 이루어지는 구조여도 되고, 2층 이상으로 이루어지는 구조체여도 된다.
또한, 펠리클막은, 필름의 적어도 편면측에, 무기계 재료로 이루어지는 층을 가져도 된다. 무기계 재료로 이루어지는 층으로서는 산화 방지층 등을 들 수 있다.
[산화 방지층]
본 실시 형태의 펠리클막에 있어서, 필름의 적어도 편면측에, 산화 방지층이 적층되어도 된다. 필름에 산화 방지층이 적층되면, EUV광 조사 시나 펠리클 보관 시의 펠리클막의 산화가 억제된다.
산화 방지층은, EUV광에 대하여 안정된 재료로 이루어지는 층이면, 그 종류는 특별히 제한되지 않는다. 예를 들어, SiOx(x≤2), SixNy(x/y는 0.7 내지 1.5), SiON, Y2O3, YN, Mo, Ru, Rb, Sr, Y, Zr, Nb 또는 Rh로 이루어지는 층 등일 수 있다.
EUV광의 투과를 저해하지 않기 위해서는, 산화 방지층의 두께는 1nm 내지 10nm 정도가 바람직하고, 2nm 내지 5nm 정도가 더욱 바람직하다. 산화 방지층의 두께를 1nm 내지 10nm 정도로 함으로써, 산화 방지층에 EUV광이 흡수되는 것을 억제하고, 투과율의 저하를 억제할 수 있다.
펠리클막의 두께에 대한 산화 방지층의 두께의 비율은, 0.03 내지 1.0의 범위에 있는 것이 바람직하다. 상기 수치 범위이면, 산화 방지층에 EUV광이 흡수되는 것을 억제하고, 투과율의 저하를 억제할 수 있다.
또한, 산화 방지층을 적층하면, 새롭게 생성된 층 계면, 즉 산화 방지층과 공기의 계면, 및 산화 방지층과 펠리클막의 계면에서, EUV광의 반사가 발생하여 투과율이 저하될 우려가 있다. 이들 층 계면에서의 EUV광의 반사율은, 펠리클막 및 산화 방지층의 두께와, 펠리클막 및 산화 방지층을 구성하는 원소의 종류에 따라 산출할 수 있다. 그리고, 반사 방지막의 원리와 마찬가지로 막 두께를 최적화함으로써, 반사율을 저하시킬 수 있다.
산화 방지층의 두께는, 흡수에 의한 EUV광의 투과율 저하 및 반사에 의한 EUV광의 투과율 저하를 억제하면서, 또한 산화 방지의 성능을 갖는 범위에서, 최적의 두께로 하는 것이 바람직하다.
산화 방지층의 두께 균일성이나 표면 조도도 특별히 한정되지 않는다. EUV 노광의 패터닝 공정에 있어서, 막 두께의 불균일성이나 표면 조도로부터 유래한 투과율의 불균일성이나 EUV광의 산란에 의한 지장이 발생하지 않으면, 산화 방지층이 연속층 혹은 해도 형상의 어느 쪽이어도 되며, 또한 막 두께가 불균일해도 표면 조도가 있어도 된다.
펠리클막과 산화 방지층을 합한 펠리클막의 평균 굴절률은 1.9 내지 5.0의 범위인 것이 바람직하다. 굴절률은 분광 엘립소메트리 등의 방법으로 측정할 수 있다. 또한, 펠리클막과 산화 방지층을 합한 펠리클막의 평균 밀도는 1.5g/㎤ 내지 5.0g/㎤의 범위인 것이 바람직하다. 밀도는 X선 반사법 등의 방법으로 측정할 수 있다.
펠리클막의 두께(2층 이상으로 이루어지는 경우에는 총 두께)는, 예를 들어 10nm 내지 200nm로 할 수 있으며, 10nm 내지 100nm가 바람직하고, 10nm 내지 70nm가 보다 바람직하고, 10nm 내지 50nm가 특히 바람직하다.
[무기계 재료]
무기계 재료로서는 탄소계 재료인 것이 바람직하다. 탄소계 재료로서는, 예를 들어 비정질 탄소, 그래파이트인 것이 바람직하다.
탄소계 재료로 이루어지는 영역의 구조로서는, 후술하는 그래파이트 구조와 같이 6원환의 연결체가 c축 방향으로 규칙적으로 배열된 구조가 아니어도 된다. 즉, 그래파이트 구조와는 상이한 X선 회절 패턴을 갖는 비정질 탄소의 구조여도 된다. 또한, sp2 결합뿐만 아니라, sp3 결합을 포함하고, 탄소, 수소 이외에도 산소나 질소 등의 제3 성분의 원소를 포함해도 된다.
그래파이트는, 예를 들어 탄소만으로 이루어지고, 또한 파장 550nm의 광의 굴절률이 2.0 내지 3.0이다.
도 3은, 그래파이트의 결정 구조의 모식도이다. 도 3에 도시되는 바와 같이, 그래파이트의 결정은, sp2 탄소 원자의 6원환의 연결체가, c축 방향으로 다수 적층된 구조를 갖는다. 본 발명에서는 6원환의 연결체가 c축 방향으로 규칙적으로 배열된 화합물만을 그래파이트라고 하고; 그래핀의 적층물 등, 연결체가 c축 방향으로 규칙적으로 배열되지 않는 것은, 그래파이트에 포함하지 않는다. 그래파이트의 일부에는 질소, 규소, sp3 탄소 원자 등이 포함되어도 된다.
그래파이트는 단결정 구조여도 되고, 다결정 구조여도 된다. 단결정 구조의 그래파이트는, 강도가 높고, 열전도성이 높다는 점에서 바람직하다. 한편, 다결정 구조의 그래파이트는, 제조하기 쉽고, 비용면에서 바람직하다.
또한, 그래파이트의 모자이크 스프레드는 5.0 이하인 것이 바람직하고, 보다 바람직하게는 0.1 이상 3.0 이하이고, 더욱 바람직하게는 0.1 이상 1.0 이하이다. 모자이크 스프레드가 5.0 이하이면, 강도 및 방열성이 우수하다. 모자이크 스프레드는, 그래파이트 중의 결정자의 c축 방향의 배향성을 나타내는 지표이다. 모자이크 스프레드의 값이 작을수록, c축 방향의 배향성이 높음을 나타낸다. 모자이크 스프레드의 값이 크다; 즉 그래파이트 중의 결정자의 c축 방향의 배향성이 낮으면, 6원환의 연결체가 c축 방향으로 규칙적으로 배열되기 어려워져, 그래파이트가 물러지기 쉽다.
예를 들어, 모자이크 스프레드가 0.3°라는 것은, 6원환의 연결체(플레이트면)에 수직인 방향으로부터의 c축의 어긋남이 거의 ±0.6° 이내임을 나타낸다(카본 용어 사전, 탄소 재료 학회 카본 용어 사전 편집 위원회, 야스다 게이이찌, 고바야시 가즈오 편, 아그네 쇼후사, 2000). 모자이크 스프레드는, 그래파이트로 이루어지는 영역의 제작 시의 소성 과정의 온도나 압력에 의해 조정된다.
모자이크 스프레드는, X선 회절 장치에서 이하의 수순으로 측정된다. 플레이트형의 그래파이트의 (002)면의 X선 회절선이 피크를 나타내는 위치에 X선 회절 장치의 카운터(2θ축)를 고정한다. 그리고, 시료(θ축)만을 회전시켜, 강도 함수((002)면 회절선 피크 강도의 시료 방위각 의존 곡선)를 측정한다. 얻어진 강도 함수로부터 피크 강도의 반감값을 구하고, 이것을 모자이크 스프레드라고 한다.
비정질 탄소나 그래파이트 등의 탄소계 재료로 이루어지는 영역에 대해서는, 유기계 재료를 포함하는 영역에 높은 에너지를 제공함으로써 탄화시켜, 형성할 수 있다.
그로 인해, 유기계 재료를 포함하는 필름(바람직하게는, 유기계 재료로 이루어지는 필름)의 중심부에 높은 에너지를 제공함으로써, 에너지가 제공된 영역에서의 유기계 재료를 탄화시켜, 필름의 중심부가 무기계 재료(탄소계 재료)로 이루어지는 영역인 펠리클막을 제조할 수 있다. 또한, 필름에서의 높은 에너지가 제공되지 않은 영역은, 유기계 재료를 포함하는 영역이며, 필름의 적어도 주위 단부가 유기계 재료를 포함하는 영역이면, 자립성을 갖는 펠리클막을 제조할 수 있다.
그래파이트로 이루어지는 영역은, 전술한 방법으로 형성한 후, 더 압력을 가하면서 고온에서 장시간 재어닐링한 고배향 열분해 그래파이트(Highly oriented pyrolytic graphite: HOPG)인 것이 바람직하다. 장시간 재어닐링하여 얻어지는 고배향 열분해 그래파이트는, 모자이크 스프레드가 매우 작아진다.
제조의 용이성의 점(예를 들어, 보다 저온에서 탄소계 재료로 이루어지는 영역을 형성할 수 있다는 점)에서, 탄소계 재료로서는 비정질 탄소가 바람직하다.
<펠리클막의 제조 방법>
본 발명에 관한 펠리클막의 제조 방법으로서는, 특별히 한정되지 않지만, 이하에 펠리클막의 제조예를 나타낸다.
(기판 상에 희생층을 적층하고 나중에 제거하는 방법)
기판 상에 희생층을 적층하고, 그 위에 유기계 재료를 포함하는 막(바람직하게는, 유기계 재료로 이루어지는 막임. 또한, 막은 필름이어도 됨)을 형성하고, 나중에 희생층을 제거함으로써 자립막을 얻을 수 있다. 희생층은 금속, 산화막, 수지, 염 등, 특정한 처리 방법으로 제거할 수 있는 것으로 할 수 있다. 예를 들어, 희생층은 산성 용액에 녹는 알루미늄 등의 금속일 수 있다. 구체적으로는, 증착이나 스퍼터링 등으로 유리 기판이나 실리콘 웨이퍼의 표면에 금속층을 적층하고, 또한 금속층 상에 막을 적층한 후에, 산성 용액 등 금속층을 녹일 수 있는 용액에 침지함으로써, 기판으로부터 막을 박리할 수 있다.
기판으로서 자연 산화막이나 산화규소층을 갖는 실리콘 웨이퍼를 사용한 경우에는, 실리콘 웨이퍼 상의 자연 산화막 또는 산화규소층에 유기계 재료를 포함하는 막을 코팅한 후에, 불산 수용액에 침지함으로써 자연 산화막이나 산화규소층을 제거하고, 기판으로부터 막을 박리할 수도 있다.
기판에 적층하는 희생층을, 부분 비누화 폴리비닐알코올 수지나 염화나트륨 등의 염과 같은 수용성 재료로 해도 된다. 희생층 상에 유기계 재료를 포함하는 막을 적층한 후에, 적층체를 물에 침지함으로써, 기판으로부터 펠리클막을 박리할 수 있다.
기판 상에 적층한 희생층을 제거하는 방법을 선정하는 데 있어서, 유기계 재료를 포함하는 막의 프로세스 내성, 막 강도, 희생층의 제거 속도, 희생층의 막 두께 균일성이나 표면 조도 등의 특징에 따라, 가장 적절한 임의의 방법을 선정할 수 있다.
유기계 재료를 포함하는 막을 박리한 후, 이 막의 중심부에 높은 에너지를 제공함으로써, 에너지가 제공된 영역에서의 유기계 재료를 탄화시켜, 필름의 중심부가 무기계 재료(탄소계 재료)로 이루어지는 영역이고, 필름의 주위 단부가 유기계 재료를 포함하는 영역인 펠리클막을 제조할 수 있다.
(기판을 에칭 또는 용해시키는 방법)
기판의 재질을 금속, 산화막, 수지, 염 등, 특정한 처리 방법으로 제거할 수 있는 것으로 한 경우에는, 기판 상에 유기계 재료를 포함하는 막을 적층한 후에, 기판을 에칭 또는 용해시킴으로써, 막을 얻을 수 있다.
예를 들어, 기판으로서 구리박을 사용한 경우, 구리박 표면에 유기계 재료를 포함하는 막을 적층한 후에, 염화제2구리 에칭액에 침지함으로써, 구리박 기판을 에칭하여 기판을 제거하고, 막을 얻을 수 있다.
기판을 유리 기판으로 한 경우, 유리 기판에 유기계 재료를 포함하는 막을 적층한 후에, 불화수소산을 사용하여 유리 기판을 에칭하여 기판을 제거하고, 막을 얻을 수 있다.
기판을 실리콘 웨이퍼로 한 경우, 실리콘 웨이퍼에 유기계 재료를 포함하는 막을 적층한 후에, 습식 에칭 또는 건식 에칭에 의해, 실리콘 웨이퍼를 에칭하여 실리콘 웨이퍼를 제거하고, 막을 얻을 수 있다. 습식 에칭은, KOH나 TMAH, 히드라진 등의 에칭액을 사용할 수 있다. 건식 에칭은, 불소계(SF6, CF4, NF3, PF5, BF3, CHF3, XeF2), 염소계(Cl2, SiCl4), 브롬계(IBr) 등의 에칭 가스를 사용할 수 있다. 습식 에칭 속도는 온도에 따라 변화되기 때문에, 실리콘 웨이퍼 상의 유기계 재료를 포함하는 얇은 막에 손상을 주지 않게 에칭하기 위해서는, 액온을 낮추어 에칭 레이트를 낮추는 것이 바람직하다.
실리콘 웨이퍼를 건식 에칭하는 경우에는, 실리콘 기판 표면에 사전에 에칭 스톱층 등의 층을 형성해도 된다. 에칭 스톱층으로서는 SiO2나 SiN으로 이루어지는 층 등을 들 수 있다. 에칭 스톱층은 인장 응력이 발생하는 막에 의해 구성되는 것이 바람직하다. 기판 및 박막의 표면에 대하여 평행 방향으로 작용하는 잔류 응력에는 인장 응력과 압축 응력이 있다. 박막 내부에 박막을 넓히려고 하는 힘이 작용할 때에는 인장 응력이 되고, 한편으로 박막 내부에 박막을 수축시키려고 하는 힘이 작용할 때에는 압축 응력이 된다. 이들 응력은 주로 박막의 제막 과정에 있어서 발생한다. 잔류 응력을 초래하는 요인의 하나로서, 기판과 박막의 열팽창률의 차이가 있다. 실온으로 되돌릴 때 기판도 박막도 수축하지만 그 비율은 열팽창률에 따라 상이하며, 박막의 열팽창률이 기판의 열팽창률보다 크면 인장 응력, 반대일 때에는 압축 응력이 된다. 인장 응력이 발생하는 막에 의해, 당해 막 상에 설치한 유기계 재료를 포함하는 막에 장력이 가해져, 주름이 없는 막이 생기기 때문에 바람직하다. SiN으로 이루어지는 층은 인장 응력을 발생시키기 때문에, 실리콘 웨이퍼를 건식 에칭하여 얻어지는, 유기계 재료를 포함하는 막을, 주름이 없는 막으로 할 수 있다. 에칭 스톱층은, 실리콘 웨이퍼의 건식 에칭이 끝난 후에 제거함으로써, 목적으로 하는 자립막을 얻을 수 있다.
기판을 염화나트륨 등의 염으로 이루어지는 기판으로 한 경우, 기판 표면에 유기계 재료를 포함하는 막을 적층한 후에, 물에 침지하여 기판을 에칭하여 기판을 제거하고, 막을 얻을 수 있다. 기판을 플라스틱 기판으로 한 경우, 플라스틱 기판 표면에 유기계 재료를 포함하는 막을 적층한 후에, 플라스틱 기판을 가용의 용매에 침지함으로써, 플라스틱 기판을 용해시켜 막을 얻을 수 있다.
유기계 재료를 포함하는 막을 얻은 후, 이 막의 중심부에 높은 에너지를 제공함으로써, 에너지가 제공된 영역에서의 유기계 재료를 탄화시켜, 필름의 중심부가 무기계 재료(탄소계 재료)로 이루어지는 영역이고, 필름의 주위 단부가 유기계 재료를 포함하는 영역인 펠리클막을 제조할 수 있다.
(기판의 표면 상을 박리하기 쉽도록 전처리를 실시하는 방법)
기판에 표면 처리를 실시함으로써, 유기계 재료를 포함하는 막과 기판면과의 상호 작용을 제어하고, 용매에 대한 침지나 기계적인 박리 프로세스에 의해, 기판으로부터 막을 용이하게 박리할 수 있다. 유기계 재료를 포함하는 막과 기판면과의 상호 작용을 제어하는 방법으로서, 예를 들어 실란 커플링제에 의한 표면 처리 방법을 들 수 있다. 그 외에는 물이나 유기 용매, 피라니아 용액, 황산, UV 오존 처리 등에 의해 기판 표면을 세정하는 방법을 들 수 있다. 기판을 실리콘 웨이퍼로 하는 경우에는, 과산화수소수와 수산화암모늄의 혼합액이나, 염산과 과산화수소수의 혼합액 등, RCA 세정법에서 사용되는 용액 등을 사용할 수 있다.
희생층의 제막, 기판 상의 표면 처리는, 기판을 에칭 또는 용해시키는 방법을 각각 조합하여 사용해도 된다. 희생층이나 표면 처리에 사용되는 물질은, 유기계 재료를 포함하는 막의 표면이나 내부에 남기 어렵고, 또한 남아도 용이한 방법으로 제거할 수 있는 것이 바람직하다. 예를 들어, 가스에 의한 에칭, 열에 의한 증발, 용매에 의한 세정, 광에 의한 분해 제거 등이 있으며, 그것들을 조합하여 제거를 실시해도 된다.
(탄소계 재료로 이루어지는 영역의 형성 방법)
이어서, 유기계 재료를 포함하는 막에 높은 에너지를 제공함으로써, 에너지가 제공된 영역에서의 유기계 재료를 탄화시켜 탄소계 재료로 이루어지는 영역을 형성하는 방법에 대하여 설명한다.
탄소계 재료로 이루어지는 영역의 형성 방법으로서는, 예를 들어 폴리이미드 화합물, 폴리아크릴로니트릴 화합물, 폴리이소시아네이트 화합물, 폴리아미드 화합물, 헤테로 방향환 화합물, 폴리페닐렌 수지, 폴리에테르 수지, 액정 중합체 수지, 폴리파라크실릴렌 수지, 페놀 수지, 에폭시 수지, 푸란 수지 등의 화합물을 포함하는 고분자 필름에 높은 에너지를 제공하여 탄소계 재료로 이루어지는 영역을 갖는 필름으로 전화하는 방법을 들 수 있다. 높은 에너지를 제공하는 방법은, 고열로 소성하는 방법, 혹은 방사선을 조사하는 방법인 것이 바람직하다.
고열로 소성하는 방법으로서, 고온의 가열로를 사용하여 가열하는 방법이나, 자외선, 가시광 등의 레이저 또는 적외선을 필름에 조사하여, 필름을 가열하는 방법을 들 수 있다. 필름의 주위 단부에 유기계 재료를 포함하는 영역을 유지하고, 필름을 국소적으로 가열한다는 관점에서, 자외선, 가시광 등의 레이저 또는 적외선을 필름에 조사하여, 필름을 가열하는 방법이 바람직하다.
조사하는 방사선으로서는 EUV광, X선, γ선, 전자선, 중성자선, 이온 빔(중 하전 입자선) 등을 들 수 있으며, 특히 EUV광을 사용하는 것이 바람직하다. 방사선을 고분자 필름에 방사하면, 방사선이 필름을 구성하는 물질과 상호 작용하여, 필름 중의 원자에 에너지가 부여된다. 이 에너지에 의해 원자가 여기되거나, 이온화되거나, 2차 전자가 방출되거나, 여러가지 화학 반응이 발생한다. 그 결과, 고분자 필름의 고에너지가 제공된 영역에서의 유기계 재료가 탄화하여, 탄소계 재료로 이루어지는 영역을 갖는 필름이 얻어진다.
고강도인 EUV광을 조사하여 고분자 필름을 탄화하는 경우에는, 2가지 이유로 고분자 필름의 탄화가 진행된다. 하나의 이유는, EUV광의 에너지에 의해, 원자가 여기되거나, 이온화되거나, 2차 전자가 방출되거나 하는 것에 기인하여 탄화가 진행되기 때문이다. 또 하나의 이유는, 필름에 흡수된 EUV광의 에너지가 열로 바뀌어, 고분자 필름이 열분해 온도를 초과함으로써 탄화가 발생하기 때문이다.
따라서, EUV광을 조사하여 탄화하는 경우에는, EUV광의 에너지에 의한 탄화의 영향뿐만 아니라, 상기와 같은 열에 의한 탄화의 영향이 포함되고, 탄화가 촉진되기 때문에 바람직하다.
고분자 필름의 탄화에 대해서는, EUV광을 고분자 필름에 조사하고, 600℃ 내지 1000℃의 온도로 가열하여 행하는 것이 바람직하다. 이에 의해, EUV광이 조사된 영역의 구조가 변화하여 고분자 필름의 탄화가 진행되고, 탄소계 재료로 이루어지는 영역, 특히 비정질 탄소로 이루어지는 영역을 적합하게 형성할 수 있다.
고분자 필름의 탄화는, 펠리클 제조 과정의 어느 단계에서 행해져도 된다. 예를 들어, 산화 방지층 상에 고분자 필름을 도포한 직후에 탄화를 행해도 되고, 기판 상에 고분자 필름이 적층된 후, 혹은 고분자 필름을 기판으로부터 박리한 후의 어느 단계에서 탄화를 행해도 된다. 또한, 고분자 필름을 지지 프레임에 고정한 상태에서 탄화를 행해도 된다.
고분자 필름의 탄화되는 영역은, 에너지가 제공되는 영역에 대응한다. 고분자 필름에 있어서, 그 주위 단부를 제외한 영역이, 에너지가 제공되는 영역으로 되어 있는 것이 바람직하다. 예를 들어, 고분자 필름의 주위 단부로부터 1mm 내지 2mm 정도의 영역을 제외하고, 횡방향으로 100mm 내지 110mm, 종방향으로 130mm 내지 144mm의 영역을 탄화해도 된다.
고분자 필름의 탄화되는 영역의 형상으로서는, 특별히 한정되지 않고, 타원형, 원형, 직사각형, 정사각형 등을 들 수 있으며, 또한 직선 및 곡선을 조합한 형상이어도 된다.
(탄소계 재료로 이루어지는 영역의 구조)
탄소계 재료로 이루어지는 영역의 구조는, 라만 분광법, 푸리에 변환형 적외 분광 분석(FT-IR)법, X선 회절 등의 측정 방법을 사용하여 조사할 수 있다. 이하에서는, 고분자 필름으로서, 방향족 폴리이미드 필름을 사용한 경우의 예에 대하여 설명한다.
예를 들어, 여기광으로서 532nm의 광을 사용한 라만 측정에서는, 폴리이미드의 형광으로부터 유래한 100cm-1 내지 4000cm-1의 넓은 파수 범위 전체에 걸쳐, 폴리이미드의 형광으로부터 유래한 브로드한 시그널이 검출된다. 방향족 폴리이미드 필름의 탄화가 진행되면, 약 900cm-1 내지 1800cm-1에서 피크가 나타난다. 폴리이미드 유래의 형광의 피크 강도 및 탄소 유래의 900cm-1 내지 1800cm-1의 피크 강도를 비교함으로써 탄화의 진행도를 평가할 수 있다.
필름 중에 폴리이미드의 구조가 존재할 때에는 폴리이미드의 구조 특유의 IR 피크가 나타난다. 한편, 탄소 구조는 폭넓은 파수 범위의 적외선을 흡수하고 고유의 피크 형상을 갖지 않는다. 그로 인해, 폴리이미드가 탄화되면 폴리이미드의 구조로부터 유래한 피크 강도의 감소가 보인다. 이와 같이, 폴리이미드 유래의 FT-IR의 피크를 측정함으로써도, 탄화의 진행도를 평가하는 것이 가능하다.
또한, 라만 측정 및 FT-IR 측정의 양방을 이용하여 탄화의 진행을 어림잡을 수 있다. 예를 들어, FT-IR 스펙트럼에서의 폴리이미드에 특징적인 피크가 소실되고, 또한 라만 스펙트럼에서의 브로드한 형광 피크의 소실과, 약 900cm-1 내지 1800cm-1에서의 피크의 출현이 보였을 때, 필름 중의 폴리이미드가 탄화되었다고 간주할 수 있다.
또한, 라만 측정을 행함으로써, 예를 들어 탄소계 재료로 이루어지는 영역이 그래파이트 구조인지, 비정질 탄소의 구조인지를 판별할 수 있다. 그래파이트 구조의 경우, 1580㎝-1 근방에서 실질적으로 단일의 샤프한 피크가 관찰된다. 또한, 탄소계 재료의 구조가 흐트러져, 비정질에 가까워짐에 따라 1360cm-1 근방의 피크 강도가 증대되고, 피크는 브로드하게 된다. 이에 의해, 고분자 필름을 600℃ 내지 1000℃의 온도로 가열함으로써 적합하게 형성되는 비정질 탄소의 구조임을 판별할 수 있다. 비정질 탄소의 구조로서는, 라만 스펙트럼에서의 1580cm-1의 피크 강도에 대한 1360cm-1의 피크 강도의 비는 0.1 이상인 것이 바람직하고, 0.3 이상인 것이 보다 바람직하다.
[펠리클막의 물성]
(방열성 및 내열성에 대하여)
전술한 바와 같이, EUV광 조사 시에는, EUV의 에너지가 여러가지 완화 과정을 거쳐 열로 변한다. 그로 인해, 펠리클막에는 방열성 및 내열성이 요구된다. 적어도 필름의 중심부가 탄소계 재료로 이루어지는 필름을 갖는 펠리클막은, 방열성 및 내열성을 겸비하고, EUV 리소그래피 중에 펠리클막이 파손될 우려가 적다. 따라서, 종래의 단결정 실리콘으로 이루어지는 펠리클막은 방열성이 낮고, EUV광 조사 중에 열적 손상을 받아 변형 혹은 파손되기 쉽다고 하는 문제가 있는 한편, 필름의 중심부가 탄소계 재료로 이루어지는 펠리클막을 사용함으로써 원판을 확실하게 보호할 수 있다. 이하에, 필름의 중심부가 탄소계 재료로 이루어지는 펠리클막(이하, 「탄소막」이라고도 칭함)이 방열성 및 내열성을 겸비하는 이유를 설명한다.
탄소막의 방열성은, 주로 (i) 탄소막의 복사성(적외선에 의한 에너지의 방출) 및 (ii) 탄소막의 열전도성에 의해 정해진다.
(i) 탄소막의 복사율 ε(복사성)과, EUV광 조사 중의 탄소막의 온도 T, EUV광 조사 강도 P, 탄소막의 EUV 투과율 Tr, 슈테판ㆍ볼츠만 상수 σ, 및 벽 온도(EUV광 조사 장치 내부의 온도) Tw의 사이에는, 이하의 관계식 (1)이 성립한다. 또한, 관계식 (1)에서는 탄소막의 열전도성을 0이라고 한다.
Figure 112016108863973-pct00005
도 4는, 상기 식 (1)로부터 구해지는 「탄소막 온도 T」와 「탄소막의 복사율 ε」의 관계를 나타내는 그래프이며; 당해 그래프에서는 탄소막의 EUV 투과율 Tr은 80%, EUV광 조사 강도 P는 100W/㎠로 하고 있다. 도 4에 도시되는 바와 같이, 탄소막의 복사율 ε이 커지면 커질수록, 탄소막의 온도 T가 낮아짐을 알 수 있다.
한편, 도 5는, 전술한 식 (1)로부터 구해지는 「탄소막 온도 T」와 「탄소막의 투과율 Tr」의 관계를 나타내는 그래프이며; 당해 그래프에서는 탄소막의 복사율 ε을 0.01, EUV광 조사 강도 P를 100W/㎠로 하고 있다. 도 5에 도시되는 바와 같이, 탄소막의 투과율 Tr이 변화하면, 탄소막의 온도 T는 다소 변화하기는 하지만, 그 변화량이 작다. 즉, 탄소막의 온도 T는, 탄소막의 EUV 투과율 Tr에는 거의 의존하지 않고, 탄소막의 복사율 ε에 크게 의존한다.
여기서, 탄소막의 복사율 ε을 실험적으로 구하는 것은 곤란하다. 단, 키르히호프 법칙에 따르면, 적외선 흡수율이 높은 막일수록, 복사율 ε이 높아진다. 따라서, 펠리클막의 원적외선 흡수 스펙트럼으로부터, 탄소막의 복사성이 어느 정도 예측된다.
종래의 펠리클막인 단결정 실리콘의 적외선 흡수는, Si-Si 결합의 신축 진동 모드에 기인하는 흡수뿐이며, 적외선 흡수율이 낮다. 따라서, 단결정 실리콘막은 복사성이 낮다.
이에 반해, 탄소막을 형성하는 탄소계 재료는, C-H 결합 유래의 흡수나 sp2 탄소 결합 유래의 강한 흡수를 갖고, 적외선 흡수율이 높다. 따라서, 탄소막은 복사성이 높다.
(ii) 펠리클막의 열전도성은, 막을 형성하는 재료의 열전도도에 의해 정해진다. 단결정 실리콘의 열전도도는 150W/mK 내지 170W/mK이다. 이에 반해, 탄소막의 일례인 그래파이트막의 열전도도는 1000W/mK 내지 5000W/mK이다. 즉, 단결정 실리콘으로 이루어지는 펠리클막은 열전도성이 낮은 데 반해, 그래파이트막 등의 탄소막은 열전도성이 높다.
이상의 점에서, 종래의 펠리클막인 단결정 실리콘막은 (i) 복사성 및 (ii) 열전도성 모두 떨어지기 때문에, 방열성이 불충분함을 알 수 있다. 이에 반해, 본 실시 형태의 탄소막은 (i) 복사성 및 (ii) 열전도성의 양쪽이 우수하다. 따라서, 방열성이 매우 높다고 할 수 있다.
펠리클막의 내열성은, 펠리클막을 구성하는 재료의 융점에 의해 정해진다. 그래파이트 등의 탄소막의 융점이 3600℃인 것에 반해, 단결정 실리콘의 융점은 1410℃이다.
즉, 그래파이트막 등의 탄소막은, 종래의 단결정 실리콘으로 이루어지는 펠리클막과 비교하여, 현저히 내열성이 우수하다.
(펠리클막의 EUV 투과성과 두께)
본 실시 형태의 펠리클막은, 무기계 재료로 이루어지는 영역의 EUV광의 투과율이 높은 것이 바람직하며; EUV 리소그래피에 사용하는 광(예를 들어, 파장 13.5nm의 광이나 파장 6.75nm의 광)의 투과율이 50% 이상인 것이 바람직하고, 80% 이상인 것이 보다 바람직하고, 90% 이상인 것이 더욱 바람직하다. 펠리클막이 산화 방지층과 적층되는 경우에는, 이들을 포함하는 막의 광 투과율이 50% 이상인 것이 바람직하다.
펠리클막의 광의 투과율 Tr은 포토다이오드에 의해 측정된다. 구체적으로는, 펠리클막을 설치하지 않은 상태에서 검출되는 전류값(입사광 강도 I0), 및 펠리클막을 설치한 상태에서 검출되는 전류값(투과광 강도 I)으로부터, 하기 식 (2)에 기초하여 구해진다.
Figure 112016108863973-pct00006
펠리클막의 두께는, 펠리클막의 광의 투과율, 펠리클막의 적외선 흡수율, 펠리클막의 강도, 및 자립성을 감안하여 설정되는 것이 바람직하다. 펠리클막의 바람직한 두께는 10nm 내지 120nm 정도이고, 지지재를 구비하는 경우에는 9nm 내지 110nm 정도이다.
EUV 노광의 패터닝 공정 시, 막 두께의 불균일성이나 표면 조도로부터 유래한 투과율의 불균일성이나 EUV광의 산란에 의한 지장이 발생하지 않으면, 펠리클막의 두께 균일성이나 표면 조도는 특별히 따지지 않는다.
EUV 노광의 패터닝 공정 시, 주름으로부터 유래한 투과율의 저하 및 불균일성이나, 산란에 의한 지장이 발생하지 않으면, 펠리클막에 주름이 있어도 된다.
펠리클막의 EUV 투과율 Tr과, 펠리클막의 두께 d의 사이에는, 하기 식 (3)의 관계가 성립한다.
Figure 112016108863973-pct00007
I: 펠리클막의 투과광 강도, I0: 입사광 강도, d: 펠리클막의 두께, ρ: 밀도, μ: 펠리클막의 질량 흡광 계수
식 (3)에서의 밀도 ρ는 펠리클막을 구성하는 물질 고유의 밀도이다. 또한, 상기 식 (3)에서의 질량 흡광 계수 μ는, 이하와 같이 구해진다. 광자의 에너지가 약 30eV보다 크고, 또한 광자의 에너지가 원자의 흡수단으로부터 충분히 이격되어 있는 경우, 질량 흡광 계수 μ는 원자끼리의 결합 상태 등에 의존하지 않는다. 예를 들어 파장 13.5nm의 광자 에너지는 92.5eV 부근이며, 원자의 흡수단으로부터도 충분히 이격되어 있다. 따라서, 상기 질량 흡광 계수 μ는, 펠리클막을 구성하는 화합물의 원자끼리의 결합 상태에 의존하지 않는다. 그로 인해, 펠리클막의 질량 흡광 계수 μ는, 펠리클막을 구성하는 각 원소(1, 2, …, i)의 질량 흡광 계수 μ1과, 각 원소의 질량분율 Wi로부터, 이하의 식 (4)에 의해 구해진다.
Figure 112016108863973-pct00008
상기 Wi는 Wi=niAi/∑niAi에 의해 구해지는 값임. Ai는 각 원소 i의 원자량, ni는 각 원소 i의 수임.
상기 식 (4)에서의 각 원소의 질량 흡광 계수 μi는, Henke 외 몇명에 의해 정리되어 있는 이하의 참고 문헌의 값을 적용할 수 있다(B.L.Henke, E.M.Gullikson, and J.C.Davis, "X-Ray Interactions: Photoabsorption, Scattering, Transmission, and Reflection at E=50-30,000eV, Z=1-92," At. Data Nucl. Data Tables 54, 181(1993). 이들 수치의 최신판은 http://www.cxro.lbl.gov/optical_constants/에 게재되어 있음) .
즉, 펠리클막의 질량 흡광 계수 μ, 필름의 밀도 ρ를 특정할 수 있으면, 원하는 EUV 투과율 Tr에 기초하여, 바람직한 펠리클막의 두께 d를 설정할 수 있다.
(펠리클막의 응력에 대하여)
실리콘 웨이퍼 등의 기판 상에, 박막을 제막하여 얻은 펠리클막에는 응력이 잔류하는 경우가 있다. 펠리클막의 잔류 응력이 크면, 크랙이 발생하거나, 자립막으로 하였을 때 찢어짐의 원인이 되거나 하기 때문에, 펠리클막의 잔류 응력은 작은 편이 바람직하다. 펠리클막의 잔류 응력의 방향과 크기는, 제막한 기판의 휨의 방향과 크기를 측정함으로써 측정할 수 있다. 제막한 기판의 휨의 방향과 크기는, 예를 들어 레이저광을 이용한 변위 계측 장치를 사용하여 측정할 수 있고, 구체적으로는 3차원 형상 측정 장치(NH-3SP 미따까 고끼 주식회사) 등을 사용하여 측정할 수 있다. 펠리클막의 잔류 응력의 크기는 1GPa 이하인 것이 바람직하고, 보다 바람직하게는 0.5GPa 이하, 더욱 바람직하게는 0.2GPa 이하이다.
잔류 응력은, 인장 방향의 응력인 것이 바람직하다. 잔류 응력의 방향이 인장 방향인 경우에는, 막에 장력이 가해지기 때문에, 주름이 없는 자립막을 얻을 수 있다. 한편, 잔류 응력의 방향이 압축 방향인 경우에는, 막에 압축력이 가해지기 때문에 주름이 발생한다. 막의 주름을 억제함으로써, 막의 두께의 변화를 억제하여 EUV의 투과율을 보다 균일하게 할 수 있고, 또한 진동 등의 외력에 대하여 찢어짐을 발생시키기 어렵게 할 수 있다.
(펠리클막의 EUV 내성 평가)
펠리클막에 EUV광을 조사하고, 조사 부분과 미조사 부분에 대하여, 각종 분석을 행함으로써 EUV 내성을 평가할 수 있다. 예를 들어, XPS 측정, EDS 분석, RBS 등의 조성 분석의 방법, XPS, EELS, IR 측정이나 라만 분광 등의 구조 해석의 방법, 엘립소메트리나 간섭 분광법, X선 반사법 등의 막 두께 평가법, 현미경 관찰, SEM 관찰이나 AFM 관찰 등의 외관이나 표면 형상 평가 방법 등을 사용할 수 있다. 방열성은, 컴퓨터 시뮬레이션에 의한 해석 결과를 조합함으로써, 보다 상세하게 검토될 수 있다.
펠리클막은, EUV광에 한하지 않고 평가 항목에 따라, 진공 자외선 조사, 자외-가시광선 조사, 적외선 조사, 전자선 조사, 플라즈마 조사, 가열 처리 등의 방법을 적절히 선택하고, 펠리클막의 내성 평가를 실시해도 된다.
(펠리클막의 막 강도의 평가에 대하여)
기판 상의 펠리클막의 강도의 평가 방법으로서는, 나노인덴터에 의한 평가 방법을 들 수 있다. 자립막의 막 강도의 평가 방법으로서는, 공명법이나 벌지 시험법, 에어 블로우에 의한 막 찢어짐 유무의 평가법, 진동 시험에 의한 막 찢어짐 유무의 평가법 등의 방법을 사용할 수 있다.
<펠리클>
본 실시 형태의 펠리클은, 본 실시 형태의 펠리클막과, 상기 펠리클막을 지지하는 지지재를 갖는다.
본 실시 형태의 펠리클은, 본 실시 형태의 펠리클막을 구비하므로, 본 실시 형태의 펠리클막과 마찬가지의 효과를 발휘한다.
이하, 도 6을 참조하면서, 본 실시 형태에 관한 펠리클에 대하여 설명한다. 도 6은, 본 실시 형태의 펠리클(10)을 도시하는 개략 단면도이다. 펠리클(10)은, 막 접착제층(13)을 개재하여 펠리클막(12)과 지지 프레임(14)이 접착되어 구성되어 있고, 지지 프레임(14)에는 통기 구멍(16)이 형성되고, 또한 원판용 접착제층(15)이 형성되어 있다.
[지지 프레임]
지지 프레임(펠리클 프레임)(14)은, 펠리클막(12)을 지지하기 위한 것이다.
또한, 본 실시 형태의 펠리클막(12)의 지지 프레임(14)에 의해 지지되는 부분이, 유기계 재료를 포함하는 영역인 것이 바람직하다. 이에 의해, 지지 프레임(14)에 의해 지지되는 부분에, 펠리클막(12)의 유기계 재료를 포함하는 영역이 고정되고, 노광광이 조사되었을 때, 무기계 재료로 이루어지는 영역에 투과광을 투과시킬 수 있다.
지지 프레임의 재질, 형상 등은, 본 실시 형태의 펠리클막을, 막 접착제층 등을 개재하여 지지 가능한 프레임이면 특별히 제한되지 않는다. 지지 프레임으로서는, 예를 들어 알루미늄, 스테인리스, 폴리에틸렌, 세라믹스제 프레임이어도 된다. 예를 들어 도 6에 도시되는 바와 같이, 지지 프레임(14)은, 펠리클(10) 및 원판(도시하지 않음)에 둘러싸인 영역과, EUV 노광 장치 내와의 기압을 일정하게 하기 위한 통기 구멍(16)을 갖는 것이 바람직하다. EUV광에 의한 노광은, 진공 환경(감압 환경)하에서 행해지기 때문에, 노광 시의 기압이 불균일하면, 펠리클막(12)이 압력차에 의해 신축되거나, 파손될 우려가 있다. 통기 구멍(16)에는, 펠리클(10) 및 원판에 둘러싸인 영역에 이물이 들어가지 않도록, 필터가 배치되는 것이 바람직하다. 필터로서는 ULPA(Ultra Low Penetration Air) 필터, 금속 메쉬 등을 들 수 있다. 또한, 지지 프레임(14)은 검사하기 쉽도록 노광에 지장이 없는 범위에서 착색되어도 된다.
펠리클막을 지지 프레임에 고정하는 수순이나 방법은 특별히 제한되지 않는다. 또한, 에칭된 기판을 지지 프레임의 일부로서 사용해도 된다. 예를 들어, 금속, 실리콘 웨이퍼, 유리, 수지, 염 등, 특정한 처리 방법으로 제거할 수 있는 기판 상에 펠리클막을 적층해도 되고, 그 후에, 펠리클막의 배치면과 반대면의 기판 표면에, 프레임의 사이즈에 맞추어 마스크를 설치하고, 마스크 형상을 남겨두고 에칭 또는 용해시켜도 된다. 이에 의해, 기판의 일부를 지지 프레임으로서 사용한 펠리클을 얻을 수 있다.
기판의 형상을 프레임 형상과 맞추기 위한 트리밍 방법은 특별히 제한되지 않는다. 실리콘 웨이퍼를 사용하는 경우에는, 기계적으로 웨이퍼를 나누는 방법이나, 레이저 트리밍의 방법을 사용할 수 있다.
[막 접착제층]
막 접착제층(13)은, 지지 프레임(14)과 펠리클막(12)을 접착하는 층이다. 막 접착제층(13)은, 예를 들어 아크릴 수지 접착제, 에폭시 수지 접착제, 폴리이미드 수지 접착제, 실리콘 수지 접착제, 무기계 접착제 등으로 이루어지는 층일 수 있다. EUV 노광 시의 진공도를 유지한다는 관점에서, 막 접착제층(13)은 아웃 가스가 적은 것이 바람직하다. 아웃 가스의 평가 방법으로서, 예를 들어 승온 탈리 가스 분석 장치를 사용할 수 있다.
또한, 펠리클막을 지지 프레임에 고정하는 방법은 특별히 제한되지 않고, 펠리클막을 지지 프레임에 직접 부착해도 되고, 지지 프레임의 한쪽 단부면에 있는 막 접착제층을 개재해도 되고, 기계적으로 고정하는 방법이나 자석 등의 인력을 이용하여 펠리클막과 지지 프레임을 고정해도 된다.
펠리클막과 지지 프레임의 접착성의 평가 방법으로서는, 예를 들어 압력, 면적, 거리, 각도를 바꾸어 에어 블로우에 의해 막의 찢어짐이나 박리의 유무를 평가하는 방법이나, 가속도, 진폭을 바꾸어 진동 시험에 의해 막의 찢어짐이나 박리의 유무를 평가하는 방법 등을 사용할 수 있다.
[원판용 접착제층]
원판용 접착제층(15)은, 지지 프레임(14)과 원판을 접착하는 층이다. 도 6에 도시되는 바와 같이, 원판용 접착제층(15)은, 지지 프레임(14)의 펠리클막(12)이 고정되어 있지 않은 측의 단부에 형성된다. 원판용 접착제층(15)은, 예를 들어 양면 점착 테이프, 실리콘 수지 점착제, 아크릴계 점착제, 폴리올레핀계 점착제, 무기계 접착제 등이다. EUV 노광 시의 진공도를 유지한다는 관점에서, 원판용 접착제층(15)은 아웃 가스가 적은 것이 바람직하다. 아웃 가스의 평가 방법으로서, 예를 들어 승온 탈리 가스 분석 장치를 사용할 수 있다.
막 접착제층(13) 및 원판용 접착제층(15)은, EUV 노광 장치 내에서 산란된 EUV광에 노출되기 때문에, EUV 내성을 갖는 것이 바람직하다. EUV 내성이 낮으면, EUV 노광 중에 접착제의 접착성이나 강도가 저하되어, 노광 장치 내부에서 접착제의 박리나 이물 발생 등의 문제가 발생한다. EUV광 조사에 의한 내성 평가는, 예를 들어 XPS 측정, EDS 분석, RBS 등의 조성 분석의 방법, XPS, EELS, IR 측정이나 라만 분광 등의 구조 해석의 방법, 엘립소메트리나 간섭 분광법, X선 반사법 등의 막 두께 평가법, 현미경 관찰, SEM 관찰이나 AFM 관찰 등의 외관이나 표면 형상 평가 방법, 나노인덴터나 박리 시험에 의한 강도 및 접착성 평가 방법 등을 사용할 수 있다.
리소그래피에서는 회로 패턴이 정확하게 전사되는 것이 필요하다. 따라서, 노광 범위에 있어서 노광광의 투과율이 거의 균일한 것이 필요하다. 본 실시 형태의 펠리클막(12)을 사용함으로써, 노광 범위에 있어서 일정한 광투과율을 갖는 펠리클(10)이 얻어진다.
(펠리클의 용도)
본 발명의 펠리클은, EUV 노광 장치 내에서, 원판에 이물이 부착되는 것을 억제하기 위한 보호 부재로서 뿐만 아니라, 원판의 보관 시나, 원판의 운반 시에 원판을 보호하기 위한 보호 부재로 해도 된다. 예를 들어, 원판에 펠리클을 장착한 상태(노광 원판)로 해 두면, EUV 노광 장치로부터 떼어낸 후, 그대로 보관하는 것 등이 가능하게 된다. 펠리클을 원판에 장착하는 방법에는, 접착제로 부착하는 방법, 정전 흡착법, 기계적으로 고정하는 방법 등이 있다.
[변형예 1]
이어서, 본 실시 형태의 펠리클(20)의 변형예에 대하여, 도 7을 참조하여 설명한다. 도 7에 도시하는 바와 같이, 펠리클(20)을 형성하는 펠리클막(22)은, 유기계 재료를 포함하는 영역 및 무기계 재료로 이루어지는 영역이 존재하는 필름(21)의 양면에, 산화 방지층(23)이 적층되어 있어도 된다. 필름(1)에 산화 방지층(23)이 적층되면, EUV광 조사 시나 펠리클 보관 시의 펠리클막(22)의 산화가 억제된다. 또한, 산화 방지층은 필름의 편면측에만 적층되어 있어도 된다.
[변형예 2]
본 실시 형태에 관한 펠리클은, 폴리이미드 화합물을 포함하는 필름(바람직하게는, 폴리이미드 화합물로 이루어지는 필름)을 포함하는 펠리클막과, 상기 펠리클막을 지지하는 지지 프레임을 갖는 펠리클이어도 된다. 폴리이미드 화합물을 포함하는 필름에 상술한 바와 같은 높은 에너지를 제공함으로써, 에너지가 제공된 영역에서의 폴리이미드 화합물이 탄화하고, 동일 평면 상에 폴리이미드를 포함하는 영역(에너지가 제공되지 않은 영역)과 탄소계 재료로 이루어지는 영역(에너지가 제공된 영역)을 각각 갖는 펠리클막을 갖는 펠리클이 얻어진다.
<노광 원판>
본 실시 형태의 노광 원판은, 원판과, 상기 원판에 장착된 본 실시 형태의 펠리클을 갖는다.
본 실시 형태의 노광 원판은, 본 실시 형태의 펠리클을 구비하므로, 본 실시 형태의 펠리클과 마찬가지의 효과를 발휘한다.
본 실시 형태의 펠리클에 원판을 장착하는 방법은, 특별히 한정되지 않는다. 예를 들어, 원판을 지지 프레임(14)에 직접 부착해도 되고, 지지 프레임(14)의 한쪽 단부면에 있는 원판용 접착제층(15)을 개재해도 되고, 기계적으로 고정하는 방법이나 자석 등의 인력을 이용하여 원판과 지지 프레임(14)을 고정해도 된다.
여기서, 원판으로서는, 지지 기판과, 이 지지 기판 상에 적층된 반사층과, 반사층 상에 형성된 흡수체층을 포함하는 원판을 사용할 수 있다. 흡수체층이 EUV광을 일부 흡수함으로써, 감응 기판(예를 들어, 포토레지스트막을 갖는 반도체 기판) 상에 원하는 상이 형성된다. 반사층은 몰리브덴(Mo)과 실리콘(Si)의 다층막일 수 있다. 흡수체층은 크롬(Cr)이나 질화탄탈륨 등, EUV광 등의 흡수성이 높은 재료일 수 있다.
<노광 장치>
본 실시 형태의 노광 장치는, 본 실시 형태의 노광 원판을 구비한다.
이로 인해, 본 실시 형태의 노광 원판과 마찬가지의 효과를 발휘한다.
본 실시 형태의 노광 장치는, 노광광(바람직하게는 EUV광 등, 보다 바람직하게는 EUV광. 이하 동일함)을 방출하는 광원과, 본 실시 형태의 노광 원판과, 상기 광원으로부터 방출된 노광광을 상기 노광 원판으로 유도하는 광학계를 구비하고, 상기 노광 원판은, 상기 광원으로부터 방출된 노광광이 상기 펠리클막을 투과하여 상기 원판에 조사되도록 배치되어 있는 것이 바람직하다.
이 형태에 따르면, EUV광 등에 의해 미세화된 패턴(예를 들어 선 폭 32nm 이하)을 형성할 수 있는 것 외에, 이물에 의한 해상 불량이 문제가 되기 쉬운 EUV광을 사용한 경우라도, 이물에 의한 해상 불량이 저감된 패턴 노광을 행할 수 있다.
<반도체 장치의 제조 방법>
본 실시 형태의 반도체 장치의 제조 방법은, 광원으로부터 방출된 노광광을, 본 실시 형태의 노광 원판의 상기 펠리클막을 투과시켜 상기 원판에 조사하고, 상기 원판에서 반사시키는 스텝과, 상기 원판에 의해 반사된 노광광을, 상기 펠리클막을 투과시켜 감응 기판에 조사함으로써, 상기 감응 기판을 패턴형으로 노광하는 스텝을 갖는다.
본 실시 형태의 반도체 장치의 제조 방법에 따르면, 이물에 의한 해상 불량이 문제가 되기 쉬운 EUV광을 사용한 경우라도, 이물에 의한 해상 불량이 저감된 반도체 장치를 제조할 수 있다.
도 8은, 본 실시 형태의 노광 장치의 일례인, EUV 노광 장치(800)의 개략 단면도이다.
도 8에 도시되는 바와 같이, EUV 노광 장치(800)는, EUV광을 방출하는 광원(831)과, 본 실시 형태의 노광 원판의 일례인 노광 원판(850)과, 광원(831)으로부터 방출된 EUV광을 노광 원판(850)으로 유도하는 조명 광학계(837)를 구비한다.
노광 원판(850)은, 펠리클막(812) 및 지지 프레임(814)을 포함하는 펠리클(810)과, 원판(833)을 구비하고 있다. 이 노광 원판(850)은, 광원(831)으로부터 방출된 EUV광이 펠리클막(812)을 투과하여 원판(833)에 조사되도록 배치되어 있다.
원판(833)은, 조사된 EUV광을 패턴형으로 반사하는 것이다.
지지 프레임(814) 및 펠리클(810)은, 각각 본 실시 형태의 지지 프레임 및 펠리클의 일례이다.
EUV 노광 장치(800)에 있어서, 광원(831)과 조명 광학계(837)의 사이, 및 조명 광학계(837)와 원판(833)의 사이에는, 필터ㆍ윈도우(820 및 825)가 각각 설치되어 있다.
또한, EUV 노광 장치(800)는, 원판(833)이 반사한 EUV광을 감응 기판(834)으로 유도하는 투영 광학계(838)를 구비하고 있다.
EUV 노광 장치(800)에서는, 원판(833)에 의해 반사된 EUV광이, 투영 광학계(838)를 통하여 감응 기판(834) 상으로 유도되어, 감응 기판(834)이 패턴형으로 노광된다. 또한, EUV에 의한 노광은, 감압 조건하에서 행해진다.
EUV 광원(831)은, 조명 광학계(837)를 향하여 EUV광을 방출한다.
EUV 광원(831)에는, 타깃재와, 펄스 레이저 조사부 등이 포함된다. 이 타깃재에 펄스 레이저를 조사하고, 플라즈마를 발생시킴으로써, EUV가 얻어진다. 타깃재를 Xe로 하면, 파장 13nm 내지 14nm의 EUV가 얻어진다. EUV 광원이 발하는 광의 파장은, 13nm 내지 14nm에 한하지 않고, 파장 5nm 내지 30nm의 범위 내의, 목적에 적합한 파장의 광이면 된다.
조명 광학계(837)는, EUV 광원(831)으로부터 조사된 광을 집광하고, 조도를 균일화하여 원판(833)에 조사한다.
조명 광학계(837)에는, EUV의 광로를 조정하기 위한 복수매의 다층막 미러(832)와, 광결합기(옵티컬 인테그레이터) 등이 포함된다. 다층막 미러는 몰리브덴(Mo), 실리콘(Si)이 교대로 적층된 다층막 등이다.
필터ㆍ윈도우(820, 825)의 장착 방법은 특별히 제한되지 않고, 접착제 등을 통하여 부착하는 방법이나, 기계적으로 EUV 노광 장치 내에 고정하는 방법 등을 들 수 있다.
광원(831)과 조명 광학계(837)의 사이에 배치되는 필터ㆍ윈도우(820)는, 광원으로부터 발생하는 비산 입자(파편)를 포착하여, 비산 입자(파편)가 조명 광학계(837) 내부의 소자(예를 들어 다층막 미러(832))에 부착되지 않게 한다.
한편, 조명 광학계(837)와 원판(833)의 사이에 배치되는 필터ㆍ윈도우(825)는, 광원(831)측으로부터 비산되는 입자(파편)를 포착하여, 비산 입자(파편)가 원판(833)에 부착되지 않게 한다.
또한, 원판에 부착된 이물은, EUV광을 흡수 혹은 산란시키기 때문에, 웨이퍼에 대한 해상 불량을 야기한다. 따라서, 펠리클(810)은 원판(833)의 EUV광 조사 에리어를 덮도록 장착되어 있다. EUV광은 펠리클막(812)을 통과하여, 원판(833)에 조사된다.
원판(833)에서 반사된 EUV광은, 펠리클막(812)을 통과하고, 투영 광학계(838)를 통하여 감응 기판(834)에 조사된다.
투영 광학계(838)는, 원판(833)에서 반사된 광을 집광하고, 감응 기판(834)에 조사한다. 투영 광학계(838)에는, EUV의 광로를 조제하기 위한 복수매의 다층막 미러(835, 836) 등이 포함된다.
감응 기판(834)은, 반도체 웨이퍼 상에 레지스트가 도포된 기판 등이며, 원판(833)에 의해 반사된 EUV에 의해, 레지스트가 패턴형으로 경화된다. 이 레지스트를 현상하고, 반도체 웨이퍼의 에칭을 행함으로써, 반도체 웨이퍼에 원하는 패턴을 형성한다.
또한, 펠리클(810)은, 원판용 접착제층 등을 개재하여 원판(833)에 장착된다. 원판에 부착된 이물은, EUV를 흡수 혹은 산란시키기 때문에, 웨이퍼에 대한 해상 불량을 야기한다. 따라서, 펠리클(810)은 원판(833)의 EUV광 조사 에리어를 덮도록 장착되고, EUV는 펠리클막(812)을 통과하여, 원판(833)에 조사된다.
펠리클(810)의 원판(833)에 대한 장착 방법으로서는, 원판 표면에 이물이 부착되지 않도록 원판에 설치할 수 있는 방법이면 되며, 지지 프레임(814)과 원판(833)을 접착제로 부착하는 방법이나, 정전 흡착법, 기계적으로 고정하는 방법 등을 들 수 있지만 특별히 한정되지 않는다. 바람직하게는, 접착제로 부착하는 방법이 사용된다.
<실시예>
이하에 실시예에 의해 본 발명을 보다 구체적으로 설명하지만, 본 발명은 이들 실시예에 의해 제한되는 것은 아니다.
[실시예 1]
직경 4인치의 실리콘 웨이퍼 상에 두께 110nm의 PMDA-ODA(피로멜리트산 무수물, 4,4'-디아미노디페닐에테르)로 이루어지는 폴리이미드막을 제막하였다. 제막한 폴리이미드막을, 실리콘 웨이퍼로부터 박리하여 알루미늄 합금 A7075제의 지지 프레임(폭 2.0mm)에 아크릴계 접착제로 부착하였다.
지지 프레임과 접촉하고 있는 폴리이미드막의 부분에 EUV광이 닿지 않도록, 폴리이미드막의 중심 부분에 대해서만 강도 150mW/㎠, 파장 13.5nm의 EUV광을 100분간 연속 조사하여 폴리이미드막의 탄화를 행하였다. EUV 광원은 EUV광 조사 장치(뉴스바루(시설명) BL-10, 효고 현립대)를 사용하고, EUV의 조사 방향은 막면에 대하여 수직 방향으로 하였다. 입사광 강도의 반값 전체폭으로부터 구한 빔 사이즈는 0.15mm×0.8mm였다. 폴리이미드막의 탄화 후, FT-IR 측정 및 라만 측정을 행하였다.
FT-IR 측정에서는, 현미 FT-IR 장치 FTS-6000/UMA-500(바이오래드사제)을 사용하고, 측정 조건은, 환경 분위기: 대기 중, 분해능: 4㎝-1, 적산 횟수: 128회, 측정 면적: 100㎛×100㎛로 하였다. 라만 측정에서는, 라만 현미경(호리바 세이사꾸쇼사제 XploRA)을 사용하고, 측정 조건은, 환경 분위기: 대기 중, 여기광: 532nm, 격자: 600T, 측정 영역: 400㎝-1 내지 3200cm-1로 하였다.
탄화 전의 폴리이미드막과 탄소막(EUV광 조사 영역)의 라만 스펙트럼, IR 스펙트럼의 결과를 도 9, 도 10에 도시한다. 도 9, 도 10에 도시하는 바와 같이, 탄소막에서는 폴리이미드 특유의 구조나 형광 유래의 시그널이 소실되고, 900㎝-1 내지 1800cm-1의 파수 범위에서 탄소 특유의 시그널을 가졌다는 점에서, 폴리이미드막의 중심부가 탄화된 탄소막으로 변화되었음을 확인하였다.
상기 탄소막에 대하여 강도 150mW/㎠의 EUV광을 280분간 연속 조사하였다. 그리고, EUV광 조사부의 변색의 확인, EUV 투과율의 측정, EUV 투과율 안정성, EUV광 조사 후의 라만 스펙트럼의 변화를 확인하였다.
280분간 EUV광을 조사하는 동안의 EUV 투과율의 결과를 도 11에 도시한다. 도 11에 도시하는 바와 같이, 탄소막의 EUV 투과율은 일정한 값을 나타내고, 높은 EUV 내성(내구성)을 나타내었다. 또한, EUV광 조사 후에도 자립막의 형상을 유지하였으며, 외관이나 라만 스펙트럼의 변화는 특별히 보이지 않았다.
[실시예 2]
우선, 실시예 1과 마찬가지로 폴리이미드막을 제막하고, 제막한 폴리이미드막을 아크릴계 접착제로 지지 프레임(폭 2.0mm)에 부착하였다.
지지 프레임과 접촉하고 있는 폴리이미드막의 부분에 ArF광(자외광)이 닿지 않도록, 폴리이미드막의 중심 부분에 대해서만 강도 2W/㎠, 파장 193nm의 자외광을 5분간 연속 조사하여 폴리이미드막의 탄화를 행하였다. 자외광의 조사 방향은 막면에 대하여 수직 방향으로 하였다. 입사광 강도의 반값 전체폭으로부터 구한 빔 사이즈는 5mm×5mm였다. 폴리이미드막의 탄화 후, 실시예 1과 마찬가지의 조건에서 FT-IR 측정 및 라만 측정을 행하였다.
탄화 전의 폴리이미드막과 탄소막(자외광 조사 영역)의 라만 스펙트럼, IR 스펙트럼의 결과는 실시예 1과 마찬가지로, 탄소막에서는 폴리이미드 특유의 구조나 형광 유래의 시그널이 소실되고, 900㎝-1 내지 1800cm-1의 파수 범위에서 탄소 특유의 시그널을 가졌다는 점에서, 폴리이미드막의 중심부가 탄화된 탄소막으로 변화되었음을 확인하였다.
[비교예 1]
구리박을 준비하고, 당해 구리박 상에 CH4, H2, Ar의 혼합 가스를 사용하여 CVD법으로 그래핀막을 제작하였다. 얻어진 그래핀막 상에 PET(폴리에틸렌테레프탈레이트) 필름을 적층하였다. 당해 적층체를 희염산에 침지하고, 구리박을 용해 제거하였다. 그 후, PET 필름과 그래핀의 적층체를 헥사플루오로이소프로판올에 침지시켜, PET 필름을 용해 제거하였다. 그러나, PET 필름 용해 중에 그래핀막이 찢어져 버려, 자립성이 있는 펠리클막이 얻어지지 않았다.
2014년 5월 19일에 출원된 일본 특허 출원 제2014-103411호의 개시는 그 전체가 참조에 의해 본 명세서에 원용된다.
본 명세서에 기재된 모든 문헌, 특허 출원 및 기술 규격은, 개개의 문헌, 특허 출원 및 기술 규격이 참조에 의해 원용되는 것이 구체적이고 또한 개별적으로 기재된 경우와 동일 정도로, 본 명세서 중에 참조에 의해 원용된다.

Claims (18)

  1. 유기계 재료 및 무기계 재료로 이루어지는 필름을 갖고, 해당 필름의 동일 평면 상에 유기계 재료를 포함하는 영역과 무기계 재료로 이루어지는 영역이 존재하고, 적어도 상기 필름의 중심부는 무기계 재료로 이루어지는 영역이고, 또한 적어도 상기 필름의 주위 단부는 유기계 재료를 포함하는 영역인, 펠리클막.
  2. 제1항에 있어서, 상기 유기계 재료를 포함하는 영역은, 유기계 재료로 이루어지는 영역인, 펠리클막.
  3. 제1항에 있어서, 상기 유기계 재료의 유리 전이 온도 및 융점 중 적어도 한쪽이 150℃ 이상인, 펠리클막.
  4. 제1항에 있어서, 상기 유기계 재료가, 폴리이미드 화합물, 폴리아크릴로니트릴 화합물, 폴리이소시아네이트 화합물, 폴리아미드 화합물, 헤테로 방향환 화합물, 폴리페닐렌 수지, 폴리에테르 수지, 액정 중합체 수지, 폴리파라크실릴렌 수지, 페놀 수지, 에폭시 수지 및 푸란 수지로 이루어지는 군으로부터 선택되는 적어도 하나의 화합물인, 펠리클막.
  5. 제1항에 있어서, 상기 유기계 재료의 방향환 당량이 3mmol/g 이상 15mmol/g 이하인, 펠리클막.
  6. 제1항에 있어서, 상기 유기계 재료가 폴리이미드 화합물인, 펠리클막.
  7. 제6항에 있어서, 상기 폴리이미드 화합물이, 하기 화학식 (1)로 표시되는 반복 단위를 포함하는 방향족 폴리이미드인, 펠리클막.
    Figure 112016108863973-pct00009

    [화학식 (1)에 있어서, A는 m-페닐렌디아민, o-페닐렌디아민, p-페닐렌디아민, 3,3'-디아미노디페닐에테르, 3,4'-디아미노디페닐에테르, 4,4'-디아미노디페닐에테르, 3,3'-디아미노디페닐술폰, 4,4'-디아미노디페닐술폰, 3,3'-디아미노벤조페논, 3,3'-디아미노디페닐메탄, 4,4'-디아미노디페닐메탄, 1,3-비스(3-아미노페녹시)벤젠, 1,3-비스(4-아미노페녹시)벤젠 및 4,4'-비스(3-아미노페녹시)비페닐로 이루어지는 군으로부터 선택되는 디아민으로부터 유도되는 2가의 기이고;
    B는 피로멜리트산 이무수물, 3,3',4,4'-비페닐테트라카르복실산 이무수물, 2,3,3',4-비페닐-테트라카르복실산 이무수물, 3,3',4,4'-벤조페논테트라카르복실산 이무수물, 4,4'-옥시디프탈산 이무수물 및 3,3',4,4'-디페닐술폰테트라카르복실산 이무수물로 이루어지는 군으로부터 선택되는 테트라카르복실산 이무수물로부터 유도되는 4가의 기임]
  8. 제1항에 있어서, 상기 무기계 재료가 탄소계 재료인, 펠리클막.
  9. 제1항에 있어서, 상기 필름의 적어도 편면측에, 무기계 재료로 이루어지는 층을 더 갖는, 펠리클막.
  10. 제1항 내지 제9항 중 어느 한 항에 기재된 펠리클막과,
    상기 펠리클막을 지지하는 지지 프레임을 갖는, 펠리클.
  11. 제10항에 있어서, 상기 펠리클막의 상기 지지 프레임에 의해 지지되는 부분이, 유기계 재료를 포함하는 영역인, 펠리클.
  12. 삭제
  13. 원판과,
    상기 원판에 장착된 제10항에 기재된 펠리클을 포함하는, 노광 원판.
  14. 제13항에 기재된 노광 원판을 갖는, 노광 장치.
  15. 노광광을 방출하는 광원과,
    제13항에 기재된 노광 원판과,
    상기 광원으로부터 방출된 노광광을 상기 노광 원판으로 유도하는 광학계를 갖고,
    상기 노광 원판은, 상기 광원으로부터 방출된 노광광이 상기 펠리클막을 투과하여 상기 원판에 조사되도록 배치되어 있는, 노광 장치.
  16. 제15항에 있어서, 상기 노광광이 EUV광인, 노광 장치.
  17. 광원으로부터 방출된 노광광을, 제13항에 기재된 노광 원판의 상기 펠리클막을 투과시켜 상기 원판에 조사하고, 상기 원판에서 반사시키는 스텝과,
    상기 원판에 의해 반사된 노광광을, 상기 펠리클막을 투과시켜 감응 기판에 조사함으로써, 상기 감응 기판을 패턴형으로 노광하는 스텝을 갖는, 반도체 장치의 제조 방법.
  18. 제17항에 있어서, 상기 노광광이 EUV광인, 반도체 장치의 제조 방법.
KR1020167031149A 2014-05-19 2015-05-12 펠리클막, 펠리클, 노광 원판, 노광 장치 및 반도체 장치의 제조 방법 KR102047588B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2014-103411 2014-05-19
JP2014103411 2014-05-19
PCT/JP2015/063634 WO2015178250A1 (ja) 2014-05-19 2015-05-12 ペリクル膜、ペリクル、露光原版、露光装置及び半導体装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020187017204A Division KR20180072844A (ko) 2014-05-19 2015-05-12 펠리클막, 펠리클, 노광 원판, 노광 장치 및 반도체 장치의 제조 방법

Publications (2)

Publication Number Publication Date
KR20160145073A KR20160145073A (ko) 2016-12-19
KR102047588B1 true KR102047588B1 (ko) 2019-11-21

Family

ID=54553923

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020187017204A KR20180072844A (ko) 2014-05-19 2015-05-12 펠리클막, 펠리클, 노광 원판, 노광 장치 및 반도체 장치의 제조 방법
KR1020167031149A KR102047588B1 (ko) 2014-05-19 2015-05-12 펠리클막, 펠리클, 노광 원판, 노광 장치 및 반도체 장치의 제조 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020187017204A KR20180072844A (ko) 2014-05-19 2015-05-12 펠리클막, 펠리클, 노광 원판, 노광 장치 및 반도체 장치의 제조 방법

Country Status (8)

Country Link
US (1) US10108084B2 (ko)
EP (1) EP3133442B1 (ko)
JP (1) JP6279722B2 (ko)
KR (2) KR20180072844A (ko)
CN (1) CN106462052B (ko)
SG (1) SG11201609463SA (ko)
TW (1) TWI655497B (ko)
WO (1) WO2015178250A1 (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2534404A (en) * 2015-01-23 2016-07-27 Cnm Tech Gmbh Pellicle
US9835940B2 (en) * 2015-09-18 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method to fabricate mask-pellicle system
WO2017179199A1 (ja) * 2016-04-15 2017-10-19 凸版印刷株式会社 ペリクル
KR102501192B1 (ko) * 2016-04-25 2023-02-21 에이에스엠엘 네델란즈 비.브이. Euv 리소그래피를 위한 멤브레인
JP6634601B2 (ja) * 2016-05-09 2020-01-22 パナソニックIpマネジメント株式会社 グラファイトプレートとその製造方法
EP3477387A4 (en) * 2016-06-28 2020-03-11 Mitsui Chemicals, Inc. PELLICLE FILM, PELLICLE FRAME BODY, PELLICLE AND METHOD FOR PRODUCING PELLICLES
JP6781864B2 (ja) 2016-07-05 2020-11-11 三井化学株式会社 ペリクル膜、ペリクル枠体、ペリクル、その製造方法、露光原版、露光装置、半導体装置の製造方法
KR102649129B1 (ko) * 2016-11-16 2024-03-19 삼성전자주식회사 반도체 장치의 제조 방법
KR101813186B1 (ko) * 2016-11-30 2017-12-28 삼성전자주식회사 포토마스크용 펠리클과 이를 포함하는 레티클 및 리소그래피용 노광 장치
JP6978210B2 (ja) * 2017-03-02 2021-12-08 旭化成株式会社 ペリクル膜、及びペリクル膜の製造方法
JP6844443B2 (ja) * 2017-06-23 2021-03-17 信越化学工業株式会社 フォトリソグラフィ用ペリクル膜、ペリクル及びフォトマスク、露光方法並びに半導体デバイス又は液晶ディスプレイの製造方法
JP7451442B2 (ja) * 2017-10-10 2024-03-18 信越化学工業株式会社 Euv用ペリクルフレームの通気構造、euv用ペリクル、euv用ペリクル付露光原版、露光方法及び半導体の製造方法
JP7304035B2 (ja) * 2018-03-09 2023-07-06 株式会社カネカ グラファイト薄膜を含むペリクル
JP2021076620A (ja) * 2018-03-14 2021-05-20 株式会社カネカ 炭素質膜を含むペリクル及び炭素質膜を含むペリクルの製造方法
JP7213248B2 (ja) * 2018-07-06 2023-01-26 株式会社カネカ ペリクル複合体及びその製造方法
KR20200059061A (ko) 2018-11-20 2020-05-28 삼성전자주식회사 극자외선 리소그래피용 펠리클 및 그 제조방법
DE102020115130A1 (de) * 2019-10-30 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Robuste membran mit hoher durchlässigkeit für lithografische extremes-ultraviolett-anlagen
US11314169B2 (en) * 2019-10-30 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Robust, high transmission pellicle for extreme ultraviolet lithography systems
JP7464384B2 (ja) 2019-12-11 2024-04-09 Dicグラフィックス株式会社 金属印刷用インキ
DE102020210857A1 (de) 2020-08-27 2021-08-26 Carl Zeiss Smt Gmbh Lithographie-system mit pellikel und verfahren zum betrieb desselben
NL2028923B1 (en) * 2020-09-03 2024-02-07 Asml Netherlands Bv Pellicle membrane for a lithographic apparatus
KR20230016970A (ko) * 2021-07-27 2023-02-03 (주)휴넷플러스 요철 구조가 형성된 펠리클의 제조방법
KR20230016968A (ko) * 2021-07-27 2023-02-03 (주)휴넷플러스 관통홀 구조가 형성된 펠리클의 제조방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002139826A (ja) 2000-11-06 2002-05-17 Semiconductor Leading Edge Technologies Inc フォトマスク用ペリクル、ペリクルを備えたフォトマスク、フォトマスクを用いた露光方法、および半導体装置
WO2006137454A1 (ja) * 2005-06-21 2006-12-28 Nippon Sheet Glass Company, Limited 透明物品およびその製造方法
WO2007094197A1 (ja) * 2006-02-16 2007-08-23 Nikon Corporation 保護装置、マスク及び露光装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1070066A (ja) 1996-08-28 1998-03-10 Canon Inc X線マスク構造体、該x線マスク構造体を用いたx線露光方法、前記x線マスク構造体を用いたx線露光装置、前記x線マスク構造体を用いた半導体デバイスの製造方法及び該製造方法によって製造された半導体デバイス
US6101237A (en) 1996-08-28 2000-08-08 Canon Kabushiki Kaisha X-ray mask and X-ray exposure method using the same
US5793836A (en) 1996-09-06 1998-08-11 International Business Machines Corporation X-ray mask pellicle
US5809103A (en) * 1996-12-20 1998-09-15 Massachusetts Institute Of Technology X-ray lithography masking
US6180292B1 (en) * 1999-06-18 2001-01-30 International Business Machines Corporation Structure and manufacture of X-ray mask pellicle with washer-shaped member
JP2002182373A (ja) * 2000-12-18 2002-06-26 Shin Etsu Chem Co Ltd ペリクル及びその製造方法及びフォトマスク
US7456932B2 (en) 2003-07-25 2008-11-25 Asml Netherlands B.V. Filter window, lithographic projection apparatus, filter window manufacturing method, device manufacturing method and device manufactured thereby
US7153615B2 (en) * 2003-08-20 2006-12-26 Intel Corporation Extreme ultraviolet pellicle using a thin film and supportive mesh
US7862961B2 (en) 2006-02-16 2011-01-04 Nikon Corporation Mask and exposure apparatus
JP4936515B2 (ja) * 2006-05-18 2012-05-23 Hoya株式会社 フォトマスクの製造方法、およびハーフトーン型位相シフトマスクの製造方法
JP4861963B2 (ja) 2007-10-18 2012-01-25 信越化学工業株式会社 ペリクルおよびペリクルの製造方法
JP5394808B2 (ja) 2009-04-22 2014-01-22 信越化学工業株式会社 リソグラフィ用ペリクルおよびその製造方法
KR101968675B1 (ko) 2010-06-25 2019-04-12 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 방법
CN105229776B (zh) 2013-05-24 2019-05-03 三井化学株式会社 防护膜组件及含有其的euv曝光装置
JP6156998B2 (ja) * 2013-10-22 2017-07-05 信越化学工業株式会社 ペリクル

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002139826A (ja) 2000-11-06 2002-05-17 Semiconductor Leading Edge Technologies Inc フォトマスク用ペリクル、ペリクルを備えたフォトマスク、フォトマスクを用いた露光方法、および半導体装置
WO2006137454A1 (ja) * 2005-06-21 2006-12-28 Nippon Sheet Glass Company, Limited 透明物品およびその製造方法
WO2007094197A1 (ja) * 2006-02-16 2007-08-23 Nikon Corporation 保護装置、マスク及び露光装置

Also Published As

Publication number Publication date
SG11201609463SA (en) 2016-12-29
KR20160145073A (ko) 2016-12-19
CN106462052A (zh) 2017-02-22
JP6279722B2 (ja) 2018-02-14
EP3133442A1 (en) 2017-02-22
CN106462052B (zh) 2019-08-16
KR20180072844A (ko) 2018-06-29
US10108084B2 (en) 2018-10-23
JPWO2015178250A1 (ja) 2017-04-20
EP3133442A4 (en) 2017-12-13
US20170090279A1 (en) 2017-03-30
EP3133442B1 (en) 2019-02-13
WO2015178250A1 (ja) 2015-11-26
TWI655497B (zh) 2019-04-01
TW201610562A (zh) 2016-03-16

Similar Documents

Publication Publication Date Title
KR102047588B1 (ko) 펠리클막, 펠리클, 노광 원판, 노광 장치 및 반도체 장치의 제조 방법
KR101707763B1 (ko) 펠리클 및 이것을 포함하는 euv 노광 장치
US11042085B2 (en) Pellicle film, pellicle frame, pellicle, method for producing same, original plate for light exposure, light exposure apparatus and method for manufacturing semiconductor device
JP6326056B2 (ja) ペリクル膜、それを用いたペリクル、露光原版および露光装置、ならびに半導体装置の製造方法
CN107073891B (zh) 硅烷偶联剂层层叠高分子膜
KR102040720B1 (ko) 리소그래피 장치
JPWO2016031746A6 (ja) シランカップリング剤層積層高分子フィルム
Kim et al. Study of nanometer-thick graphite film for high-power EUVL pellicle
TWI776625B (zh) 防護組件及形成倍縮光罩組件及增加防護薄膜壽命的方法
WO2022030499A1 (ja) ペリクル、露光原版、露光装置、ペリクルの製造方法及び半導体装置の製造方法
US20220365421A1 (en) Pellicle assembly and method of making same
WO2021172104A1 (ja) ペリクル膜、ペリクル、露光原版、露光装置、ペリクルの製造方法及び半導体装置の製造方法
CN115735160A (zh) 防护膜组件、曝光原版、曝光装置、防护膜组件的制造方法和半导体装置的制造方法
CN117222941A (zh) 防护膜组件、曝光原版、曝光装置、防护膜组件的制造方法和半导体装置的制造方法

Legal Events

Date Code Title Description
A201 Request for examination
E601 Decision to refuse application
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2018101002544; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20180618

Effective date: 20190930

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)