WO2003063220A1 - Dispositif et procede pour traiter un substrat, et appareil de production de dispositifs a semiconducteurs - Google Patents

Dispositif et procede pour traiter un substrat, et appareil de production de dispositifs a semiconducteurs Download PDF

Info

Publication number
WO2003063220A1
WO2003063220A1 PCT/JP2002/013851 JP0213851W WO03063220A1 WO 2003063220 A1 WO2003063220 A1 WO 2003063220A1 JP 0213851 W JP0213851 W JP 0213851W WO 03063220 A1 WO03063220 A1 WO 03063220A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
processing
gas
processed
ultraviolet light
Prior art date
Application number
PCT/JP2002/013851
Other languages
English (en)
French (fr)
Inventor
Shintaro Aoyama
Masanobu Igeta
Hiroshi Shinriki
Tsuyoshi Takahashi
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to EP02792066A priority Critical patent/EP1469509A4/en
Priority to US10/473,205 priority patent/US7125799B2/en
Priority to KR1020037016484A priority patent/KR100638931B1/ko
Publication of WO2003063220A1 publication Critical patent/WO2003063220A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Definitions

  • the present invention generally relates to a semiconductor device, and more particularly to a method and apparatus for pretreating a substrate surface suitable for forming a very thin insulating film on a semiconductor substrate.
  • gate lengths of less than 0.1 ⁇ are becoming possible with advances in miniaturization processes.
  • the operating speed of a semiconductor device increases with miniaturization.However, in such a very miniaturized semiconductor device, the thickness of the gate insulating film is reduced in accordance with a scaling rule as the gate length is reduced by the miniaturization. It needs to be reduced.
  • the thickness of the gate insulating film must be set to 1-2 nm or less when using a conventional silicon thermal oxide film.
  • the tunnel current increases, and as a result, the problem that the gate leakage current increases cannot be avoided.
  • the ratio is much larger than that of the dielectric constant of silicon thermal oxide film, when converted to silicon thermal oxide film even Therefore large actual film thickness film thickness is small T a 2 Os and a 1 2 ⁇ 3, Z r 0 2, H f 0 2, more had with Z r S I_rei_4 is applied to the high dielectric material of the gate insulating film, such as H f S i O4 It has been proposed.
  • a gate insulating film having a physical thickness of about 4 nm can be used even in an ultra-high-speed semiconductor device with a gate length of 0.1 m or less. The gate leakage current due to the tunnel effect can be suppressed.
  • a semiconductor device using such a high-dielectric film as a gate insulating film forming the high-dielectric film directly on a silicon substrate is necessary to reduce the equivalent silicon thermal oxide film thickness of the insulating film.
  • the metal element diffuses from the high-dielectric film into the silicon substrate.
  • the problem of carrier scattering occurs in the channel region.
  • a distance of 1 nm or less, preferably 0.8 nm or less, and most preferably 2 atomic layers or less is provided between the high dielectric gate oxide film and the silicon substrate. It is preferable to interpose an extremely thin base oxide film having a thickness of about 0.4 nm, which corresponds to Such a base oxide film needs to be very thin, and if the thickness is large, the effect of using a high dielectric film as a gate insulating film is offset. On the other hand, such a very thin base oxide film needs to cover the surface of the silicon substrate uniformly, and is required not to form defects such as interface states.
  • FIG. 1 shows a schematic configuration of a high-speed semiconductor device 1 having a high dielectric gate insulating film.
  • the semiconductor device 1 is formed on the silicon substrate 2, via a thin base oxide film 3 is formed on silicon substrate 2, T a 2 O 5, A 1 2 0 3, Z r 0 2, H f 0 2 , Z r S i O 4, H f S i high dielectric gate insulating film 4 0 4 or the like is formed, a gate electrode is formed on the high dielectric Gut insulating film 4 to further 5 are formed.
  • the base oxide film layer 3 is doped with nitrogen (N) in such a range that the flatness of the interface between the silicon substrate 2 and the base oxide film 3 is maintained. ing. Since the base oxide film layer 3 is doped with nitrogen, its relative dielectric constant becomes larger than that of a pure silicon oxide film, and the equivalent oxide thickness of the thermal oxide film can be further reduced. Also, by introducing about one atomic layer of nitrogen into the very thin base oxide film 3, the mechanical stability at the interface with the high dielectric gate insulating film 4 can be improved (Lucovisky , G., et al., Appl. Phys. Lett. 74, 2005, 1999).
  • the thickness of the base oxide film 3 is preferably as thin as possible.
  • a silicon substrate containing a large amount of interstitial oxygen at a temperature of about 110 ° C. is formed by the Czochralski (C z) growth method or the MC-z (magnetic-field-applied Czochralski) growth method.
  • C z Czochralski
  • MC-z magnetic-field-applied Czochralski
  • the substrate manufacturing process by the Dz annealing process is effective in reducing the defect density on the substrate surface, it has the effect of flattening the substrate surface, and in particular, it has an atomic layer level on the substrate surface. It is difficult to achieve flatness.
  • this process requires high-temperature treatment in an electric furnace, and it is difficult to construct a cluster-type semiconductor manufacturing apparatus that performs a single-wafer process in combination with other semiconductor manufacturing steps.
  • silicon substrates formed by the Czochralski (Cz) method have been maintained at a high temperature of about 110 to 1200 ° C for a long time in a hydrogen atmosphere to improve the quality of the silicon substrates.
  • a substrate manufacturing technique called H i ⁇ ⁇ ha (NIKKEI MICRODEVICES, May, 1993, pp.63-64).
  • H i ⁇ ⁇ ha NIKKEI MICRODEVICES
  • such a substantially complete planarization of the silicon surface can be achieved, for example, in the production of ultra-high-speed semiconductor devices by reducing the thickness of the film formed before the formation of the high-dielectric gate insulating film to 2 to 2. It is indispensable as a pretreatment for forming a base oxide film of about three atomic layers. Disclosure of the invention
  • a more specific object of the present invention is to provide a cluster-type semiconductor manufacturing apparatus that can form a flat surface on the surface of a silicon substrate to the extent that an atomic layer step appears, and performs a single-wafer process in combination with other semiconductor manufacturing processes.
  • a substrate processing method suitable for constructing a semiconductor device, a substrate processing apparatus for performing the substrate processing method, and a semiconductor device manufacturing apparatus is to provide.
  • Another subject of the present invention is:
  • Another subject of the present invention is:
  • a processing container evacuated by an exhaust system comprising: a substrate holding table for holding a substrate to be processed; a first gas supply system for introducing nitrogen gas into the processing container;
  • a substrate processing apparatus comprising: an ultraviolet light source provided outside the processing container so as to irradiate the substrate to be processed on the substrate holding table through the first optical window. It is in.
  • Another subject of the present invention is:
  • a vacuum transport path provided to be coupled to the cassette module and holding a substrate transport mechanism
  • a first substrate processing chamber comprising:
  • a cluster-type semiconductor manufacturing apparatus comprising: a second substrate processing chamber provided in connection with the vacuum transfer path and performing a heat treatment on the substrate to be processed in a rare gas atmosphere. Is to do.
  • Still another object of the present invention is to provide
  • a vacuum transport path provided to be coupled to the cassette module and holding a substrate transport mechanism;
  • a processing container provided with a substrate holding table for holding a substrate to be processed, evacuated by an exhaust system, a first gas supply system for introducing nitrogen gas into the processing container, and a second gas supply system for supplying a rare gas to the processing container.
  • a third gas supply system for introducing oxygen gas into the processing container, a first optical window formed in a part of the processing container, and an outside of the processing container.
  • An ultraviolet light source provided to irradiate the substrate to be processed on the substrate holder via a first optical window, and an ultraviolet light source on the substrate holder via the second optical window outside the processing container.
  • a first substrate processing chamber provided with a lamp light source provided to irradiate the substrate to be processed, the first substrate processing chamber being provided in connection with the vacuum transfer path;
  • Another object of the present invention is to provide a cluster-type semiconductor manufacturing apparatus, comprising: a second substrate processing chamber provided to be coupled to the vacuum transfer path and for depositing a high dielectric film on the substrate to be processed.
  • the step of flattening the surface of the substrate by heat treatment carbon on the surface of the substrate is removed, preferably by an ultraviolet-excited nitrogen gas (UV_N 2 ) treatment, whereby the surface of the substrate is flattened.
  • UV_N 2 ultraviolet-excited nitrogen gas
  • the formation of impurities such as SiC, which causes the flow and sublimation of silicon atoms, is suppressed, and silicon atoms can move freely on the substrate surface even at a relatively low temperature of about 94 ° C. Will be possible.
  • a substrate such as a polished substrate having irregular irregularities on its surface is flattened, and a very flat substrate surface having atomic layer steps is obtained.
  • the substrate processing method of the present invention is suitable for constructing a cluster-type semiconductor manufacturing apparatus that performs single-wafer substrate processing in combination with other substrate processing steps.
  • organic substances such as hydrocarbons remaining on the silicon substrate surface are decomposed and depolymerized by ultraviolet light irradiation in a nitrogen atmosphere, and as a result, the substrate is easily desorbed from the substrate by heating the substrate in a vacuum. ⁇ It is thought to be eliminated.
  • the nitrogen gas itself is not activated, and no nitrided film is formed on the surface of the silicon substrate.
  • FIG. 1 is a diagram showing a configuration of a conventional semiconductor processing apparatus
  • FIG. 2 is a diagram showing a configuration of a substrate processing apparatus used in a first embodiment of the present invention
  • FIGS. 3A to 3C are diagrams showing various pretreatments performed by a cluster type substrate processing apparatus including the substrate processing apparatus of FIG. Diagram showing the surface state of a silicon substrate that has been subjected to argon annealing after being performed;
  • FIG. 4 is a diagram showing a configuration of a cluster type substrate processing apparatus including the substrate processing apparatus of FIG. 2;
  • FIGS. 5A to 5C are diagrams of XPS element analysis performed on the samples of FIGS. 3A to 3C. Figure showing the results;
  • 6A to 6D are diagrams showing a state in which the surface of the silicon substrate is flattened at various temperatures after performing the UV_N 2 processing by the substrate processing apparatus of FIG. 2;
  • FIG. 7A to 7C are views showing a state of a silicon substrate surface when various carbon removal processes are performed by the substrate processing apparatus of FIG. 2;
  • Figure 8 shows the amount of residual carbon on the silicon substrate surface after various substrate pretreatments
  • FIG. 9 is an enlarged view of FIG. 6C, showing the atomic layer steps on the surface of the silicon substrate after carbon removal processing and further planarization processing;
  • FIG. 10A and 10B are diagrams showing a process of forming an oxide film on the surface of the silicon substrate of FIG. 9 according to the second embodiment of the present invention.
  • Figures 11A and 11B show the process of forming an oxide film on the polished surface of a silicon substrate
  • Figure 12 is an AFM image showing the surface state of the oxide film formed on the silicon substrate surface that has been subjected to the carbon removal treatment and then to the planarization treatment;
  • FIG. 13 is a diagram showing a relationship between a film thickness and an oxidation time when forming an oxide film in the first embodiment of the present invention
  • FIG. 14 is a diagram illustrating the film thickness measurement by the XPS method used in the present invention
  • FIG. 15 is another diagram illustrating the film thickness measurement by the XPS method used in the present invention
  • Figures 17A and 17B show the oxidation of the silicon substrate surface
  • FIG. 18 is a diagram showing a semiconductor structure including an oxide film and a high dielectric film formed on the surface of a silicon substrate on which an atomic layer step has occurred;
  • Figure 19 is a diagram showing the configuration of a cluster-type substrate processing used to construct the semiconductor structure of Figure 18;
  • FIG. 20 is a diagram showing the relationship between the leakage current and the equivalent oxide thickness of the structure of FIG. 18;
  • Figures 21A-21 Is a diagram showing a process of forming an oxide film having a thickness of two atomic layers on a silicon substrate using the stopping phenomenon of FIG. 13 or 16;
  • Fig. 22 is a diagram that summarizes the processing conditions for the occurrence of the stationary phenomenon in Fig. 13 or Fig. 16;
  • FIG. 25 is a diagram showing a configuration of a high-frequency remote plasma source used in the substrate processing apparatus of FIG. 23;
  • Figure 26 is a diagram showing a comparison between microwave plasma and high frequency plasma
  • Figure 27 is another diagram showing a comparison between microwave plasma and high frequency plasma
  • Figures 28A and 28B are figures 2 Diagram showing radical nitridation process using the substrate processing equipment of 3;
  • Figures 29A and 29B show the relationship between nitrogen concentration and film thickness in an oxynitride film nitrided by RF plasma and microwave plasma;
  • Figure 30 is a diagram illustrating the principle of detecting the distribution of nitrogen in the oxynitride film in the thickness direction by XPS analysis
  • Figure 31 shows the relationship between the nitrogen concentration in the oxynitride film and the radical nitriding time
  • Figure 32 shows the relationship between the distribution of nitrogen in the oxynitride film in the thickness direction and the radical nitriding time
  • FIG. 33 is a diagram showing the variation of the thickness of each oxynitride film obtained by ellipsometry for each wafer obtained by the substrate processing apparatus of FIG. 23;
  • Figure 34 shows the relationship between the nitrogen concentration in the oxynitride film and the film thickness obtained by the XPS method;
  • FIGS. 35A and 35B are diagrams showing the in-plane nitrogen concentration distribution realized in the nitriding of the oxide film performed while rotating the substrate in the substrate processing apparatus of FIG. 23;
  • FIG. 36 is a diagram showing the configuration of a single-wafer substrate processing apparatus according to a fourth embodiment of the present invention
  • FIGS. 37A and 37B are used in the single-wafer substrate processing apparatus of FIG. Diagram showing the configuration of a substrate processing apparatus for forming an oxide film on a substrate and nitriding the same
  • FIGS. 38A and 38B show oxide film formation by UV-O 2 processing using the substrate processing apparatus shown in FIG. Diagram showing processing;
  • FIGS. 39A and 39B are diagrams showing nitriding of an oxide film by the substrate processing apparatus of FIG. 37;
  • FIGS. 40A and 40B are diagrams showing a configuration of a substrate processing apparatus according to a fifth embodiment of the present invention.
  • the surface of the silicon substrate is subjected to ultraviolet light nitrogen (UV-N 2 ) treatment to remove residual carbon from the substrate surface, and then the silicon substrate surface is planarized by heat treatment in a rare gas. Further, an ultra-thin oxide film is formed on the flattened silicon substrate surface by performing an ultraviolet light oxygen (UV-O 2 ) radical treatment. Further, nitrogen is introduced into the thin oxide film thus formed.
  • UV-N 2 ultraviolet light nitrogen
  • UV-O 2 ultraviolet light oxygen
  • FIG. 2 shows a configuration of the substrate processing apparatus 10 used in the present embodiment.
  • the substrate processing apparatus 10 includes a processing container 11 having a holding table 11 for holding a substrate 12 to be processed, and the processing table 11 includes the holding table 1 1A.
  • One head 1 1 B is provided.
  • the processing vessel 11 is exhausted through an exhaust port 11C, while the shower head 11B is supplied with oxygen gas and NO gas from an external gas source.
  • the processing vessel 11 is further made of a material that transmits ultraviolet light such as quartz so as to expose the shower head 11 B and the substrate 12 below the shower head 11 B above the shower head 11 B.
  • An optical window 11 D is formed.
  • a heater 11a for heating the substrate 22 is provided in the holding table 11A.
  • an ultraviolet light exposure device 14 is provided on the processing container 21 via a coupling portion 13 provided corresponding to the optical window 11D.
  • the ultraviolet light exposure apparatus 14 includes a quartz optical window 14A corresponding to the optical window 11D, and the substrate 1 to emit ultraviolet light through the quartz optical window 14A and the optical window 11D. 2, an ultraviolet light source 14B for irradiating on the upper side, and the ultraviolet light source 14B is moved by a robot 14C in a direction parallel to the optical window 14A as indicated by an arrow in FIG. It is kept possible.
  • the ultraviolet light source 14B is a linear light source provided so as to extend substantially perpendicular to the moving direction.
  • an excimer lamp having a wavelength of 170 nm is used as such a linear light source.
  • the ultraviolet light generated by the ultraviolet light source 14B is absorbed by oxygen in the air before being introduced into the processing container 11 through the optical window 11D.
  • an inert gas such as N 2 is supplied to the connection portion 13 from an external gas source (not shown) through a line 13 A, and the inert gas is The light flows into the space 14D in the ultraviolet light exposure device 14 through a gap formed in the mounting portion of the optical window 14A of the ultraviolet light exposure device 14.
  • shielding plates 14F are provided on both side surfaces of the ultraviolet light source 14B in order to suppress the entrapment of oxygen in the atmosphere immediately below the ultraviolet light source 14B and the inflow. Further, under the shielding plate 14F, the height formed between the optical window 14A facing the ultraviolet light source 14B and the shielding plate 14F is as narrow as about 1 mm at most. in the region, an inert gas such as N 2 is supplied via a line 1 4 b, 1 4 c. This region is also supplied with the inert gas from the line 13A, so that oxygen absorbing ultraviolet light in this region is effectively eliminated. The inert gas that has passed through the area under the shielding plate 14F flows into the space 14D, and is further discharged outside through an exhaust port 14E formed in the ultraviolet light exposure device 14.
  • an inert gas such as N 2
  • the robot 14C can control the movement and scanning of the ultraviolet light source 14B in the ultraviolet light exposure apparatus 14, and as a result, the surface of the substrate 12 to be processed is exposed to ultraviolet light.
  • the distribution of film thickness can be controlled by controlling the amount of irradiation of ultraviolet light.
  • the robot 14C is controlled by a control device 15 such as a computer.
  • the control device 15 also controls the driving of the ultraviolet light source 14B.
  • FIG. 3A to 3C show that a silicon substrate from which a natural oxide film has been removed by HF processing (DHF cleaning processing) is introduced as a substrate 11 into a UV substrate processing apparatus 10 in FIG. nitrogen gas was supplied to perform the UV-N 2 processing by driving the ultraviolet source 14 B, or the oxygen gas is supplied to the shower head 13, UV_0 2 processing by driving the pre-Symbol ultraviolet light source 14B in An atomic force microscope (AFM) image showing the substrate surface when heat treatment was performed for 90 seconds at 1175 ° C and 1060 Pa in an Ar atmosphere.
  • FIG. 3A shows a comparative example, in which a silicon substrate is subjected to a flattening process without being processed by the substrate processing apparatus 10 after the DHF cleaning process. While FIG.
  • FIG. 3 B is Oite the silicon substrate in the substrate processing apparatus 10, from about 2. 66 P a pressure of (2 X 10- 2 T orr) , Oite oxygen gas on the substrate temperature of 450 ° C Is introduced from the shower head 13 at a flow rate of 150 SCCM, and the ultraviolet light source 14B is driven for 5 minutes for processing.
  • FIG. 3C shows the result of performing the same process as in FIG. 3B by introducing nitrogen gas from the shower head 13 instead of oxygen gas.
  • a p (100) -type Cz wafer was used as the silicon substrate 11.
  • the heat treatment is performed by using the substrate processing apparatus 10 of FIG. 2 via a vacuum transfer path 21 and a rapid heat treatment (RTP) chamber 22 including an infrared lamp heating device.
  • RTP rapid heat treatment
  • the substrate processing apparatus 20 having a cluster configuration coupled to the processing.
  • the substrate processing apparatus 20 further includes a substrate loading / unloading module 23 and a cooling module 24 coupled to the vacuum transfer path 21.
  • Figure Both the substrate processing apparatus 10 of FIG. 2 and the rapid thermal processing chamber 22 of FIG. 4 use processing temperatures and pressures similar to those used in normal semiconductor device manufacturing, and require special processing such as hydrogen processing. Therefore, an easy cluster type substrate processing apparatus can be constructed together with other substrate processing apparatuses.
  • FIGS. 3A and 3B a large number of island-shaped projecting defects are formed on the substrate surface, whereas in FIG. 3C, such defects are completely present. You can see that it is not.
  • the silicon substrate surface is slightly inclined in the [110] direction, and the two domains that define the 2X1 atomic terrace and the 1X2 atomic terrace are associated with this slight inclination. Appear alternately, forming a single atomic step.
  • silicon atoms on the reconstructed silicon (100) surface form dimer rows on the 2 ⁇ 1 atomic terrace and the 1 ⁇ 2 atomic terrace. Since the direction of the silicon atom dimer is orthogonal between adjacent terraces, the step line may be straight or zigzag, depending on whether the energy at the step end is small or large.
  • the surface roughness was measured for the samples in Figs. 3A and 3B.
  • the average surface roughness Rms was 2.09 nm and 1.27 nm, respectively, and the maximum unevenness PV was 16.1 nm and 1 respectively. It was found to reach 1.7 nm.
  • the average surface roughness Rms was slightly reduced to 0.113 nm, and the maximum unevenness amplitude PV was also reduced to 1.33 nm.
  • Figure 5 A ⁇ 5 C, for a sample of each Figure 3 A to 3 C performs XPS analysis shows the result of obtaining optoelectronic scan Bae-vector from Ci s orbital and S i 2p orbital.
  • the large peak of photoelectrons corresponding to the Cls orbital originates from atmospheric hydrocarbons adsorbed on the substrate surface during transport to the analyzer, but partially overlaps this peak.
  • a chemical shift of the Cls peak caused by the presence of the SiC bond is observed.
  • a similar chemical shift occurs in the spectrum in Fig. 5B, but the spectrum is sharp in Fig. 5C, which corresponds to the sample in Fig. 3C, and the S i C bond is formed in this sample. You can see that it is not.
  • SiC is formed by the reaction of carbon atoms derived from organic substances such as hydrocarbons in the air adsorbed on the silicon substrate surface with the silicon atoms in the silicon substrate during heat treatment. it is conceivable that.
  • FIGS. 3A to 3C show that the surface roughness of the substrate surface sharply increases when the SiC defect is present on the silicon substrate surface.
  • the silicon atoms move freely under the temperature and pressure conditions used in normal semiconductor processes, indicating that atomic layer steps are formed.
  • 6A to 6D show that, in the substrate processing apparatus 30 shown in FIG. 4, the carbon on the substrate surface is first removed by UV-N 2 treatment, and then the pressure is changed to 1060 P in the RTP apparatus 32 while variously changing the temperature.
  • An AFM image showing the surface state of the substrate when heat treatment was performed in the Ar atmosphere of a) is shown.
  • 6A shows the case where the heat treatment was performed at 105 ° C. for 90 seconds
  • FIG. 6B shows the case where the heat treatment was performed at 1000 ° C. for 90 seconds
  • FIG. 6C shows the case where the heat treatment was performed at 950 ° C.
  • FIG. 6D shows the case where the heat treatment was performed at 900 ° C. for 90 seconds.
  • the surface roughness Rms and the maximum unevenness amplitude PV are greatly improved as compared to the cases of Figs. 3A and 3B.
  • the surface roughness Rms is 0.236 nm and the roughness amplitude is 2.13 nm.
  • the surface roughness Rms is 0.202 nm and the maximum roughness amplitude is 3. It is 43 nm.
  • the surface roughness Rms is 0.105 nm, and the roughness amplitude is 1.04 nm.
  • Fig. 6A the surface roughness Rms and the maximum unevenness amplitude PV are greatly improved as compared to the cases of Figs. 3A and 3B.
  • the surface roughness Rms is 0.236 nm and the roughness amplitude is 2.13 nm.
  • the surface roughness Rms is 0.202 nm and the maximum roughness amplitude is 3. It is 43 nm.
  • the surface roughness Rms is 0.105 nm, and the roughness
  • the surface roughness Rms is 0.141 nm, and the maximum roughness amplitude is 1. 45 nm.
  • both the clear surface roughness Rms and the maximum unevenness amplitude are minimized, and a clear atomic layer step is observed.
  • the substrate of a different lot from the silicon substrate of Figs. 3A to 3C was used, and the slight tilt direction was shifted from the [1 10] direction. Therefore, a cross-hatch atomic layer step occurs instead of the terrace atomic layer step as shown in Fig. 3C.
  • FIG from 6 A ⁇ 6D results, UV-N 2 processing substrate planarization heat treatment after the carbon removal by it is understood that preferably performed at a temperature of 950 ° C near.
  • FIGS. 7A to 7C are AFM images showing the surface state of a silicon substrate that has been subjected to various substrate pretreatments and subjected to a flattening heat treatment at 950 ° C.
  • Fig. 7A shows the case where only the native oxide film was removed by DHF treatment on the substrate surface, and then the substrate was heat-treated at 950 ° C.
  • UV-0 2 process performed carbon removal of the substrate table surface by, further when subjected to flattening heat treatment at 950 ° C, further FIG 7 C is DHF treatment After that, the case where carbon removal of the substrate surface is performed by the UV_N 2 treatment described above, and a flattening heat treatment is further performed at 950 ° C is shown.
  • Figure 8 shows the effect of various treatments on carbon removal from the silicon substrate surface.
  • CB r 470 As shown in Table 1, by using an ultraviolet light source having a wavelength of 172 nm as the ultraviolet light source 14B in the substrate processing apparatus 10 of FIG. Can be broken. It can also be seen that using a mercury lamp with a wavelength of 254 nm provides enough energy to break all carbon bonds except double bonds. By irradiating ultraviolet light having a wavelength of approximately 270 nm or less, it is possible to reduce the molecular weight of the hydrocarbon-based polymer adsorbed substance attached to the silicon substrate surface, and to promote separation from the substrate surface. Will be possible. [Second embodiment]
  • FIG. 9 shows the AFM image of FIG. 7C on a larger scale.
  • FIG. 10A, 10 B are, shows the manner in which formed by such an inclined surface of the substrate 3 a thin oxide film 32 to 1, with the substrate processing apparatus 10 of FIG. 2 UV-0 2 radical treatment.
  • the inclined substrate 31 is a model of the silicon substrate in FIG.
  • the atomic layer steps are repeatedly formed on the surface of the silicon substrate, and the oxide film grows to cover the terrace of each step.
  • steps corresponding to the atomic layer steps appear on the surface of the oxide film 32, and a step corresponding thereto appears.
  • the atomic layer steps are transferred to the oxide film 33 in the form of steps.
  • the substrate surface is flattened by polishing as shown in FIG. 11A
  • the substrate surface is irregular when viewed microscopically, so that even when the oxide film 32 is formed, only the irregular surface is obtained. I can't.
  • the irregular irregularities are further amplified, and the formed oxide film has a remarkable film thickness. Will occur.
  • Figure 12 shows the state of the oxide film surface when the oxide film is formed on the structure of Figure 9 according to the models in Figures 10A and 10B to a thickness of 0.4 nm, which is equivalent to 2 atomic layers. M image.
  • the 0.4 nm oxide film was formed using a UV radical substrate processing apparatus 10.
  • steps corresponding to the atomic layer steps of the underlying substrate are clearly transferred to the oxide film surface.
  • Fig. 13 shows the film thickness and oxidation time when a silicon oxide film was formed on the silicon substrate surface after the UV-N2 carbon removal treatment as described above was performed using the UV radical substrate processing apparatus 10 of Fig. 2.
  • the relationship is shown below.
  • the substrate temperature was set at 450 ° C
  • oxygen gas was supplied to the shower head 11B
  • the ultraviolet light irradiation intensity and the oxygen gas flow rate or oxygen partial pressure were varied.
  • me In the oxidation treatment, an excimer lamp having a wavelength of 172 nm is used as the ultraviolet light source 14B.
  • the series 1 data shows that the ultraviolet light irradiation intensity is The relationship between the oxidation time and the oxide film thickness when the reference strength (50 mWZcm 2 ) at the window surface is set to 5%, the process pressure is set to 665 mPa (5 mTorr), and the oxygen gas flow rate is set to 30 SCCM.
  • the ultraviolet light intensity was set to zero, the process pressure was set to 133 Pa (l To rr), and the oxygen gas flow rate was set to 3 SLM. Show the relationship.
  • the oxidation time and the oxide film thickness were set when the ultraviolet light intensity was set to zero, the process pressure was set to 2.66 Pa (20 mTorr), and the oxygen gas flow rate was set to 150 SCCM.
  • the UV irradiation intensity was set to 100%, that is, the reference intensity, the process pressure was set to 2.66 Pa (2 OmT orr), and the oxygen gas flow rate was set to 150 SCCM.
  • the relationship between the oxidation time and the oxide film thickness in the case of the above is shown.
  • the data in series 5 shows the oxidation time and oxidation when the ultraviolet light irradiation intensity was set to 20% of the reference intensity, the process pressure was set to 2.66 Pa (20 mTorr), and the oxygen gas flow rate was set to 150 SCCM. The relationship with the film pressure is shown.
  • the ultraviolet light irradiation intensity was set to 20% of the reference irradiation intensity, the process pressure was set to about 67 Pa (0.5 To rr), and the oxygen gas flow rate was set to The relationship between the oxidation time and the oxide film thickness when set to 0.5 SLM is shown.
  • Series 7 data shows the oxidation time and oxide film when the UV light irradiation intensity is set to 20% of the reference intensity, the process pressure is set to 665 Pa (5 T orr), and the oxygen gas flow rate is set to 2 SLM.
  • the UV light irradiation intensity was set to 5% of the reference intensity, the process pressure was set to 2.66 Pa (2 OmT orr), and the oxygen gas flow rate was set to 150 SCCM.
  • the relationship between the oxidation time and the oxide film thickness in the case of the above is shown.
  • the oxide film thickness is obtained by the XPS method. However, there is no unified method for obtaining the extremely thin oxide film thickness of less than 1 nm at this time.
  • the inventor of the present invention proposed that the observed S 122 > orbit shown in FIG.
  • the background correction and the separation correction of the 3Z2 spin state and the 1/2 spin state were performed on the three spectra, and the resulting S i 2p 3/2 XPS spectrum shown in Fig. 15 was obtained.
  • the oxide film using the equation and coefficients shown in equation (1) according to the teachings of Lu et al. (ZH Lu, et al., Appl. Phys, Lett. 71 (1997), pp. 2764). was determined.
  • Equation (1) ⁇ is the detection angle of the XPS spectrum, and is set to 30 ° in the example shown.
  • iota chi + is the integrated intensity of spectrum Torupi click corresponding to oxide film ( ⁇ 1 ⁇ + ⁇ 2 ⁇ + ⁇ 3 ⁇ + ⁇ 4 ⁇ ), in FIG. 1 5, 1 02 through: 1 04 e V This corresponds to the peak seen in the energy region.
  • 1 0+ corresponds to the energy region of 1 0 0 e V near, corresponding to the integral strength of the resulting Surusupeku Torupiku the silicon substrate.
  • the oxide film thickness is initially 0 nm.
  • the series 4, 5, 6, and 7 in which the UV light irradiation power is set to 20% or more of the reference intensity are schematically shown in Fig. 16.
  • the oxide film growth stops after reaching the thickness of about 0.4 nm after the start of the growth, and the growth is rapidly restarted after a certain dwell time.
  • FIG. 13 and FIG. 16 means that an extremely thin oxide film having a thickness of about 0.4 nm can be formed stably in the oxidation treatment of the silicon substrate surface.
  • the oxide film to be formed has a uniform thickness. That is, according to the present invention, an oxide film having a thickness of about 0.4 nm can be formed on a silicon substrate to a uniform thickness.
  • FIGS. 17A and 17B schematically show a process of forming a thin oxide film on such a silicon substrate. It should be noted that these figures greatly simplify the structure on the silicon (100) substrate.
  • two oxygen atoms are bonded to one silicon atom on the silicon substrate surface to form a single atomic layer of oxygen.
  • the silicon atoms on the substrate surface are coordinated by two silicon atoms inside the substrate and two oxygen atoms on the substrate surface to form a suboxide.
  • the oxide film thickness is 0.1 nm or 0.2 nm
  • the low peak seen in the energy range of 101 to 104 eV corresponds to the suboxide in Fig. 17A.
  • the oxide film thickness exceeds 0.3 nm
  • the peak appearing in this energy region is attributable to Si 4+ , which is considered to indicate the formation of an oxide film exceeding one atomic layer. .
  • FIG. 18 shows a configuration of a semiconductor device 30 formed by the substrate processing step according to the first embodiment of the present invention.
  • portions corresponding to the portions described above are denoted by the same reference numerals, and description thereof will be omitted.
  • the semiconductor device 30 is formed on a silicon substrate 31 which is flattened to the extent that an atomic layer step appears, and the semiconductor device 30 has a thickness of about 0.3 corresponding to 2 to 3 atomic layers on the silicon substrate 31.
  • FIG. 19 shows a configuration of a cluster type substrate processing apparatus 20A used for manufacturing the semiconductor device 30 of FIG.
  • the parts described above are denoted by the same reference numerals, and description thereof will be omitted.
  • the cluster-type substrate processing apparatus 2 OA is a vacuum transfer chamber equipped with a substrate transfer mechanism with a substrate loading / unloading module 23 coupled thereto, similarly to the cluster-type substrate processing apparatus 20 described above with reference to FIG.
  • the substrate processing apparatus 10 and a substrate processing apparatus 1 OA having a similar configuration are coupled to the vacuum transfer chamber 21.
  • board processor 10 performs the foregoing UV-N2 processing, the substrate processing apparatus 10 1; performing over 0 2 treatment.
  • a rapid thermal processing chamber 22, a CVD processing chamber 25 for depositing a high dielectric film, and a cooling chamber 24 are connected to the vacuum transfer chamber 21.
  • the substrate to be processed is introduced into the substrate loading and unloading module 23 is sent to the UV-N 2 processing chamber 10 through the vacuum transfer path 21, carbon removal processing described above are rope lines.
  • the substrate 21 from which carbon has been removed in the UV-N 2 processing chamber 10 is then sent to a rapid thermal processing chamber 22 where a flattening process at the atomic layer level is performed.
  • Further target substrate that ended the planarization process such atomic layer level is sent to the UV-0 2 process chambers 10 A, the 4 nm approximately the thickness of the base oxide film such as an oxide layer 32 of FIG. 18 It is formed. Further Thus treated board to the base oxide film formed thereon is sent to the CVD chamber 25, for example by atomic layer deposition (ALD) method, Z r 0 2, H f O2, Z r S i Ox, H f S i Ox, high dielectric film such as T a 2 0 5, a 12O3 is deposited one atomic layer.
  • ALD atomic layer deposition
  • FIG. 20 shows that a ZrSIOx film was formed as the high dielectric film 34 on the oxide film 32 of FIG. 18 thus formed, and further an electrode film was formed on the high dielectric film 34.
  • the relationship between the equivalent thermal oxide film thickness T eq and the leakage current Ig obtained for the laminated structure is shown.
  • the leakage current characteristics in FIG. 20 are measured in a state where a voltage of Vfb_0.8 V is applied between the electrode film and the silicon substrate with reference to the flat band voltage Vfb.
  • FIG. 20 also shows the leakage current characteristics of the thermal oxide film.
  • the reduced film thickness shown is for a structure in which an oxide film and a ZrSiox film are combined.
  • the leak current density exceeds the leak current density of the thermal oxide film. It can be seen that the thickness Te q also becomes a relatively large value of about 1.7 nm.
  • the thickness of the oxide film 32 is increased from 0 nm to 0.4 nm, the value of the thermal oxide equivalent film thickness T eq starts to decrease.
  • the physical thickness of the equivalent thickness T eq but it should be increased when the actual reduced It is, but if you directly form the Z r 0 2 film on silicon substrate, diffusion is had to the silicon substrate of Z r atom diffusion into the Z r S i Ox film of S i atom Large scale, silicon substrate and ZrS This suggests that a thick interfacial layer is formed between the i Ox film.
  • the film thickness near 0.4 nm where the growth of the oxide film observed in Fig. 13 stops does not correspond to the minimum value of the reduced film thickness of the system composed of the oxide film and the high dielectric film.
  • the stable oxide film 32 shown in FIG. 18 effectively prevents the metal element such as Zr from diffusing into the silicon substrate, and further increases the oxide film thickness.
  • the effect of preventing diffusion of metal elements is not so high.
  • the value of leakage current when using an oxide film with a thickness of 0.4 nm is about two orders of magnitude smaller than the value of leakage current with a corresponding thickness of thermal oxide film. It can be seen that the gate leakage current can be minimized by using it for the gate insulating film of the MOS transistor.
  • FIGS. 21A to 21C show the oxide film growth on each terrace surface on the silicon substrate 31 of FIG.
  • the thickness value of the oxide film 32 in FIG. 21C may be different depending on the measurement method.
  • the thickness at which oxide film growth stops is equivalent to the thickness of two atomic layers, and therefore, the preferred thickness of oxide film 32 Is considered to be about 2 atomic layers thick.
  • This preferred The thick thickness includes a case where a region having a thickness of three atomic layers is formed partially so that the thickness of two atomic layers is secured over the entire oxide film 22. That is, it is considered that the preferable thickness of the oxide film 22 is actually in the range of 2 to 3 atomic layers.
  • a silicon oxide film with a thickness of 0.4 nm or in the range of 2 to 3 atomic layers can be formed stably and with good reproducibility. It is possible to realize a very fine high-speed MOS transistor with a small effective film thickness.
  • the oxide film 32 in this embodiment is set to the oxide film formed by UV_0 2 radical Sani spoon treatment, oxide film 32 is not limited to such an oxide film, precisely at a lower radical density Any film may be used as long as it is an oxide film formed by an oxidation method capable of performing oxidation.
  • Figure 22 is a diagram for explaining conditions of radical oxidation process performed in UV_0 2 processing chamber 1 OA in Figure 1 9.
  • the horizontal axis shows the partial pressure in ⁇ rr units of oxygen radicals excited by the ultraviolet light source on a logarithmic scale
  • the vertical axis shows the stationary phenomenon shown in FIG. 13 after the process started.
  • the process time until it occurs and the process time until the stagnation disappears is also shown on a logarithmic scale.
  • the oxygen radical partial pressure on the horizontal axis corresponds to the oxygen radical density, and is determined by the driving power of the ultraviolet light source or the ultraviolet light irradiation intensity and the ultraviolet light wavelength.
  • the relationship between the ultraviolet light irradiation intensity and the radical density will be described below using an example in which an ultraviolet light wavelength of 172 nm is used.
  • ultraviolet light strength of the right under the window surface of 100% drive state is 5 OmW / cm 2, the process pressure 0. 02To rr (2. 66 P a )
  • the ultraviolet light source forms a photon flux of 4.34 ⁇ 10 16 Zcm 2 ⁇ sec.
  • the ultraviolet light source is a tubular lamp having a width of 2 cm and irradiating a silicon wafer having a diameter of 20 cm with this lamp, the average photon flux value on the silicon wafer surface is the photon flux value. about 1/10, 4. a 34 X 10 15 Zc m 2.
  • the amount of radicals absorbed by the process atmosphere while the ultraviolet light travels a distance of 20 cm in the processing vessel is calculated based on the photon flux value of 4.34 ⁇ 10 15 / cm 2 per unit area per unit time. Is multiplied by a ratio of 0.0084 to obtain 3.65 ⁇ 10 13 cm 2 * sec. At the same ratio, oxygen radicals are formed in the processing vessel.
  • the oxygen gas flow rate is 150 S CCM
  • the resulting oxygen radical concentration is about 3.42 X 10 " 6 Torr (4.54 X 10" 4 Pa).
  • the retention time from the occurrence of a retention phenomenon to its disappearance depends on the radical density.
  • the retention time decreases when the radical density is high, while the retention time increases when the radical density is low.
  • the radical density naturally has a lower limit. If the retention time is too short, an oxide film having a preferable thickness of 2 to 3 atomic layers cannot be formed stably, so that the radical density naturally has an upper limit.
  • Fig. 22 shows an example of the case where the substrate is oxidized at 450 ° C using ultraviolet light with a wavelength of 172 nm for the radical oxidation treatment.
  • the lower limit of the radical partial pressure is determined by the allowable process time. as 5 minutes (300 seconds) or less, l X 10- 4 mTo rr ( 133 X 10 -7 P a), the upper limit of the radical partial pressure, a need dwell time as above for approximately 100 seconds, lmTo rr (133 X 10 3 P a).
  • the corresponding ultraviolet light irradiation power is 5 to 5 OmW Zcm 2 immediately below the window of the light source 14B.
  • the interval between the two straight lines representing the occurrence and disappearance of the stagnant phenomenon seems to increase as the radical partial pressure increases, but the vertical and horizontal axes in Fig. 22 are plotted logarithmically. Therefore, the value of the dwell time corresponding to the interval actually decreases with the radical partial pressure.
  • the partial pressure of oxygen gas is 1 to :! It is preferable to set the value in the range of OO OmTorr (133 X 10 " 3 Pa to l 33 Pa).
  • the oxygen partial pressure in the atmosphere is set to 0.05 to 50 mTorr in consideration of the light absorption that is 25 times larger than the wavelength of 172 nm. (6.7 mPa to 6.7 Pa).
  • the oxide film formed in this way has a thickness of 2 to 3 atomic layers and is used as the base oxide film 3 of the ultra-high-speed MOS transistor as shown in Fig. 1.
  • the nitrogen atoms must not penetrate into the silicon substrate, and the flatness of the interface between the silicon substrate 2 and the base oxide film 3 must be deteriorated.
  • FIG. 23 shows a substrate processing according to the third embodiment of the present invention for forming an extremely thin base oxide film 12 including an oxynitride film 12 A on a flattened silicon substrate 11 surface.
  • 1 shows a schematic configuration of an apparatus 40.
  • the substrate processing apparatus 40 houses a substrate holding table 42 provided with a heater 42A and provided vertically movable between a process position and a substrate loading / unloading position.
  • the apparatus includes a processing container 41 that defines a process space 41B together with the substrate holder 42, and the substrate holder 42 is rotated by a drive mechanism 42C.
  • a magnetic seal 48 is formed at the joint between the substrate holder 42 and the drive mechanism 42C, and the magnetic seal 48 is connected to a magnetic seal chamber 42B held in a vacuum environment and an air environment.
  • the drive mechanism formed inside is separated from 42C. Since the magnetic seal 48 is liquid, the substrate holder 42 is rotatably held.
  • the substrate holding table 42 is at the process position, and a loading / unloading chamber 41C for loading / unloading the substrate to be processed is formed below.
  • the processing vessel 41 is connected to the substrate transfer unit 47 via a gate valve 47A.
  • the gate valve 47 is The substrate W to be processed is transferred from the substrate transfer unit 47 to the substrate holder 42 via A, and the processed substrate W is transferred from the substrate holder 42 to the substrate transfer unit 47.
  • the exhaust port is formed in a near have portions gate valve 47 A of the processing container 41, the exhaust port 4 1 A turbo-molecular pump 43 through a valve 47 A to B Are combined.
  • the turbo molecular pump 43B is further connected with a pump 44 constituted by combining a dry pump and a mechanical booster pump via a valve 43C to drive the turbo molecular pump 43B and the dry pump 44. by the pressure of the processing space 41 B 1.
  • 33 X 10 - it is possible to vacuum up 1 ⁇ 1.
  • 33 X l O- 4 P a (10 ⁇ 3 ⁇ 10- 6 T orr)
  • the exhaust port 41A is also directly connected to the pump 44 via the valve 44A and the APC 44B, and by opening the valve 44A, the process space becomes 1.
  • the pressure is reduced to a pressure of 33 Pa to 13.3 kPa (0.01 to 100 Torr).
  • the processing container 41 is provided with a processing gas supply nozzle 41 D to which oxygen gas is supplied on a side opposite to the exhaust port 41 A with the substrate W to be processed separated therebetween, and the processing gas supply nozzle 41 D
  • the oxygen gas supplied to the substrate flows through the process space 41B along the surface of the substrate W to be processed, and is exhausted from the exhaust port 41A.
  • An ultraviolet light source 45 having a quartz window 45A is provided corresponding to a region between the substrate W to be processed.
  • the oxygen gas introduced from the processing gas supply nozzle 41D into the process space 41B is activated, and the oxygen radicals formed as a result are deposited on the surface of the substrate W to be processed. Flows along the surface.
  • a radical oxide film having a thickness of 1 nm or less, particularly a thickness of about 0.4 nm corresponding to a thickness of 2 to 3 atomic layers can be formed on the surface of the substrate W to be processed. Will be possible.
  • a remote plasma source 46 is formed on the side of the processing target substrate W facing the exhaust port 41A. Therefore, by supplying a nitrogen gas together with an inert gas such as Ar to the remote plasma source 46 and activating the nitrogen gas with the plasma, it is possible to form nitrogen radicals. Like this The formed nitrogen radicals flow along the surface of the substrate W to be processed, and nitride the substrate surface. The substrate surface can be oxidized by introducing oxygen instead of nitrogen into the remote plasma source 46.
  • a purge line 41c for purging the loading / unloading chamber 41C with nitrogen gas is further provided, and the magnetic sealing chamber 42B is further purged with nitrogen gas.
  • a purge line 42b and an exhaust line 42c thereof are provided. More specifically, a turbo molecular pump 49 B is connected to the exhaust line 42 c via a valve 49 A, and the turbo molecular pump 49 B is connected to the pump 44 via a valve 49 C. Are combined.
  • the exhaust line 42c is directly connected to the pump 44 via a valve 49D, which makes it possible to maintain the magnetic seal chamber 42B at various pressures.
  • the loading / unloading chamber 41 C is exhausted by a pump 44 via a valve 44 C, or is exhausted by a turbo molecular pump 43 B via a valve 43 D.
  • the loading / unloading chamber 41C is maintained at a lower pressure than the process space 41B, and the magnetic seal chamber 42B is maintained at a different pressure.
  • the pressure is maintained at a lower level than that of the loading / unloading chamber 41 C.
  • 24A and 24B are a side view and a plan view, respectively, showing a case where the substrate W to be processed is subjected to radical oxidation using the substrate processing apparatus 40 of FIG.
  • a silicon substrate that has been subjected to the carbon removal and planarization processing described in the previous embodiment is introduced into the processing container 41 as a substrate W to be processed.
  • oxygen gas is supplied from the processing gas supply nozzle 41D.
  • the oxygen supplied in this manner flows along the surface of the substrate W to be processed, and then is discharged. Vent 41 A, exhausted through turbo molecular pump 43 B and pump 44.
  • 1 0 required for the oxidation by oxygen radicals in the process pressure substrate W of the processing space 4 1 B - is set to the 6 T orr range - 3 to 1 0 You.
  • oxygen radicals are formed in the oxygen gas stream thus formed by driving an ultraviolet light source 45 that preferably generates ultraviolet light having a wavelength of 172 nm.
  • the formed oxygen radicals oxidize the rotating substrate surface when flowing along the surface of the substrate W to be processed.
  • FIG. 24B shows a plan view of the configuration of FIG. 24A.
  • the ultraviolet light source 45 is a tubular light source extending in a direction intersecting the direction of the oxygen gas flow, and the turbo molecular pump 43B is processed through the exhaust port 41A. It can be seen that the space 41B is exhausted. On the other hand, the exhaust path indicated by a dotted line in FIG. 24B, which directly reaches the pump 44 from the exhaust port 41A, is shut off by closing the valve 44A.
  • the turbo molecular pump 43 B is arranged so as to protrude to the side of the processing container 41, avoiding the substrate transfer unit 47.
  • a very thin silicon oxide film is formed on the surface of the silicon substrate W corresponding to each terrace of FIG.
  • the stopping phenomenon described earlier with reference to FIG. 13 or FIG. 16 appears, and by utilizing the strong stopping phenomenon, the oxide film thickness is reduced first. As described, it is possible to set the thickness to about 0.4 nm, which corresponds to a few atomic layers.
  • FIG. 25 shows a configuration of a remote plasma source 46 used in the substrate processing apparatus 40 of FIG.
  • a remote plasma source 46 has a typical gas circulation passage 46 a and a gas inlet 46 b and a gas outlet 46 c communicating with the gas circulation passage 46 a.
  • the inner surfaces of the gas circulation passage 46a, the gas inlet 46b, and the gas outlet 46c are provided with a fluororesin coating 46d, and the coil wound around the light core 46B has a frequency of 40%.
  • plasma 46 C is formed in the gas circulation passage 46 a.
  • FIG. 26 shows the relationship between the number of ions formed by the remote plasma source 46 of FIG. 25 and the electron energy in comparison with the case of the microphone mouth-wave plasma source.
  • Table 2 compares the ionization energy conversion efficiency, dischargeable pressure range, plasma power consumption, and process gas flow rate between when plasma is excited by microwaves and when plasma is excited by high frequencies. Is shown. Table 2
  • the nitridation of the oxide film is performed not by nitrogen ions but by nitrogen radical N 2 *, so that the number of excited nitrogen ions is preferably small. Also, from the viewpoint of minimizing damage to the substrate to be processed, it is preferable that the number of excited nitrogen ions is small. Further, in the substrate processing apparatus shown in FIG. 23, the number of excited nitrogen radicals is small, and a very thin base oxide film having a thickness of at most about 2 to 3 atomic layers under the high dielectric gate insulating film is nitrided. It is suitable to do.
  • FIGS. 28A and 28B are a side view and a plan view, respectively, showing a case where the substrate W to be processed is subjected to radical nitriding using the substrate processing apparatus 40 of FIG.
  • Ar gas and nitrogen gas are supplied to the remote plasma radical source 46, and nitrogen radicals are formed by exciting the plasma at a high frequency of several hundred kHz.
  • the formed nitrogen radicals flow along the surface of the substrate W to be processed, and are exhausted through the exhaust port 41A and the pump 44.
  • the process space 41B is set to a process pressure in the range of 1.33 Pa to 13.3 kPa (0.01 to LO O rr) suitable for radical nitridation of the substrate W. .
  • the valve is used in a purge process prior to the nitridation process.
  • 43 A and 43 C are opened, pressure mosquitoes of the processing space 418 by the valve 24 A is closed 1.
  • 33 X 10 - is reduced to a pressure of 1 ⁇ 1.
  • 33 X 10- 4 P a punished
  • the oxygen and moisture remaining in the processing space 41 B are purged, but in the subsequent nitriding treatment, the valves 43 A and 43 C are closed, and the turbo molecular pump 43 B is included in the exhaust path of the process space 41 B.
  • the substrate processing apparatus 40 of FIG. 23 it becomes possible to form an extremely thin oxide film on the surface of the substrate W to be processed, and to further nitride the oxide film surface.
  • FIG. 29A shows an oxide film formed to a thickness of 2.5 nm on a Si substrate by thermal oxidation using the substrate processing apparatus 40 shown in Fig. 23 using the RF remote plasma source 46 shown in Fig. 25.
  • FIG. 29 shows a nitrogen concentration distribution in the oxide film when nitrided under the conditions shown in FIG. 3, and
  • FIG. 29B shows a relationship between the nitrogen concentration distribution and the oxygen concentration distribution in the same oxide film. Table 3
  • the residual oxygen is diluted with Ar and nitrogen in the processing space 41B, and the residual oxygen concentration, and hence the heat of the residual oxygen, is reduced.
  • the mechanical activity is very small.
  • the pressure is about the same as the purge pressure. Therefore, it is considered that the residual oxygen has high thermodynamic activity in the plasma atmosphere.
  • Figure 30 shows the principle of the measurement of Figure 29A performed using XPS (X-ray photoelectron spectroscopy).
  • a sample having an oxide film 3 formed on a silicon substrate 2 is irradiated with X-rays obliquely at a predetermined angle, and the excited photoelectron spectrum is detected by detectors DET 1 and DET. 2 detects at various angles.
  • the path of the excitation photoelectrons in the oxide film 12 is short in the detector DET 1 set at a deep detection angle of, for example, 90 °, so that the photoelectron spectrum detected by the detector DET 1 has Although a large amount of information below the oxide film 3 is included, the detector DET 2 set at a shallow detection angle has a long path of the excited photoelectrons in the oxide film 3, and therefore, the detector DET 2 is mainly oxidized. The information near the surface of the film 3 is detected.
  • FIG. 29B shows the relationship between the nitrogen concentration and the oxygen concentration in the oxide film.
  • the oxygen concentration is represented by the X-ray intensity corresponding to the 0 ls orbital.
  • the nitriding of the oxide film is performed by microwave plasma such a substitution relationship is not observed, and a relationship in which the oxygen concentration decreases with the nitrogen concentration is not observed.
  • an increase in oxygen concentration was observed in the case where 5 to 6% of nitrogen was introduced by microwave nitridation, which indicates that the oxide film increased with nitridation. It suggests.
  • FIG. 31 shows that an oxide film was formed to a thickness of 4 A (0.4 nm) and 7 A (0.7 nm) in the substrate processing apparatus 40 of FIG. The relationship between the nitridation time and the nitrogen concentration in the film when nitriding by the nitridation process of FIGS. 28A and 28B is shown.
  • FIG. 32 shows a state in which nitrogen is deflected to the surface of the oxide film due to the nitriding treatment shown in FIG. Figures 31 and 32 also show the cases where the oxide film was formed to a thickness of 5 A (0.5 nm) and 7 A (0.7 nm) by rapid thermal oxidation.
  • the nitrogen concentration in the film increases with the nitridation time for any of the oxide films, and particularly corresponds to the two atomic layers formed by ultraviolet radical oxidation.
  • the nitrogen concentration in the film is the highest.
  • FIG. 32 shows the result of detecting the nitrogen concentration in FIG. 30 by setting the detectors DET 1 and DET 2 at the detection angles of 30 ° and 90 °, respectively.
  • the vertical axis of Fig. 32 shows that the X-ray spectrum intensity from the nitrogen atoms segregated on the film surface obtained at a detection angle of 30 ° is distributed over the entire film obtained at a detection angle of 90 °. It is divided by the value of the X-ray spectrum intensity from the nitrogen atom. If this value is large, it indicates that the surface is biased by nitrogen.
  • the nitrogen atoms were initially biased toward the surface, but after the nitriding treatment for 90 seconds, It can be seen that the particles are almost uniformly distributed in the film. It can also be seen that the distribution of nitrogen atoms in the other films becomes almost uniform after nitriding for 90 seconds.
  • FIG. 33 shows the variation of the thickness of the oxynitride film thus obtained for each wafer.
  • the result of FIG. 33 is such that the thickness of the oxide film determined by XPS measurement is 0.4 nm during the ultraviolet radical oxidation treatment performed by driving the ultraviolet light source 45 in the configuration of FIG. An oxide film is formed and then This is a case where the oxide film formed as described above is converted into an oxynitride film containing about 4% of nitrogen atoms by a nitriding treatment performed by driving the remote plasma source 46.
  • the vertical axis indicates the film thickness obtained by ellipsometry for the oxynitride film obtained in this manner. As can be seen from FIG. 33, the obtained film thickness is approximately 8 A ( 0.8 nm).
  • an oxide film having a thickness of 0.4 nm is formed on a silicon substrate by radical oxidation using an ultraviolet light source 45 by the substrate processing apparatus 40 of FIG. 23, and is then nitrided by a remote plasma source 46.
  • the results of examining the increase in film thickness due to nitriding in this case are shown.
  • the oxide film having a thickness of about 0.38 nm at the beginning has a thickness of about 0.38 nm when 4 to 7% of nitrogen atoms are introduced by the nitriding treatment. It can be seen that it has increased to 0.5 nm. On the other hand, when about 15% of nitrogen atoms are introduced by nitridation, the film thickness increases to about 1.3 nm. In this case, the introduced nitrogen atoms pass through the oxide film and are introduced into the silicon substrate. It is thought that they have penetrated into the film and formed a nitride film.
  • FIG. 34 the relationship between the nitrogen concentration and the film thickness for an ideal model structure in which only one layer of nitrogen is introduced into a 0.4-nm-thick oxide film is shown.
  • the film thickness after the introduction of nitrogen atoms is about 0.5 nm, in which case the film thickness increase is about 0.11111, and the nitrogen concentration is about 1 nm. 2%. Based on this model, it can be concluded that when nitriding the oxide film using the substrate processing apparatus 40 in FIG. 23, it is preferable to suppress the increase in the film thickness to about 0.1 to 0.2 nm, which is the same level. . At that time, the amount of nitrogen atoms taken into the film is estimated to be up to about 12%.
  • FIGS. 35A and 35B show an oxide film formed on a silicon substrate W by the substrate processing apparatus 40 of FIG. 23 to a thickness of 2 nm while rotating the silicon substrate W by a driving mechanism 42C.
  • the results of measuring the nitrogen concentration distribution and the film thickness distribution of the nitride film are shown.
  • the Ar gas was pumped at a substrate temperature of 450 ° C under a pressure of 133 Pa while rotating the silicon substrate formed to a thickness of 2 nm.
  • LM and nitrogen gas are supplied at a flow rate of 50 sccm.
  • FIG. 35A shows the portion of the substrate surface where nitrogen is concentrated.
  • FIG. 35B shows the thickness of the oxynitride film determined by ellipsometry and the nitrogen concentration determined by XPS analysis.
  • FIGS. 35A and 35B show that the asymmetric processing is achieved by rotating the substrate W in this manner in the substrate processing apparatus 40 of FIG. 23 and further optimizing the flow rates of the Ar gas and the nitrogen gas. This shows that even in the substrate processing apparatus 40 in which a radical flow is generated, a substantially uniform nitrogen distribution can be realized over the entire surface of the substrate W.
  • the high-dielectric layer is formed on the base oxide film 3 formed by such a substrate processing apparatus 40.
  • Film 4 needs to be formed.
  • High dielectric film 4 is typically formed by a CVD method, for example, in the case that form a Z r 0 2 film using a vapor phase material containing Z r C 1 4 and other Z r, oxidized to This deposits a ZrO 2 film.
  • Such a high dielectric film 13 is preferably performed without exposing the substrate to be processed to the outside air following the nitriding step of the radical oxide film shown in FIGS. 28A and 28B. For this reason, it is desirable to incorporate the substrate processing apparatus 40 of FIG. 23 into a cluster type substrate processing apparatus including a CVD chamber. In addition, it is preferable that such a cluster-type substrate processing apparatus incorporate the above-described organic substance removing step by UV_N 2 processing and a flattening processing step at an atomic layer level.
  • FIG. 36 shows a schematic configuration of such a cluster type substrate processing apparatus 50 according to the fourth embodiment of the present invention.
  • the cluster type substrate processing apparatus 50 includes a cassette module 51 for taking in and out the substrate W to be processed, and a substrate transfer chamber 5 coupled to the cassette module 51 via a gate valve.
  • the substrate transfer chamber 52 further includes a substrate cleaning chamber 53 for performing DHF processing, a UV-N2 processing chamber 54 for performing organic substance removal processing described in the previous embodiment, and a flattening processing.
  • Rapid heat treatment chamber (RTP) 55, Fig. 23 The nitriding treatment by forming and plasma radical of the base oxide film by including UV-0 2 radical treatment the substrate processing apparatus 4 0 performs UV- 0 2 / PLAS MA- due N2 treatment chamber 5 6, ALD technique of high dielectric film
  • the CVD chamber 57 for deposition and the cooling chamber 58 are connected.
  • the substrate W to be processed introduced from the cassette module 51 into the substrate transfer chamber 52 is first sent to the substrate cleaning chamber 53, where the natural oxide film is removed. Then the target substrate W is fed to the substrate transfer chamber 5 2 UV-N 2 processing chamber 5 4, organic matter is removed. Further, the substrate W to be processed is transferred to the RTP chamber 55 via the substrate transfer chamber 52, and is subjected to a flattening process at an atomic layer level.
  • the substrate W subjected to the flattening process in this manner is then sent to the UV-O 2 ZPLAS MA-N2 processing chamber 56 via the substrate transfer chamber 52, where the base oxide film 3 and the nitride A film 3A is formed.
  • the substrate W to be processed is sent through the substrate transfer chamber 52 to the CVD chamber 57, where the high dielectric film 4 is formed, and further sent to the rapid thermal processing chamber 55, where crystallization and oxygen deficiency compensation are performed. Done. After the processing in the rapid thermal processing chamber 55, the substrate W to be processed is sent to the cassette module 51 through the substrate transfer chamber 52.
  • the processing container 41 is coupled to the substrate transfer chamber 52.
  • FIG. 24B a problem arises in that the turbo-molecular pump 43B protruding laterally on the side of the processing chamber 41 near the substrate transfer chamber 52 interferes with the adjacent processing chamber.
  • the turbo molecular pump 4 3 B must be provided near the exhaust port 41 A in order to quickly depressurize the processing container 41, but various devices such as a transfer robot are provided below the substrate transfer chamber 42. There is no space available for this. Also, Various devices such as the substrate rotating mechanism 42C are provided below the processing container 41, and a space for installing the turbo molecular pump 23B cannot be obtained.
  • FIGS. 37A and 37B are a side view and a plan view, respectively, showing the configuration of a substrate processing apparatus 4OA according to one embodiment of the present invention.
  • the parts described above are denoted by the same reference numerals, and description thereof is omitted.
  • the substrate processing apparatus 40 includes a turbo-molecular pump 23 B and the outside of a processing vessel 41 where a space can be obtained when a cluster type substrate processing apparatus as shown in FIG. It is arranged on the side opposite to the substrate transport unit 47. Accordingly, an exhaust port 41E cooperating with the turbo-molecular pump 43B is formed in the processing container 41 on the side opposite to the substrate transfer chamber. Further, the processing gas nozzle 41D for introducing oxygen and the ultraviolet light source 45 are arranged so that oxygen radicals flow to the exhaust port 471E through the surface of the substrate W to be processed. It is provided on the side close to.
  • the turbo molecular pump 43B is connected via a valve 43A in a direction perpendicular to the lower part of the processing container 41, that is, in a direction in which an intake port and an exhaust port are vertically arranged.
  • the exhaust port of the turbo-molecular pump 43B is connected to the exhaust line from the exhaust port 41A of the processing container 41 to the pump 44 via the valve 44A, behind the valve 44A.
  • the turbo molecular pump 43B is formed and arranged on the outside, that is, on the side opposite to the substrate transport unit 47, a cluster type substrate processing apparatus as shown in FIG. However, there is no problem that the turbo molecular pump 43B interferes with the adjacent processing chamber.
  • 38A and 38B show a step of forming the base oxide film 3 of FIG. 1 using the substrate processing apparatus 4OA.
  • valves 43A and 43C are opened and valve 44A is closed in the base oxide film forming step.
  • the process space 43 B is 1. 33 X 1 0 by the turbo molecular pump 43B in the exhaust port 4 1 E - 1 ⁇ 1.
  • SSX l O ⁇ P a (10-3 ⁇ : L 0 ⁇ 6 ⁇ rr )
  • oxygen gas is introduced into the process space 41B from the processing gas nozzle 41D in this state. Is done.
  • the ultraviolet light source 45 by driving the ultraviolet light source 45 with appropriate energy while rotating the substrate W to be processed by the substrate rotating mechanism 42C, the formed oxygen radicals flow to the exhaust port 41E along the substrate surface, The substrate surface is uniformly oxidized.
  • a very thin silicon oxide film with a thickness of less than 1 nm, especially about 0.4 nm corresponding to the thickness of 2 to 3 atomic layers, can be uniformly reproduced on the silicon substrate surface. It is possible to form well and stably. Of course, it is possible to form silicon oxide films with a thickness of more than 1 nm.
  • the surface of the formed base oxide film 12 is nitrided after the process of FIGS. 38A and 38B using the substrate processing apparatus 4 OA of this embodiment, and the oxynitride film 12 A The step of forming is shown.
  • valves 43A and 43C are closed and the valve 44A is opened.
  • the turbo molecular pump 43B is shut off from the exhaust system, and the process space 41B is directly evacuated by the pump 44, and 1.33 Pa: 13.3 kPa (0.01: LO OTo rr).
  • an Ar gas and a nitrogen gas are supplied to the remote plasma source 46, and are further excited at a high frequency to form nitrogen radicals.
  • the formed nitrogen radicals flow to the exhaust port 41A along the surface of the target substrate W, and uniformly nitride the surface of the target substrate W rotating at that time.
  • the surface of the base oxide film 3 shown in FIG. 1 is converted into an oxynitride film 3A.
  • the substrate processing apparatus 4 OA of the present embodiment is used in the processing chamber 56 in the cluster type substrate processing apparatus 50 shown in FIG. 36, so that the base oxide film 3 including the oxynitride film 3 A formed in this manner is , Z r 0 2 subsequently, H f O 2, Ta 2 0 5, Z r S i O 4, Hf S i O 4, a 1 2 0 3 can form a high dielectric film 4, such as the Become.
  • Figure 4 0 A, 4 OB shows a fifth embodiment according to UV-N 2 substrate processing apparatus 6 0 structure of the present invention.
  • the substrate processing apparatus 60 is a modified example of the substrate processing apparatus 10 of FIG. 2, and the substrate to be processed 62 is exhausted from the exhaust port 61A.
  • the processing container 61 is provided, and the substrate to be processed 62 is held in the processing container 61 on an optically transparent substrate holding table 61 B made of quartz glass. Further, a quartz glass shield head 61 C is formed in the processing container 61 so as to face the substrate to be processed 62.
  • a quartz glass window 61D is formed on the upper portion of the processing container 61 so as to face the substrate 62 to be processed.
  • a plurality of linear glass windows are formed outside the quartz glass window 61D.
  • An ultraviolet light source 62 in which a kisima lamp is arranged is formed.
  • Another quartz glass window 6 1E is also formed at the bottom of the processing vessel 61 in correspondence with the bottom surface of the substrate to be processed 62, and outside the quartz glass window 6 1E, An infrared heating lamp 63 is formed. Further, a movable shutter mechanism 64 is formed between the quartz glass window 61D and the ultraviolet light source 62 to protect the ultraviolet light source 62.
  • the infrared!] P heat lamp 63 is not driven.
  • organic substances adhering to the surface of the silicon substrate 62 due to hydrocarbons and the like contained in the air are decomposed by ultraviolet light from the ultraviolet light source 62, and nitrogen gas is removed. And is discharged out of the processing container 61.
  • the ultraviolet light source 62 is deenergized, and after closing the shutter mechanism 64, Ar gas is introduced into the processing container 61. Further, by driving the infrared heating lamp 63, the silicon substrate 62 is heated, and silicon atoms on the substrate surface move to form an atomic layer step.
  • the silicon substrate 62 is heated, and silicon atoms on the substrate surface move to form an atomic layer step.
  • defects such as SiC are not formed on the silicon substrate surface, and the silicon atoms are By No pinning. Therefore, if the temperature is higher than 940 ° C, silicon atoms can move freely on the surface of the silicon substrate.
  • the infrared heating lamp 63 is deenergized, returns to the state of FIG. 4OA again, the shutter mechanism 64 is opened, and oxygen gas is introduced into the processing container 61. Is done. Further, by driving the ultraviolet light source 63, the surface of the silicon substrate 62, which has been flattened to the atomic layer level in the step of FIG. Is formed.
  • the processing temperature and pressure are values that can be used in normal semiconductor device manufacturing, and the hydrogen processing is not required. It is suitable for constructing a cluster type single wafer processing equipment together with the above substrate processing equipment.
  • carbon on the surface of the substrate is removed, preferably by an ultraviolet-excited nitrogen gas (UV-N 2 ) treatment, whereby the surface of the substrate is flattened.
  • UV-N 2 ultraviolet-excited nitrogen gas
  • impurities such as SiC, which picks up silicon atoms during the process, is suppressed, and the silicon atoms can move freely on the substrate surface even at a relatively low temperature of about 900 ° C. .
  • a substrate such as a polished substrate having irregularities on its surface is flattened, and a very flat substrate surface having atomic layer steps is obtained.
  • the substrate processing method of the present invention is suitable for constructing a cluster-type semiconductor manufacturing apparatus that performs single-wafer-type substrate processing in combination with other substrate processing steps.
  • organic substances such as hydrocarbons remaining on the silicon substrate surface are decomposed and reduced to low molecular weight by irradiation with ultraviolet light in a nitrogen atmosphere, and the resulting low molecular weight is reduced. It is thought that the carbon compound sublimes in the low-pressure N 2 atmosphere and is removed from the silicon substrate surface.
  • the nitrogen gas itself is not activated, and a nitride film is not formed on the surface of the silicon substrate.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Description

明細書
基板処理方法および装置、 半導体装置の製造装置 技術分野
本発明は一般に半導体装置に係り、 特に半導体基板上に非常に薄い絶縁膜を形 成するのに適した基板表面の前処理方法および装置に関する。 背景技術
今日の超高速半導体装置では、 微細化プロセスの進歩とともに、 0 . Ι μ πι以 下のゲート長が可能になりつつある。 一般に微細化とともに半導体装置の動作速 度は向上するが、 このように非常に微細化された半導体装置では、 ゲート絶縁膜 の膜厚を、 微細化によるゲート長の短縮に伴って、 スケーリング則に従って減少 させる必要がある。
しかしゲート長が 0 . Ι μ πι以下になると、 ゲート絶縁膜の厚さも、 従来のシ リコン熱酸化膜を使った場合、 1〜2 n m、 あるいはそれ以下に設定する必要が あるが、 このように非常に薄いゲート絶縁膜ではトンネル電流が増大し、 その結 果ゲートリーク電流が増大する問題を回避することができない。
このような事情で従来より、 比誘電率がシリコン熱酸化膜のものよりもはるか に大きく、 このため実際の膜厚が大きくてもシリコン熱酸化膜に換算した場合の 膜厚が小さい T a 2Osや A 1 2 θ 3, Z r 02, H f 02、 さらには Z r S i〇4ある いは H f S i O4のような高誘電体材料をゲート絶縁膜に対して適用することが提 案されている。 このような高誘電体材料を使うことにより、 ゲート長が 0 . 1 m以下と、 非常に短い超高速半導体装置においても 4 n m程度の物理的膜厚のゲ 一ト絶縁膜を使うことができ、 トンネル効果によるゲートリーク電流を抑制する ことができる。
かかる高誘電体膜をゲート絶縁膜に使った半導体装置では、 高誘電体膜を直接 にシリコン基板上に形成した方が、 絶縁膜のシリコン熱酸化膜換算実効膜厚を減 少させるためには好ましいものの、 このように高誘電体膜をシリコン基板上に直 接に形成した場合には、 高誘電体膜から金属元素がシリコン基板中に拡散してし まレ、、 チャネル領域においてキヤリァの散乱の問題が生じる。
チャネル領域中のキヤリァモピリティーを向上させる観点からは、 高誘電体ゲ ート酸化膜とシリコン基板との間に、 1 n m以下、 好ましくは 0 . 8 n m以下、 最も好ましくは 2原子層分に相当する約 0 . 4 n mの厚さのきわめて薄いベース 酸化膜を介在させるのが好ましい。 このようなベース酸化膜は非常に薄い必要が あり、 厚さが厚いと高誘電体膜をゲート絶縁膜に使った効果が相殺される。 一方、 かかる非常に薄いベース酸化膜は、 シリコン基板表面を一様に覆う必要があり、 また界面準位等の欠陥を形成しないことが要求される。
図 1は高誘電体ゲート絶縁膜を有する高速半導体装置 1の概略的な構成を、 示 す。
図 1を参照するに、 半導体装置 1はシリコン基板 2上に形成されており、 シリ コン基板 2上には薄いベース酸化膜 3を介して、 T a 2O5, A 1 203, Z r 02, H f 02, Z r S i O4, H f S i 04等の高誘電体ゲート絶縁膜 4が形成され、 さら に前記高誘電体グート絶縁膜 4上にはゲート電極 5が形成されている。
図 1の半導体装置 1では、 前記ベース酸化膜層 3の中に、 シリコン基板 2とべ ース酸化膜 3との間の界面の平坦性が保たれるような範囲で窒素 (N) がドープ されている。 前記ベース酸化膜層 3は窒素がドープされているため純粋なシリコ ン酸化膜よりも比誘電率が大きくなり、 熱酸化膜換算膜厚をさらに減少させるこ とが可能になる。 また、 このように非常に薄いベース酸化膜 3中に 1原子層程度 の窒素を導入することで、 高誘電体ゲート絶縁膜 4との界面における力学的な安 定を向上させることができる (Lucovisky, G., et al., Appl. Phys. Lett. 74, 2005, 1999) 。
先にも説明したように、 このような高速半導体装置 1では、 前記ベース酸化膜 3の厚さは可能な限り薄いのが好ましい。
このような非常に薄い酸化膜をシリコン基板表面に形成する場合、 シリコン基 板表面からは自然酸化膜は勿論のこと、 他の不純物元素、 特に大気中の有機物に 由来する炭素を除去しておく必要がある。 シリコン基板表面に炭素が残留したま ま膜生成プロセスを実行すると、 炭素原子とシリコン基板中のシリコン原子と反 応して S i Cが基板表面に形成されてしまう。 このような S i Cは酸化膜中にお いて欠陥を形成する。 また、 このようにして清浄化されたシリコン基板表面は、 薄い絶縁膜を形成するに先立って、 平坦化しておく必要がある。 さらに、 このよ うにして清浄化されたシリコン基板表面は、 放置しておくと再び自然酸化膜が形 成されたり、 空気中の有機物が吸着されるため、 かかる基板前処理工程は、 基板 処理工程の直前に、 枚葉プロセスにより実行する必要がある。
従来より、 シリコン基板表面を平坦ィヒするいくつかの技術が知られている。 例えばシリコン基板に超高真空環境中で通電し、 基板表面を平坦化する基板製 造技術が知られている。 し力、し、 このような平坦化方法では、 1 0 -9〜1 0 -10Τ ο r rの超高真空が必要であり、 半導体装置の量産、 特に基板前処理工程に適用す るのは困難である。 また他の半導体製造工程と組み合わせて枚葉プロセスを実行 するクラスタ型の半導体製造装置を構築するのは困難である。
また、 チヨクラルスキー (C z ) 成長法あるいは M C z (magnetic-field- applied Czochralski) 成長法により形成され、 格子間酸素を多く含むシリコ ン基板に対して 1 1 0 0 °C程度の温度で、 いわゆるデヌーデッドゾーンァニール ( D zァニール) 処理を行い、 シリコン基板中にイントリンシックゲッタリング 層を形成する基板製造技術が知られている (Matsushita Y., et al., Extended Abstracts of the 18th (1986 International) Conference on Solid State Devices and Materials, Tokyo, 1986, pp.529-532) 。 し力 し、 この D zァニール処理によ る基板製造工程は、 基板表面の欠陥密度を低下させるのには有効であっても、 基 板表面を平坦化する効果、 特に基板表面に原子層レベルの平坦性を実現するのは 困難である。 また、 このプロセスは電気炉における高温処理を必要とし、 他の半 導体製造工程と組み合わせて枚葉プロセスを実行するクラスタ型の半導体製造装 置を構築するのは困難である。
さらに、 従来より、 チヨクラルスキー (C z ) 法で形成されたシリコン基板を 水素雰囲気中、 1 1 0 0〜 1 2 0 0 °C程度の高温で長時間保持し、 シリコン基板 を高品質化させる、 いわゆる H i ゥヱハとよばれる基板製造技術が知られている (NIKKEI MICRODEVICES, May, 1993, pp.63-64) 。 この H iウェハ技術によ れば、 シリコン基板表面の欠陥密度を、 イントリンシックゲッタリング処理した C z基板よりも低減することが可能である。 さらに、 シリコン基板を水素雰囲気 中、 1 1 0 0 °C前後の高い温度で熱処理することにより、 シリコン基板表面を原 子層ステップが見える程度まで平坦化する水素ァニール処理技術が知られている
(Yanase, Y" et al., Electro-Chemical Society, Abstract No.296, 1993, pp.486)。 しかし、 この方法も水素雰囲気中、 電気炉による高温での熱処理を必要とするた め、 他の半導体製造工程と組み合わせて枚葉プロセスを実行するクラスタ型の半 導体製造装置を構築するのが困難である。
さらに従来より、 高真空中において低圧水素雰囲気によりシリコン基板を処理 し、 平坦化を行なう、 いわゆる R T H処理が提案されている (Ono, A, et al., 2001 Symposium on VLSI Technology Digest of Technical Papers, 7A-2, pp.79 - 80) 。 しかし、 この基板前処理技術は高真空環境を必要とし、 また水素雰囲気を 使うため、 他の半導体製造工程と組み合わせて枚葉プロセスを実行するクラスタ 型の半導体製造装置を構築するのが困難である。
このように従来より、 シリコン基板表面を、 原子層ステップが見える程度まで 平坦化する手法自体は存在していたが、 そのためには高真空中での熱処理、 ある いは水素雰囲気中での熱処理を必要としており、 他の半導体製造工程と組み合わ せて大口径ウェハの枚葉プロセスを実行するクラスタ型の半導体製造装置を構築 するのが困難であった。
先にも説明したように、 このようなシリコン表面のほぼ完全な平坦化は、 例え ば超高速半導体装置の製造において、 高誘電体ゲート絶縁膜の形成に先立って行 なわれる膜厚が 2〜 3原子層程度のベース酸化膜形成の前処理として不可欠なも のである。 発明の開示
そこで、 本発明は上記の課題を解決した、 新規で有用な基板処理方法および処 理装置を提供することを概括的課題とする。
本発明のより具体的な課題は、 シリコン基板表面に原子層ステップが現れる程 度の平坦面を形成でき、 他の半導体製造工程と組み合わせて、 枚葉プロセスを実 行するクラスタ型の半導体製造装置を構築するのに適した基板処理方法、 および かかる基板処理方法を実行する基板処理装置、 さらに半導体装置の製造装置を提 供することにある。
本発明の他の課題は、
シリコン基板表面から炭素を除去する工程と、
前記炭素を除去されたシリコン基板表面を平坦化する工程とよりなることを特 徴とする基板処理方法を提供することにある。
本発明の他の課題は、
被処理基板を保持する基板保持台を備え、 排気系により排気される処理容器と、 前記処理容器中に窒素ガスを導入する第 1のガス供給系と、
前記処理容器の一部に形成された第 1の光学窓と、
前記処理容器の外側に、 前記第 1の光学窓を介して前記基板保持台上の被処理 基板を照射するように設けられた紫外光源とよりなることを特徴とする基板処理 装置を提供することにある。
本発明の他の課題は、
カセットモジユーノレと、
前記カセットモジュールに結合して設けられ、 基板搬送機構を保持する真空搬 送路と、
被処理基板を保持する基板保持台を備え、 排気系により排気される処理容器と、 前記処理容器中に窒素ガスを導入する第 1のガス供給系と、 前記処理容器に酸素 ガスを供給する第 2のガス供給系と、 前記処理容器の一部に形成された光学窓と、 前記処理容器の外側に、 前記光学窓を介して前記基板保持台上の被処理基板を照 射するように設けられた紫外光源とを備え、 前記真空搬送路に結合した第 1の基 板処理室と、
前記真空搬送路に結合して設けられ、 希ガス雰囲気中に.おいて前記被処理基板 に熱処理を行なう第 2の基板処理室とよりなることを特徴とするクラスタ型半導 体製造装置を提供することにある。
本発明のさらに他の課題は、
カセットモジュ一ノレと、
前記カセットモジュールに結合して設けられ、 基板搬送機構を保持する真空搬 送路と、 被処理基板を保持する基板保持台を備え排気系により排気される処理容器と、 前記処理容器中に窒素ガスを導入する第 1のガス供給系と、 前記処理容器に希ガ スを供給する第 2のガス供給系と、 前記処理容器中に酸素ガスを導入する第 3の ガス供給系と、 前記処理容器の一部に形成された第 1の光学窓と、 前記処理容器 の外側に、 前記第 1の光学窓を介して前記基板保持台上の被処理基板を照射する ように設けられた紫外光源と、 前記処理容器の外側に、 前記第 2の光学窓を介し て前記基板保持台上の被処理基板を照射するように設けられたランプ光源とを備 え、 前記真空搬送路に結合して設けられた第 1の基板処理室と、
前記真空搬送路に結合して設けられ、 前記被処理基板に高誘電体膜を堆積する 第 2の基板処理室とよりなることを特徴とするクラスタ型半導体製造装置を提供 することにある。
本発明によれば、 熱処理による基板表面の平坦化工程に先立って、 基板表面の 炭素を、 好ましくは紫外光励起窒素ガス (U V _ N2) 処理により除去することに より、 基板表面の平坦化処理の際にシリコン原子の流動や昇華をピユングする S i C等の不純物形成が抑制され、 9 4 0 °C程度の比較的低温の熱処理であっても シリコン原子は基板表面を自由に動くことが可能になる。 その結果、 ポリッシュ 基板のような表面に不規則な凹凸が存在するような基板でも凹凸が平坦化され、 原子層ステップが現れた非常に平坦性の優れた基板表面が得られる。 その際、 か かる平坦化処理を、 従来のような超高真空環境中、 あるいは水素炉中において行 なう必要はなく、 通常の A rなどの希ガス雰囲気で行なうことが可能である。 そ のため、 本発明の基板処理方法は、 他の基板処理工程と組み合わせて枚葉式の基 板処理を行なうクラスタ型の半導体製造装置を構築するのに適している。
本発明においては、 シリコン基板表面に残留する炭化水素等の有機物が窒素雰 囲気中における紫外光照射により分解 ·低分子化され、 その結果、 真空中で基板 加熱することにより容易に基板から脱離 ·除去されると考えられる。 本発明で使 われる紫外光の波長では、 窒素ガス自体は活性化されず、 シリコン基板表面に窒 化膜が形成されることはない。 前記 U V— N2処理は C = N結合以外の炭素結合を 切ることができるように 1 5 O n m以上、 2 7 O n m以下の波長、 特に約 1 7 2 n mの波長を有するのが好ましい。 図面の簡単な説明
図 1は、 従来の半導体処理装置の構成を示す図;
図 2は、 本発明の第 1実施例で使われる基板処理装置の構成を示す図; 図 3A〜3 Cは、 図 2の基板処理装置を含むクラスタ型基板処理装置により、 様々な前処理を行った後、 アルゴンァニールを行ったシリコン基板の表面状態を 示す図;
図 4は、 図 2の基板処理装置を含むクラスタ型基板処理装置の構成を示す図; 図 5 A〜5 Cは、 図 3 A〜 3 Cの試料に対して行った XP Sによる元素分析の 結果を示す図;
図 6A〜6Dは、 図 2の基板処理装置により UV_N2処理を行った後、 様々な 温度でシリコン基板表面を平坦化させた状態を示す図;
図 7A〜7Cは、 図 2の基板処理装置により様々な炭素除去処理を行った場合 のシリコン基板表面の状態を示す図;
図 8は、 様々な基板前処理を行った場合のシリコン基板表面における残留炭素 量を示す図;
図 9は、 図 6 Cを拡大して示した図であり、 炭素除去処理を行い、 さらに平坦 化処理を施した後のシリコン基板表面の原子層ステップを示す図;
図 10A, 10Bは、 本発明の第 2実施例による、 図 9のシリコン基板表面上 への酸化膜形成工程を示す図;
図 1 1 A, 1 1 Bは、 シリ コン基板の研磨表面上への酸化膜形成工程を示す 図;
図 1 2は、 炭素除去処理を行った後平坦化処理を行ったシリコン基板表面に形 成した酸化膜の表面状態を示す AFM像を示す図;
図 1 3は、 本発明の第 1実施例における酸化膜形成の際の膜厚と酸化時間との 関係を示す図;
図 14は、 本発明で使われる XPS法による膜厚測定を説明する図; 図 15は、 本発明で使われる XPS法による膜厚測定を説明する別の図; 図 16は、 図 13において見出された酸化膜成膜の停留現象を示す図; 図 1 7 A, 1 7 Bは、 シリコン基板表面の酸化を示す図;
図 1 8は、 原子層ステップが生じているシリコン基板表面上に形成された酸化 膜と高誘電体膜とを含む半導体構造を示す図;
図 1 9は、 図 1 8の半導体構造を構成するのに使われるクラスタ型基板処理の 構成を示す図;
図 2 0は、 図 1 8の構造におけるリーク電流と熱酸化膜換算膜厚との関係を示 す図;
図 2 1 A〜 2 1。は、 図 1 3あるいは 1 6の停留現象を利用した、 シリコン基 板上への 2原子層の膜厚を有する酸化膜の形成工程を示す図;
図 2 2は、 図 1 3あるいは図 1 6の停留現象が発現するための処理条件をまと めて示す図;
図 2 3は、 本発明の第 3実施例による基板処理装置の構成を示す図; 図 2 4 A, 2 4 Bは、 図 2 3の基板処理装置を使った U V _ 02処理を示す図; 図 2 5は、 図 2 3の基板処理装置で使われる高周波リモートプラズマ源の構成 を示す図;
図 2 6は、 マイクロ波ブラズマと高周波ブラズマとの比較を示す図; 図 2 7は、 マイクロ波プラズマと高周波プラズマとの比較を示す別の図; 図 2 8 A, 2 8 Bは、 図 2 3の基板処理装置を使ったラジカル窒化処理を示す 図;
図 2 9 A, 2 9 Bは、 R Fプラズマとマイクロ波プラズマで窒化された酸窒化 膜中における窒素濃度と膜厚との関係を示す図;
図 3 0は、 X P S分析による酸窒化膜中の窒素の膜厚方向への分布を検出する 原理を説明する図;
図 3 1は、 酸窒化膜中における窒素濃度とラジカル窒化時間との関係を示す 図;
図 3 2は、 酸窒化膜中における窒素の膜厚方向への分布とラジカル窒化時間と の関係を示す図;
図 3 3は、 図 2 3の基板処理装置で得られる酸窒化膜のエリプソメ トリで求め た膜厚のウェハごとのばらつきを示す図; 図 3 4は、 酸窒化膜中における窒素濃度と X P S法で求めた膜厚との関係を示 す図;
図 3 5 A, 3 5 Bは、 図 2 3の基板処理装置において基板を回転させながら行 つた酸化膜の窒化処理において実現された面内窒素濃度分布を示す図;
図 3 6は、 本発明の第 4実施例による枚葉式基板処理装置の構成を示す図; 図 3 7 A, 3 7 Bは、 図 3 6の枚葉式基板処理装置で使われ、 シリコン基板上 への酸化膜形成およびその窒化処理を行う基板処理装置の構成を示す図; 図 3 8 A, 3 8 Bは、 図 3 7の基板処理装置による、 U V— 02処理による酸化 膜形成処理を示す図;
図 3 9 A, 3 9 Bは、 図 3 7の基板処理装置による、 酸化膜の窒化処理を示す 図;
図 4 0 A, 4 O Bは、 本発明の第 5実施例による基板処理装啬の構成を示す図 である。 発明を実施するための最良の態様
本発明においては、 シリコン基板表面に紫外光窒素 (U V— N2) 処理を行なう ことにより、 基板表面から残留炭素を除去し、 ついで希ガス中における熱処理に より、 シリコン基板表面を平坦化する。 さらにこのような平坦化されたシリコン 基板表面に、 紫外光酸素 (U V— 02) ラジカル処理を行なうことにより、 非常に 薄い酸化膜を形成する。 さらに、 このようにして形成された薄い酸化膜中に、 窒 素を導入する。
[第 1実施例]
基板表面の炭素除去および平坦化処理
以下、 本発明の第 1実施例について説明する。
図 2は、 本実施例において使われる基板処理装置 1 0の構成を示す。
図 2を参照するに、 前記基板処理装置 1 0は被処理基板 1 2を保持する保持台 1 1 Αを有する処理容器 1 1を含み、 前記処理容器 1 1中には前記保持台 1 1 A 上の被処理基板 1 2に対向して石英等の紫外光を透過させる材料よりなるシャヮ 一へッド 1 1 Bが設けられる。 前記処理容器 1 1は排気口 1 1 Cを介して排気さ れ、 一方前記シャワーヘッド 1 1 Bに外部のガス源から酸素ガスや N Oガスが供 給される。
前記処理容器 1 1にはさらに前記シャワーへッド 1 1 Bの上方に前記シャワー ヘッド 1 1 Bおよびその下の被処理基板 1 2を露出するように、 石英などの紫外 線を透過する材料よりなる光学窓 1 1 Dが形成されている。 前記保持台 1 1 A中 には前記被処理基板 2 2を加熱するヒータ 1 1 aが設けられている。
さらに前記処理容器 2 1上には、 前記光学窓 1 1 Dに対応して設けられた結合 部 1 3を介して紫外光露光装置 1 4が設けられている。
前記紫外光露光装置 1 4は、 前記光学窓 1 1 Dに対応した石英光学窓 1 4 Aと、 前記石英光学窓 1 4 Aおよび光学窓 1 1 Dを介して紫外光を前記被処理基板 1 2 上に照射する紫外光源 1 4 Bとを含み、 前記紫外光源 1 4 Bはロボット 1 4 Cに より図 2中に矢印で示すように、 前記光学窓 1 4 Aに平行な方向に移動が可能に 保持されている。 図示の例では、 前記紫外光源 1 4 Bは、 前記移動方向に対して 略直角に延在するように設けられた線状の光源よりなる。 かかる線状の光源とし ては、 本発明では波長が 1 7 2 n mのエキシマランプを使う。
また図 2の構成では、 前記紫外光源 1 4 Bにより形成された紫外線が前記光学 窓 1 1 Dを介して前記処理容器 1 1中に導入されるに先立って空気中の酸素によ り吸収されてしまうのを回避するため、 前記結合部 1 3には外部のガス源 (図示 せず) より N2などの不活性ガスがライン 1 3 Aを介して供給され、 前記不活性ガ スは前記紫外光露光装置 1 4の光学窓 1 4 Aの取り付け部に形成された隙間を通 つて前記紫外光露光装置 1 4中の空間 1 4 Dに流入する。
さらに前記紫外光源 1 4 Bの駆動に伴い、 前記紫外光源 1 4 Bの直下に大気中 の酸素が巻き込まれ流入するのを抑制するため、 紫外光源 1 4 Bの両側面に遮蔽 板 1 4 Fを設け、 さらに前記遮蔽板 1 4 Fの下において、 前記紫外光源 1 4 Bに 対向する光学窓 1 4 Aと遮蔽板 1 4 Fとの間に形成される高さがせいぜい 1 mm 程度の狭い領域に、 ライン 1 4 b, 1 4 cを介して N2などの不活性ガスが供給さ れる。 この領域には、 前記ライン 1 3 Aからの不活性ガスも供給され、 その結果、 この領域において紫外光を吸収する酸素が効果的に排除される。 前記遮蔽板 14 F下の領域を通過した不活性ガスは前記空間 14 Dに流れ出し、 さらに前記紫外光露光装置 14中に形成された排気口 14 Eを通って外部に排出 される。
図 2の基板処理装置では、 前記紫外光露光装置 14において前記ロボット 14 Cにより前記紫外光源 14 Bの移動 ·走査を制御することができ、 その結果、 前 記被処理基板 1 2の表面に紫外線活性化酸化窒化処理により酸窒化を形成する際 に、 紫外線露光照射量を制御することにより膜厚の分布を制御することが可能に なる。 前記ロボット 14 Cはコンピュータなどの制御装置 1 5により制御される。 また、 前記制御装置 15は前記紫外光源 14 Bの駆動をも制御する。
図 3A〜3 Cは、 HF処理 (DHF洗浄処理) により自然酸化膜を除去された シリコン基板を図 2の UV基板処理装置 10に基板 1 1として導入し、 前記シャ ヮ一へッド 1 3に窒素ガスを供給し、 前記紫外光源 14 Bを駆動することにより UV— N2処理を行い、 あるいは前記シャワーヘッド 13に酸素ガスを供給し、 前 記紫外光源 14Bを駆動することにより UV_02処理を行い、 さらに A r雰囲気 中 1 1 75°C、 1060 P aで 90秒間熱処理を行なった場合の基板表面を示す 原子間力顕微鏡 (AFM) 像である。 ただし図 3 Aは比較例であり、 シリコン基 板を DHF洗浄処理後、 基板処理装置 10で処理することなく平坦化処理を行な つた場合を示す。 一方図 3 Bは、 前記シリコン基板を前記基板処理装置 10中に おいて、 約 2. 66 P a (2 X 10-2T o r r ) の圧力下、 450°Cの基板温度に おいて酸素ガスを前記シャワーへッド 13から 1 50 SC CMの流量で導入し、 これを前記紫外光源 14 Bを駆動して 5分間処理を行なった結果を示す。 さらに 図 3 Cは、 図 3 Bと同様な処理を、 前記シャワーヘッド 1 3から酸素ガスの代わ りに窒素ガスを導入して行なった結果を示す。 ただし、 図 3A〜3Cの実験は、 シリコン基板 1 1として p (100) 型の C zウェハを使った。
図 3A〜3 Cの実験では、 前記熱処理は、 図 4に示すように図 2の基板処理装 置 10を、 真空搬送路 21を介して赤外線ランプ加熱装置を含む急速熱処理 (R TP) 室 22に結合したクラスタ構成の基板処理装置 20において行なっている。 図 4を参照するに、 前記基板処理装置 20は、 さらに前記真空搬送路 21に結合 した基板搬入 Z搬出モジュール 23および冷却モジュール 24を含んでいる。 図 2の基板処理装置 1 0および図 4の急速熱処理室 2 2は、 いずれも通常の半導体 装置製造で使われる程度の処理温度および圧力を使っており、 また水素処理等の 特殊な処理を必要としないため、 他の基板処理装置とともに容易のクラスタ型の 基板処理装置を構築することができる。
再び図 3 A~3 Cを参照するに、 図 3 A, 3 Bでは基板表面に島状の突起する 欠陥が多数形成されているのに対し、 図 3 Cではこのような欠陥が全く存在して いないことがわかる。 また図 3 Cの AFM像では、 シリコン基板表面が [ 1 1 0] 方向に微傾斜しており、 この微傾斜に伴い、 2 X 1原子テラスおよび 1 X 2 原子テラスを画成する二つのドメインが交互に並ぴ、 単原子ステップを形成して いるのが見える。 前記 2 X 1原子テラスおよび 1 X 2原子テラスにおいては再構 成したシリコン (1 0 0) 表面のシリコン原子はダイマー列を形成していること が知られている。 隣接するテラス間でシリコン原子ダイマーの方向が直交してい るため、 ステップ端の持つエネルギが小さいか大きいかにより、 ステップの線が 直線になったりジグザグになったりする。
図 3 A, 3 Bの試料について表面粗さを測定したところ、 平均表面粗さ Rm s はそれぞれ 2. 0 9 nmおよび 1. 2 7 n m, 最大凹凸振幅 P Vはそれぞれ 1 6. l nmおよび 1 1. 7 nmに達することがわかった。 これに対し、 図 3 Cの試料 では、 平均表面粗さ Rm sはわずかに 0. 1 1 3 nm、 また最大凹凸振幅 PVも 1. 3 3 nmまで減少していることが示された。
図 5 A〜5 Cは、 それぞれ図 3 A〜 3 Cの試料について X P S分析を行い、 Cis 軌道および S i 2p軌道からの光電子スぺク トルを求めた結果を示す。
図 5 Aを参照するに、 Cls 軌道に対応する光電子の大きなピークは分析装置へ 搬送時に基板表面に吸着した大気中の炭化水素に起因するものであるが、 このピ ークに部分的に重なって、 図中に矢印で示したように、 S i C結合の存在により 生じた Cls ピークのケミカルシフトが観測される。 同様なケミカルシフトは、 図 5 Bのスぺクトルにおいても生じているが、 図 3 Cの試料に対応する図 5 Cでは スぺク トルはシャープで、 この試料では S i C結合は形成されていないことがわ かる。
このようなことから、 図 5 A, 5 Bで見られる島状の突起する欠陥は、 S i C であると結論される。 このような S i Cは、 シリコン基板表面に吸着していた大 気中の炭化水素などの有機物に由来する炭素原子が、 熱処理の際にシリコン基板 中のシリコン原子と反応することにより生成したものと考えられる。
先の図 3 A〜 3 Cの結果は、 このようにシリコン基板表面に S i C欠陥が存在 している場合に基板表面の表面粗さが急増することを示しているが、 これは、 S i C欠陥がシリコン基板表面においてシリコン原子の動きをピユングしており、 その結果、 シリコン原子の表面に沿った移動が妨げられていること、 またこのよ うな S i C欠陥を除去することにより、 シリコン原子は通常の半導体プロセスで 使われるような温度圧力条件においても自由に移動し、 原子層ステップが形成さ れることを示している。
図 6A~6Dは、 このように図 4の基板処理装置 30において、 最初に UV— N2処理により基板表面の炭素を除去し、 次いで RTP装置 32中において温度を 様々に変化させながら圧力 1060 P aの A r雰囲気中での熱処理を行なった場 合の基板表面状態を示す A FM像を示す。 ただし図 6 Aは前記熱処理を 1 05 0 °Cで 90秒間行なつた場合を、 また図 6 Bは前記熱処理を 1000 °Cで 90秒 間行なった場合を、 図 6 Cは前記熱処理を 950°Cで 90秒間行なった場合を、 さらに図 6 Dは前記熱処理を 900°Cで 90秒間行なった場合を示す。
図 6 A〜 6 Dを参照するに、 いずれの場合でも先の図 3 A, 3 Bの場合よりは 表面粗さ Rm sおよび最大凹凸振幅 PVが大きく改善されている。 例えば図 6 A の例では表面粗さ Rm sは 0. 236 nm, 凹凸振幅は 2. 1 3 nmであり、 図 6 Bの例では表面粗さ Rm sは 0. 202 nm, 最大凹凸振幅は 3. 43 nmで ある。 また図 6 Cの例では表面粗さ Rm sは 0. 105 nm, 凹凸振幅は 1. 0 4 nmであり、 図 6Dの例では表面粗さ Rmsは 0. 141 n m, 最大凹凸振幅 は 1. 45 nmである。 特に図 6 Cに示す例では明瞭な表面粗さ Rm sおよび最 大凹凸振幅共, 最小になっており、 明瞭な原子層ステップが観測されるのがわか る。 ただし図 6 A〜 6 Dの実験では、 先の図 3 A〜 3 Cのシリコン基板とは異な つたロッ卜の基板を使っており、 微傾斜方向が [1 1 0] 方向からずれているた め、 図 3 Cのようなテラス状の原子層ステップの代わりにクロスハッチ状の原子 層ステップが生じている„ 図 6 A〜6Dの結果から、 UV— N2処理による炭素除去後の基板平坦化熱処理 は、 950°C近傍の温度で行なうのが好ましいことがわかる。
図 7A〜7Cは、 様々な基板前処理を行なったシリコン基板を 950°Cで平坦 化熱処理した場合の、 基板表面状態を示す A FM像である。 ただし図 7 Aは基板 表面に対して DHF処理による自然酸化膜除去のみを行なった後、 950°Cで平 坦化熱処理を行なった場合を、 図 7Bは DHF処理の後、 図 2の基板処理装置 1 0においてシャワーヘッド 13に酸素ガスを供給し、 UV— 02処理による基板表 面の炭素除去を行い、 さらに 950°Cで平坦化熱処理を行なった場合を、 さらに 図 7 Cは DHF処理の後、 先に説明した UV_N2処理により基板表面の炭素除去 を行い、 さらに 9 50°Cで平坦化熱処理を行なった場合を示す。
図 7 A〜 7 Cを参照するに、 図 7 Aの DH F処理のみを行なった場合には平均 表面粗さ Rmsは.0. 1 96 nm, 最大凹凸振幅 PVは 3. 02 nmであったの 力 図 7 Bの UV_02処理を行なった場合には平均表面粗さ Rm sは 0. 181 nm, 最大凹凸振幅 PVは 1. 69 nmまで減少し、 図 7 Cの UV_N2処理を行 なった場合には平均表面粗さ Rm sは 0. 131 nm, 最大凹凸振幅 P Vは 1. 66 nmまで減少しているのがわかる。
このように、 平坦化熱処理を A rなどの不活性雰囲気中、 950°Cで行なう場 合でも、 それに先立って UV— N2処理により炭素を除去しておくことにより、 非 常に平坦な基板表面を実現することが可能になる。
図 8は、 様々な処理によるシリコン基板表面からの炭素除去効果を示す。
図 8を参照するに、 炭素除去処理を行なわない場合、 8インチ径のシリコン基 板表面には、 1 200 n g程度の有機物が付着しているのに対し、 オゾン、 酸素 あるいは窒素による処理によりある程度除去することができることがわかる。 こ のうち、 窒素を使った処理が最も効果的で、 1 5秒間の処理で残留有機物量を 3 5 O n g程度まで、 また 30秒間の処理で 200 n g程度まで減らせることがわ かる。
以下の表 1は、 炭素の様々な結合のエネルギを示す。 化学結合 波長 (nm)
C = N 約 150 c=o 160
C = C 200
C-F 270
C-H 300
C-C 330 c-o 350
C-C 1 400
C-N 440
C-B r 470 表 1を参照するに、 先に説明したように図 2の基板処理装置 10において紫外 光源 14Bとして波長が 1 72 nmの紫外光源を使うことにより、 C = N結合以 外のほとんど全ての炭素結合を切ることができる。 また波長が 254 nmの水銀 ランプを使った場合、 二重結合以外の全ての炭素結合を切るのに十分なエネルギ が得られることがわかる。 概ね 270 nm以下の波長の紫外光を照射することに より、 シリコン基板表面に付着した炭化水素系の高分子吸着物を低分子化するこ とができ、 基板表面からの離脱を促進することが可能になる。 [第 2実施例]
平坦化基板上の酸化膜処理
次に、 このようにして平坦化されたシリコン基板表面上への非常に薄い酸化膜 の形成プロセスについて、 本発明の第 2実施例として説明する。
図 9は、 図 7 Cの AFM像をより拡大して示す。
図 9を参照するに、 シリコン基板表面には、 図中に点線で示したように、 ほぼ 等間隔で平行に延在する原子層ステップが繰り返し形成されているのがわかる。 図 9の例では原子層ステップは 1原子層 (0. 135 nm) 分の高さを有し、 基 板は (100) 面から 0. 05° 程度微傾斜している。 図 10A, 10 Bは、 このような傾斜基板表面 3 1に薄い酸化膜 32を、 前記 図 2の基板処理装置 10を使った UV— 02ラジカル処理により形成する様子を示 す。 ただし図 10A, 1 0 Bにおいて傾斜基板 31は図 9のシリコン基板をモデ ル化したものである。
図 10 Aを参照するに、 シリコン基板表面には原子層ステップが繰り返し形成 されており、 酸化膜はそれぞれのステップのテラスを覆うように成長する。 この ようにシリコン基板表面が原子レベルで平坦化され、 基板表面にこのように原子 層ステップが出現している場合、 前記酸化膜 32表面にも原子層ステップに対応 した段差が現れ、 その上に次の酸化膜 33を形成した場合でも、 酸化膜 33に原 子層ステップが段差の形で転写される。
これに対し、 例えば図 1 1 Aに示すようにポリッシュにより基板表面を平坦化 した場合には基板表面はミクロに見ると不規則で、 そのため酸化膜 32を形成し ても不規則な表面しか得られない。 このような場合には、 図 1 1 Bに示すように 酸化膜 32上に次の酸化膜 33を形成した場合、 不規則な凹凸がさらに増幅され、 形成された酸ィ匕膜に著しい膜厚の変動が生じることになる。
図 1 2は、 図 9の構造上に図 10 A, 10 Bのモデルに従って酸化膜を 2原子 層に相当する 0. 4 nmの厚さに形成した場合の、 酸化膜表面の状態を示す AF M像である。 ここで 0. 4 nmの酸化膜は UVラジカル基板処理装置 1 0を使つ て形成した。
図 1 2を参照するに、 酸化膜表面には下地基板の原子層ステップに対応した段 差が明瞭に転写されている。
図 1 3は、 図 2の UVラジカル基板処理装置 10を使って先に説明したような UV-N2炭素除去処理を行ったシリコン基板面にシリコン酸化膜を形成した場合 の、 膜厚と酸化時間との関係を示す。 ただし図 1 3の実験では、 基板温度を 45 0°Cに設定し、 前記シャワーへッド 1 1 Bに酸素ガスを供給し、 紫外光照射強度 および酸素ガス流量あるいは酸素分圧を様々に変化させている。 また前記酸化処 理において紫外光源 14 Bとしては、 波長が 1 72 nmのエキシマランプを使つ ている。
図 1 3を参照するに、 系列 1のデータは、 紫外光照射強度を紫外光源 14 Bの 窓面における基準強度 (50mWZcm2) の 5%に設定し、 プロセス圧を 665 mP a (5mT o r r ) , 酸素ガス流量を 30 S C CMに設定した場合の酸化時 間と酸化膜厚との関係を、 系列 2のデータは紫外光強度をゼロに設定し、 プロセ ス圧を 1 33 P a (l To r r) , 酸素ガス流量を 3 S LMに設定した場合の酸 化時間と酸化膜厚との関係を示す。 また系列 3のデータは紫外光強度をゼロに設 定し、 プロセス圧を 2. 66 P a (20 mT o r r ) , 酸素ガス流量を 1 50 S C CMに設定した場合の酸化時間と酸化膜厚との関係を示し、 系列 4のデータは 紫外光照射強度を 100%、 すなわち前記基準強度に設定し、 プロセス圧を 2. 66 P a (2 OmT o r r ) , 酸素ガス流量を 1 50 SC CMに設定した場合の 酸化時間と酸化膜厚との関係を示す。 さらに系列 5のデータは紫外光照射強度を 基準強度の 20%に設定し、 プロセス圧を 2. 66 P a (20mTo r r) , 酸 素ガス流量を 1 50 SCCMに設定した場合の酸化時間と酸化膜圧との関係を示 し、 系列 6のデータは、 紫外光照射強度を基準照射強度の 20%に設定し、 プロ セス圧を約 6 7 P a (0. 5To r r) 、 酸素ガス流量を 0. 5 S LMに設定し た場合の酸化時間と酸化膜厚との関係を示す。 さらに系列 7のデータは、 紫外光 照射強度を基準強度の 20%に設定し、 プロセス圧を 665 P a (5T o r r) に、 酸素ガス流量を 2 S LMに設定した場合の酸化時間と酸化膜厚との関係を、 系列 8のデータは、 紫外光照射強度を基準強度の 5%に設定し、 プロセス圧を 2. 66 P a (2 OmT o r r ) , 酸素ガス流量を 1 50 S C CMに設定した場合の 酸化時間と酸化膜厚との関係を示す。
図 1 3の実験において、 酸化膜の膜厚は X P S法により求めているが、 このよ うに 1 nmを下回る非常に薄い酸化膜の膜厚を求める統一された方法は、 現時点 では存在しない。
そこで本発明の発明者は、 図 14に示す観測された S 121>軌道の ? 3スぺク トルに対してバックグラウンド補正および 3 Z 2スピン状態と 1 / 2スピン状態 との分離補正を行い、 その結果得られた図 1 5に示す S i2p 3/2XPSスぺク トルを もとに、 L u他 (Z. H. Lu, et al., Appl. Phys, Lett.71 (1997), pp.2764) の教示 に従って、 式 (1) に示す式および係数を使って酸化膜の膜厚 dを求めた。
d = sina .In [ I X I0+) 十 1] (l) λ = 2. 9 6
]3 = 0. 75
ただし式 (1) において αは XP Sスペク トルの検出角であり、 図示の例では 30° に設定されている。 また式 1中、 Ιχ+は酸化膜に対応するスペク トルピー クの積分強度 (Ι) であり、 図 1 5中、 1 02〜: 1 04 e Vのエネ ルギ領域において見られるピークに対応している。 一方、 10+は 1 0 0 e V近傍 のエネルギ領域に対応した、 シリコン基板に起因するスぺク トルピークの積分強 度に対応する。
再び図 1 3を参照するに、 紫外光照射パワー、 従って形成される酸素ラジカル 密度が小さい場合 (系列 1, 2, 3, 8) には、 最初は酸化膜の酸化膜厚が 0 n mであったものが、 酸化時間と共に酸化膜厚が徐々に増加し続けるのに対し、 紫 外光照射パワーを基準強度の 20%以上に設定した系列 4, 5, 6, 7では、 図 1 6に概略的に示すように酸化膜成長が成長開始後、 おおよそ 0. 4 nmの膜厚 に到達した時点で停留し、 ある程度の停留時間が経過した後、 急激に成長が再開 されるのが認められる。
図 1 3あるいは図 1 6の関係は、 シリコン基板表面の酸化処理において、 0. 4 n m前後の膜厚の非常に薄い酸化膜を、 安定して形成できることを意味してい る。 また、 図 1 6に見られるように、 かかる停留時間がある程度継続することか ら、 形成される酸化膜は、 一様な厚さを有することがわかる。 すなわち、 本発明 によれば、 約 0. 4 nmの厚さの酸化膜をシリコン基板上に、 一様な厚さに形成 することが可能になる。
図 1 7 A, 1 7 Bは、 かかるシリコン基板上への薄い酸化膜の形成過程を概略 的に示す。 これらの図では、 シリコン (1 00) 基板上の構造を極めて単純化し ていることに注意すべきである。
図 1 7 Aを参照するに、 シリコン基板表面には、 シリコン原子 1個あたり 2個 の酸素原子が結合し、 1原子層の酸素層が形成されている。 この代表的な状態で は、 基板表面のシリコン原子は基板内部の 2つのシリコン原子と基板表面の二つ の酸素原子により配位され、 サブォキサイドを形成している。
これに対し、 図 1 7 Bの状態ではシリコン基板最上部のシリコン原子は 4つの 酸素原子により配位されており、 安定な S i4+の状態をとる。 これが理由で、 図 1 7 Aの状態では速やかに酸化が進み、 図 1 7 Bの状態になって酸化が停留する ものと考えられる。 図 1 7 Bの状態における酸化膜の厚さは約 0. 4 nmであり、 これは図 13において観測される停留状態における酸化膜厚と良く一致する。
図 15の XP Sスペク トルにおいて、 酸化膜厚が 0. l nmあるいは 0. 2 n mの場合に 10 1〜 1 04 e Vのエネルギ範囲において見られる低いピークが図 1 7 Aのサブオキサイ ドに対応し、 酸化膜厚が 0. 3 nmを超えた場合にこのェ ネルギ領域に表れるピークが S i4+に起因するもので、 1原子層を超える酸化膜 の形成を表しているものと考えられる。
図 17 Bの状態からさらに酸化を継続すると、 酸化膜の厚さは再び増大する。 図 18は、 前記本発明の第 1実施例による基板処理工程により形成された半導 体装置 30の構成を示す。 ただし図 18中、 先に説明した部分に対応する部分に は同一の参照符号を付し、 説明を省略する。
図 18を参照するに、 半導体装置 30は原子層ステップが現れる程度まで平坦 化されたシリコン基板 31上に形成されており、 前記シリコン基板 3 1上に 2〜 3原子層に相当する約 0. 4 nmの厚さのベース酸化膜 32と、 前記ベース酸化 膜 32上に形成された Z r S i Ox などよりなる高誘電体膜 33と、 前記高誘電 体膜 33上に形成されたポリシリコンなどよりなるゲート電極 34とを含んでい る。
図 19は、 図 18の半導体装置 30の製造に使われるクラスタ型の基板処理装 置 20Aの構成を示す。 ただし図 1 9中、 先に説明した部分には同一の参照符号 を付し、 説明を省略する。
図 19を参照するに、 クラスタ型基板処理装置 2 OAは先に図 4で説明したク ラスタ型基板処理装置 20と同様に基板搬入 ·搬出モジュール 23が結合し基板 搬送機構を備えた真空搬送室 21を有し、 前記基板処理装置 10および同様な構 成の基板処理装置 1 OAが前記真空搬送室 21に結合されている。 このうち、 基 板処理装置 10は先の UV— N2処理を行い、 基板処理装置 10 は1; ー02処 理を行なう。 さらに前記真空搬送室 21には急速熱処理室 22と高誘電体膜を堆 積する CVD処理室 25および冷却室 24が結合されている。 前記基板搬入 ·搬出モジュール 23に導入された被処理基板は前記真空搬送路 21を通って前記 UV— N2処理室 10に送られ、 先に説明した炭素除去処理が行 なわれる。 前記 UV— N2処理室 10において炭素を除去された被処理基板 21は 次に急速熱処理室 22に送られ、 原子層レベルの平坦化処理がなされる。
さらにこのような原子層レベルの平坦化処理を終わった被処理基板は UV— 02 処理室 10 Aに送られ、 図 18の酸化膜 32のような 4 nm程度の厚さのベース 酸化膜が形成される。 さらにこのようにしてベース酸化膜が形成された被処理基 板は CVD室 25に送られ、 例えば原子層堆積 (ALD) 法により、 Z r 02, H f O2, Z r S i Ox, H f S i Ox, T a205, A 12O3などの高誘電体膜が、 1 原子層ずつ堆積される。 前記 CVD室 25での処理を終えた被処理基板は冷却室 24で冷却された後、 搬入 ·搬出モジュール 23に戻される。
図 20は、 このようにして形成された図 18の酸化膜 32上に Z r S i Ox膜を 前記高誘電体膜 34として形成し、 前記高誘電体膜 34上にさらに電極膜を形成 した積層構造について求めた熱酸化膜換算膜厚 T e qとリーク電流 I gとの関係 を示す。 ただし、 図 20のリーク電流特性は、 前記電極膜とシリコン基板との間 にフラットバンド電圧 V f bを基準に、 V f b_0. 8 Vの電圧を印加した状態 で測定している。 比較のため、 図 20中には熱酸化膜のリーク電流特性をも示し てある。 また図示している換算膜厚は、 酸化膜と Z r S i Ox膜を合わせた構造に ついてのものである。
図 20を参照するに、 酸化膜 32を省略した場合、 すなわち酸化膜の膜厚が 0 n mの場合にはリーク電流密度が熱酸化膜のリーク電流密度を超えており、 また 熱酸化膜換算膜厚 Te qも約 1. 7 nm程度の比較的大きな値になることがわか る。
これに対し、 酸化膜 32の膜厚を 0 nmから 0. 4 nmまで増大させると、 熱 酸化膜換算膜厚 T e qの値が減少をはじめるのがわかる。 このような状態では酸 化膜がシリコン基板と Z r S i Ox膜との間に介在することになり、 物理膜厚は実 際には増大するはずなのに換算膜厚 T e qは減少しているが、 これはシリコン基 板上に Z r 02膜を直接に形成した場合、 Z r原子のシリコン基板中への拡散ある いは S i原子の Z r S i Ox膜中への拡散が大規模に生じ、 シリコン基板と Z r S i Ox膜との間に厚い界面層が形成されていることを示唆している。 これに対し、 図 1 8に示すように厚さが 0 . 4 n mの酸化膜 2 2を介在させることにより、 こ のような界面層の形成が抑制され、 結果として換算膜厚が減少するものと考えら れる。 これに伴って、 リーク電流の値も酸化膜の厚さと共に減少するのがわかる。 一方、 前記酸化膜 3 2の膜厚が 0 . 4 n mを超えると、 熱酸化膜換算膜厚の値 は再び増大をはじめる。酸化膜 3 2の膜厚が 0 . 4 n mを超えた範囲においては、 膜厚の増大と共にリーク電流の値も減少しており、 換算膜厚の増大は酸化膜の物 理膜厚の増大に起因するものであると考えられる。
このように、 図 1 3で観測された酸化膜の成長が停留する 0 . 4 n m付近の膜 厚は、 酸化膜と高誘電体膜とよりなる系の換算膜厚の最小値に対応しており、 図 1 8に示す安定な酸化膜 3 2により、 Z r等の金属元素のシリコン基板中への拡 散が効果的に阻止されること、 またこれ以上酸化膜の厚さを増大させても、 金属 元素の拡散阻止効果はそれほど高まらないことがわかる。
さらに 0 . 4 n mの厚さの酸化膜を使った場合のリーク電流の値は、 対応する 厚さの熱酸化膜のリーク電流の値よりも二桁ほど小さく、 このような構造の絶縁 膜を MO S トランジスタのゲート絶縁膜に使うことにより、 ゲートリーク電流を 最小化できることがわかる。
また、 図 1 3あるいは図 1 6で説明した酸化膜成長の 0 . 4 n mにおける停留 現象の結果、 図 2 1 Aに示すようにシリコン基板 2 1上に形成された酸化膜 3 2 に当初膜厚の変化ないし凹凸が存在していても、 酸化膜成長の際に膜厚の増大が 図 2 1 Bに示すように 0 . 4 n mの近傍において停留するため、 停留期間内で酸 化膜成長を継続することにより、 図 2 1 Cに示す非常に平坦な、 一様な膜厚の酸 化膜 3 2を得ることができる。 ただし図 2 1 A〜2 1 Cは、 図 1 8のシリコン基 板 3 1上の一つ一つのテラス面における酸化膜成長を示している。
先にも説明したように、 非常に薄い酸化膜に対しては、 現状では統一された膜 厚測定方法が存在しない。 このため、 図 2 1 Cの酸化膜 3 2の膜厚値自体は、 測 定方法で異なる可能性がある。 しカゝし、 先に説明した理由から、 酸化膜成長に停 留が生じる厚さは、 2原子層分の厚さであることがわかっており、 従って、 好ま しい酸化膜 3 2の膜厚は、 約 2原子層分の厚さであると考えられる。 この好まし い厚さには、 2原子層分の厚さが酸化膜 22全体にわたり確保されるように、 部 分的に 3原子層分の厚さの領域が形成されている場合も含まれる。 すなわち、 好 ましい酸化膜 22の厚さは、 実際には 2〜3原子層の範囲であると考えられる。 このように、 厚さが 0. 4 nm、 あるいは 2〜 3原子層の範囲のシリコン酸化 膜は安定に、 再現性良く形成することができ、 高誘電体膜と組み合わせることに より、 ゲート絶縁膜の実効的な膜厚が薄く、 非常に微細化された高速 MOS トラ ンジスタを実現することが可能になる。
なお、 本実施例では酸化膜 32は UV_02ラジカル酸ィ匕処理により形成された 酸化膜としたが、 酸化膜 32はこのような酸化膜に限定されるものではなく、 低 いラジカル密度で精密に酸化を行える酸化方法で形成された酸化膜であれば、 ど のようなものであってもよい。
図 22は、 図 1 9の UV_02処理室 1 OAにおいて行われるラジカル酸化処理 の条件を説明する図である。
図 22を参照するに、 横軸は紫外光源により励起される酸素ラジカルの πιΤο r r単位で表した分圧を対数スケールで示し、 一方縦軸は、 プロセス開始後、 図 1 3に示す停留現象が生じるようになるまでのプロセス時間、 および停留現象が 消滅するまでのプロセス時間を、 同じく対数スケールで示す。 横軸の酸素ラジカ ル分圧は酸素ラジカル密度に対応しており、 前記紫外光源の駆動パワーないし紫 外光照射強度と紫外光波長とにより決定される。
以下に、 紫外光照射強度とラジカル密度との関係を、 1 72 nmの紫外光波長 を使った場合の例について説明する。
先の図 13で説明した実験において、 100 %駆動状態で窓面直下の紫外光強 度が 5 OmW/c m2となる紫外光源を使い、 プロセス圧を 0. 02To r r (2. 66 P a) に維持したまま 1 50 S C CMの流量の酸素ガスを基板表面に流した 場合、 紫外光源は 4. 34 X 1016Zc m2 ·秒のフオトンフラックスを形成する。 前記紫外光源が幅 2 cm幅の管状ランプであり、 このランプにより 20 c m径の シリコンウェハを照射した場合を考えると、 シリコンウェハ表面における平均的 なフオトンフラックスの値は、 前記フォトンフラックス値の約 1/10の、 4. 34 X 1015Zc m2となる。 一方、 波長が 1 7 2 nmの紫外光に対する酸素分子の吸収断面積は 6 X 1 0 "19 c m2であることが知られているので、 式 l Z l o= e x p (― σ η χ) で与えら れるプロセス雰囲気中における紫外光の透過率は、 0. 9 9 1 6と求められる。 ただし、 ここでプロセス圧力は 0. 02 T o r r (2. 6 6 P a) とし、 プロセ ス雰囲気中における気体分子密度 nは 7. 0 5 X 1 014c m-3、 紫外光は処理容器 中を、 20 c mの距離を進むものとした。
そこで、 紫外光が処理容器中において 20 c mの距離を進む間にプロセス雰囲 気により吸収されるラジカル量は、 単位面積単位時間あたり、 前記フォトンフラ ックス値 4. 34 X 1 015/c m2に比率 0. 0084を乗じて、 3. 6 5 X 1 0 13ノ c m2 *秒となり、 これと同じ割合で、 酸素ラジカルが処理容器中に形成され る。
一方、 処理容器中における酸素ガスのフラックスは、 シャワーヘッドの面積を 3 14 c m2 とすると、 標準状態体積換算で 7. 98 X 1 0-3 c c / c m2 ·秒とな る。 これは分子数に換算すると、 2. 1 3 8 X 1 017/cm2 ·秒となる。 そこで、 フラックス比の値、 3. 6 5 X 1 013/ 2. 1 38 X 1 017= 1. 7 1 X 1 0 -4力 ら、 0. 0 2 T o r r (2. 6 6 P a) のプロセス圧の下で発生する酸素ラジカ ルの分圧は、 3. 4 2 X 1 0 -6T o r r (= 1. 7 1 X 1 04 X 0. 02) となる。 このように、 光強度 1 00%、 酸素ガス流量 1 50 S CCM, プロセス圧 (= 処理容器内圧) 0. 0 2 T o r r (2. 6 6 P a) の場合に前記処理容器中に形 成される酸素ラジカル濃度は、 約 3. 4 2 X 1 0"6T o r r (4. 54 X 1 0"4P a) となることがわかる。 同様な手続により、 他の様々な条件について、 ラジカ ル密度を計算することが可能である。
図 2 2を参照するに、 処理容器中のラジカル密度が高い場合、 図 1 3よりわか るように停留現象はプロセス開始後すぐに発生するのに対し、 ラジカル密度が低 い場合には、 プロセス開始後、 長い時間が経過した後、 生じる。 これは、 ラジカ ル密度が高い場合、 酸化膜の成膜速度が大きく、 短時間で 0. 4 nmの停留膜厚 に達するのに对し、 ラジカル密度が低い場合、 酸化膜の成膜速度が小さく、 0. 4 nmの停留膜厚に達するのに長い時間を要する事情に対応している。
同様に、 停留現象が発生してから消滅するまでの停留時間もラジカル密度によ つて変化し、 ラジカル密度が高い場合には停留時間も減少し、 一方ラジカル密度 が低い場合には停留時間は増大する。
実際の半導体装置の製造工程を考えると、 停留現象が発生するまでのプロセス 時間が長すぎると半導体装置の製造スループットが低下するので、 ラジカル密度 にはおのずから下限が存在する。 また停留現象が継続する時間が短すぎると、 2 〜 3原子層の好ましい膜厚の酸化膜を安定に形成できなくなるため、 ラジカル密 度には、 おのずから上限が存在する。
図 22は、 ラジカル酸化処理を 1 72 nmの波長の紫外光を使い、 基板酸化を 450°Cで行う場合についての例を示しているが、 この関係から、 ラジカル分圧 の下限は許容プロセス時間を 5分間 (300秒) 以下として、 l X 10-4mTo r r (133 X 10 -7 P a) 、 ラジカル分圧の上限は、 必要停留時間をおよそ 100 秒間以上として、 lmTo r r (133 X 103P a) になることがわかる。 また、 これに対応した紫外光照射パワーは、 光源 14 Bの窓直下において 5〜5 OmW Zc m2となる。
図 22では、 停留現象の発生と消滅とを表す二本の直線の間隔は、 ラジカル分 圧が増大するにつれて増大しているように見えるが、 図 22の縦軸および横軸は 対数でプロットされているため、 前記間隔に対応した停留時間の値は、 ラジカル 分圧と共に実際には減少している。 また、 上記ラジカル酸化処理の際、 酸素ガス 分圧は 1〜:! O O OmTo r r (133 X 10"3P a~l 33 P a) の範囲に設定 するのが好ましい。
なおこのようなラジカル酸化を、 他の波長の紫外光を使って行うことも可能で ある。 この場合、 雰囲気ガスによる紫外光の吸収を考えると、 処理容器内におい て前記 1 X 10-4mT o r r (1. 33 X 10.2m P a ) 以上 1 mT o r r (13 3mP a) 以下のラジカル密度を実現しょうとすると、 紫外光源の駆動あるいは 雰囲気ガス組成を変化させる必要がある。
例えば波長が 146 nmの紫外光源を前記紫外光源として使う場合には、 波長 が 1 72 nmの場合よりも 25倍大きい光吸収を考慮して、 雰囲気中の酸素分圧 を 0. 05〜50mTo r r (6. 7mP a〜6. 7 P a ) の範囲に設定するの が好ましい。 [第 3実施例]
酸化膜の窒化処理
ところで、 先にも説明したように、 このようにして形成された厚さが 2〜3原 子層分の酸化膜を図 1のような超高速 MO S トランジスタのベース酸化膜 3とし て使う場合には、 前記ベース酸化膜 3中にさらに窒素を導入し、 酸窒化膜に変換 しておくのが有利である。 ただし、 その際に窒素原子がシリコン基板中にまで侵 入してはならず、 またシリコン基板 2とベース酸化膜 3との間の界面の平坦性が 劣化してはならなレ、。
以下、 本発明の第 3実施例による、 このような酸化膜の窒化処理について説明 する。
図 2 3は、 平坦化されたシリコン基板 1 1表面上に非常に薄いベース酸化膜 1 2を、 酸窒化膜 1 2 Aを含めて形成するための、 本発明の第 3実施例による基板 処理装置 4 0の概略的構成を示す。
図 2 3を参照するに、 基板処理装置 4 0は、 ヒータ 4 2 Aを備えプロセス位置 と基板搬入 ·搬出位置との間を上下動自在に設けられた基板保持台 4 2を収納し、 前記基板保持台 4 2と共にプロセス空間 4 1 Bを画成する処理容器 4 1を備えて おり、 前記基板保持台 4 2は駆動機構 4 2 Cにより回動される。 なお、 前記基板 保持台 4 2と駆動機構 4 2 Cとの結合部には磁気シール 4 8が形成され、 磁気シ ール 4 8は真空環境に保持される磁気シール室 4 2 Bと大気環境中に形成される 駆動機構 4 2 Cとを分離している。 磁気シール 4 8は液体であるため、 前記基板 保持台 4 2は回動自在に保持される。
図示の状態では、 前記基板保持台 4 2はプロセス位置にあり、 下側に被処理基 板の搬入 ·搬出のための搬入 ·搬出室 4 1 Cが形成されている。 前記処理容器 4 1はゲートバルブ 4 7 Aを介して基板搬送ユニット 4 7に結合されており、 前記 基板保持台 4 2が搬入 ·搬出 4 1 C中に下降した状態において、 前記ゲートバル ブ 4 7 Aを介して基板搬送ュニット 4 7から被処理基板 Wが基板保持台 4 2上に 搬送され、 また処理済みの基板 Wが基板保持台 4 2から基板搬送ュニット 4 7に 搬送される。 図 23の基板処理装置 40では、 前記処理容器 41のゲートバルブ 47 Aに近 い部分に排気口が形成されており、 前記排気口 41 Aにはバルブ 47 Aを介して ターボ分子ポンプ 43 Bが結合されている。 前記ターボ分子ポンプ 43 Bには、 さらにドライポンプおよびメカニカルブースターポンプを結合して構成したボン プ 44がバルブ 43 Cを介して結合されており、 前記ターボ分子ポンプ 43 Bお よびドライポンプ 44を駆動することにより、 前記プロセス空間 41 Bの圧力を 1. 33 X 10 -1〜 1. 33 X l O-4P a ( 10·3〜 10-6T o r r ) まで減圧する ことが可能になる
一方、 前記排気口 41 Aはバルブ 44 Aおよび APC44 Bを介して直接にも ポンプ 44に結合されており、 前記バルブ 44 Aを開放することにより、 前記プ ロセス空間は、 前記ポンプ 44により 1. 33 P a〜1 3. 3 k P a (0. 01 〜 100 T o r r ) の圧力まで減圧される。
前記処理容器 41には、 被処理基板 Wを隔てて前記排気口 41 Aと対向する側 に酸素ガスを供給される処理ガス供給ノズル 4 1 Dが設けられており、 前記処理 ガス供給ノズル 41 Dに供給された酸素ガスは、 前記プロセス空間 41 B中を前 記被処理基板 Wの表面に沿って流れ、 前記排気口 41 Aから排気される。 このよ うに前記処理ガス供給ノズル 41 Dから供給された処理ガスを活性化し酸素ラジ カルを生成させるため、 図 23の基板処理装置 40では前記処理容器 41上, 前 記処理ガス供給ノズル 41 Dと被処理基板 Wとの間の領域に对応して石英窓 45 Aを有する紫外光源 45が設けられる。 すなわち前記紫外光源 45を駆動するこ とにより前記処理ガス供給ノズル 41 Dからプロセス空間 41 Bに導入された酸 素ガスが活性化され、 その結果形成された酸素ラジカルが前記被処理基板 Wの表 面に沿って流れる。 これにより、 前記被処理基板 Wの表面に、 l nm以下の膜厚 の、 特に 2〜 3原子層分の厚さに相当する約 0. 4 nmの膜厚のラジカル酸化膜 を形成することが可能になる。
また前記処理容器 41には前記被処理基板 Wに対して排気口 41 Aと対向する 側にリモートプラズマ源 46が形成されている。 そこで前記リモートプラズマ源 46に A rなどの不活性ガスと共に窒素ガスを供給し、 これをプラズマにより活 性化することにより、 窒素ラジカルを形成することが可能である。 このようにし て形成された窒素ラジカルは前記被処理基板 Wの表面に沿って流れ、 基板表面を 窒化する。 なお、 リモートプラズマ源 4 6に窒素の代わりに酸素を導入すること で、 基板表面を酸化することも可能である。
図 2 3の基板処理装置 4 0では、 さらに前記搬入 ·搬出室 4 1 Cを窒素ガスに よりパージするパージライン 4 1 cが設けられ、 さらに前記磁気シール室 4 2 B を窒素ガスによりパージするパージライン 4 2 bおよびその排気ライン 4 2 cが 設けられている。 より詳細に説明すると、 前記排気ライン 4 2 cにはバルブ 4 9 Aを介してターボ分子ポンプ 4 9 Bが結合され、 前記ターボ分子ポンプ 4 9 Bは バルブ 4 9 Cを介してポンプ 4 4に結合されている。 また、 前記排気ライン 4 2 cはポンプ 4 4とバルブ 4 9 Dを介して直接に結合されており、 これにより磁気 シール室 4 2 Bを様々な圧力に保持することが可能になる。
前記搬入 ·搬出室 4 1 Cはポンプ 4 4によりバルブ 4 4 Cを介して排気され、 あるいはターボ分子ポンプ 4 3 Bによりバルブ 4 3 Dを介して排気される。 前記 プロセス空間 4 1 B中において汚染が生じるのを回避するために、 前記搬入 ·搬 出室 4 1 Cはプロセス空間 4 1 Bよりも低圧に維持され、 また前記磁気シール室 4 2 Bは差動排気されることで前記搬入 ·搬出室 4 1 Cよりもさらに低圧に維持 される。
以下に、 図 2 3の基板処理装置 4 0を使って行う被処理基板 W表面の紫外光ラ ジカル酸化処理、 およびその後に行われるリモートプラズマラジカル窒化処理に ついて説明する。 紫外光ラジカル酸化処理
図 2 4 A, 2 4 Bは、 それぞれ図 2 3の基板処理装置 4 0を使って被処理基板 Wのラジカル酸化を行う場合を示す側面図および平面図である。
図 2 4 Aを参照するに、 前記処理容器 4 1中には被処理基板 Wとして、 先の実 施例で説明した炭素除去および平坦化処理を行なったシリコン基板が導入され、 前記プロセス空間 4 1 B中には処理ガス供給ノズル 4 1 Dから酸素ガスが供給さ れる。
このようにして供給された酸素は、 被処理基板 Wの表面に沿って流れた後、 排 気口 4 1 A、 ターボ分子ポンプ 4 3 Bおよびポンプ 4 4を通って排気される。 タ ーボ分子ポンプ 4 3 Bを使うことにより、 前記プロセス空間 4 1 Bのプロセス圧 力 基板 Wの酸素ラジカルによる酸化に必要な 1 0 -3〜1 0 -6T o r rの範囲に設 定される。 これと同時に、 好ましくは 1 7 2 n mの波長の紫外光を発生する紫外 光源 4 5を駆動することにより、 このようにして形成された酸素ガス流中に酸素 ラジカルが形成される。 形成された酸素ラジカルは前記被処理基板 Wの表面に沿 つて流れる際に、 回動している基板表面を酸化する。 このような被処理基板 Wの 酸素ラジカルによる酸化により、 先の実施例で説明したように、 シリコン基板表 面に 1 n m以下の膜厚の非常に薄い酸化膜、 特に 2〜 3原子層に相当する約 0 . 4 n mの膜厚の酸化膜を、 安定に再現性良く形成することが可能になる。
図 2 4 Bは図 2 4 Aの構成の平面図を示す。
図 2 4 Bを参照するに、 紫外光源 4 5は酸素ガス流の方向に交差する方向に延 在する管状の光源であり、 ターボ分子ポンプ 4 3 Bが排気口 4 1 Aを介してプロ セス空間 4 1 Bを排気するのがわかる。 一方、 前記排気口 4 1 Aから直接にボン プ 4 4に至る、 図 2 4 B中に点線で示した排気経路は、 バルブ 4 4 Aを閉鎖する ことにより遮断されている。
図 2 4 Bの平面図よりわかるように、 ターボ分子ポンプ 4 3 Bは、 基板搬送ュ ニット 4 7を避けて、 処理容器 4 1の横に突出するような形で配置されている。 図 2 4 A, 2 4 Bの基板処理の結果、 前記シリコン基板 Wの表面には、 図 1 8 の各テラスに対応して、 非常に薄いシリコン酸化膜が形成される。 このようなシ リコン酸化膜の成長の際には、 先に図 1 3あるいは図 1 6で説明した停留現象が 現れ、 力かる停留現象を利用することにより、 酸化膜の膜厚を、 先に説明したよ うに 2〜 3原子層分に対応する約 0 . 4 n mの厚さに設定することが可能である。 リモートプラズマラジカル窒化処理
図 2 5は、 図 2 3の基板処理装置 4 0において使われるリモートプラズマ源 4 6の構成を示す。
図 2 5を参照するに、 リモートプラズマ源 4 6は、 内部にガス循環通路 4 6 a とこれに連通したガス入り口 4 6 bおよびガス出口 4 6 cを形成された、 典型的 にはアルミェゥムよりなるブロック 4 6 Aを含み、 前記ブロック 4 6 Aの一部に はフヱライトコア 4 6 Bが形成されている。
前記ガス循環通路 4 6 aおよびガス入り口 4 6 b、 ガス出口 4 6 cの内面には フッ素樹脂コーティング 4 6 dが施され、 前記フヱライ トコア 4 6 Bに卷回され たコイルに周波数が 4 0 0 k H zの高周波を供給することにより、 前記ガス循環 通路 4 6 a内にプラズマ 4 6 Cが形成される。
プラズマ 4 6 Cの励起に伴って、 前記ガス循環通路 4 6 a中には窒素ラジカル および窒素イオンが形成されるが、 窒素イオンは前記循環通路 4 6 aを循環する 際に消滅し、 前記ガス出口 4 6 cからは主に窒素ラジカル N2*が放出される。 さ らに図 2 5の構成では前記ガス出口 4 6 cに接地されたイオンフィルタ 4 6 eを 設けることにより、 窒素イオンをはじめとする荷電粒子が除去され、 前記処理空 間 4 1 Bには窒素ラジカルのみが供給される。
図 2 6は、 図 2 5のリモートプラズマ源 4 6により形成されるイオンの数と電 子エネルギの関係を、 マイク口波プラズマ源の場合と比較して示す。
図 2 6を参照するに、 マイクロ波によりプラズマを励起した場合には窒素分子 のイオン化が促進され、 多量の窒素イオンが形成されることになる。 これに対し 5 0 0 k H z以下の高周波によりプラズマを励起した場合には、 形成される窒素 イオンの数が大幅に減少する。 マイクロ波によりプラズマ処理を行う場合には、 図 2 7に示すように 1 . 3 3 X 1 0 -3〜: L . 3 3 X 1 0 ·6 Ρ a ( 1 0 -1〜 1 0 ·4Τ o r r ) の高真空が必要になるが、 高周波プラズマ処理は、 1 3 . 3〜 1 3 . 3 k P a ( 0 . :!〜 1 0 O T o r r ) の比較的高い圧力で実行可能である。
以下の表 2は、 マイクロ波によりプラズマを励起する場合と、 高周波によりプ ラズマを励起する場合との間での、 イオン化エネルギ変換効率、 放電可能圧力範 囲、 プラズマ消費電力、 プロセスガス流量を比較を示す。 表 2
イオン化工 放電可能圧 プラズマ消 プロセスガ
ネルギ変換 力範囲 ス ¾量 効率 マイク口波 1. OOxlO—2 0. Ira - 1 - 500W 0 - 100SCCM
0. lTorr
高周波 1. OOxlO-7 0. l-100Torr 1 - 10kW 0.1 - 10SL 表 2を参照するに、 イオン化エネルギ変換効率は、 マイクロ波励起の場合に約 1 X 10-2程度であるのに対し、 RF励起の場合、 約 1 X 10-7まで減少しており、 また放電可能圧力はマイクロ波励起の場合 0. l mT o r r〜0. l T o r r (133mP a〜1 3. 3 P a ) 程度であるのに対し、 R F励起の場合には、 0· 1〜: L O OTo r r (13. 3 P a〜: 13. 3 k P a ) 程度であることがわかる。 これに伴い、 プラズマ消費電力は RF励起の場合の方がマイクロ波励起の場合よ りも大きく、 プロセスガス流量は、 RF励起の場合の法がマイクロ波励起の場合 よりもはるかに大きくなっている。
図 23の基板処理装置では、 酸化膜の窒化処理を窒素イオンではなく窒素ラジ カル N2*で行っており、 このため励起される窒素イオンの数は少ない方が好まし レ、。 また被処理基板に加えられるダメージを最小化する観点からも、 励起される 窒素イオンの数は少ないのが好ましい。 さらに図 23の基板処理装置では、 励起 される窒素ラジカルの数も少なく、 高誘電体ゲート絶縁膜下の非常に薄い、 せい ぜぃ 2〜 3原子層程度の厚さしかないベース酸化膜を窒化するのに好適である。 図 28A, 28Bは、 それぞれ図 23の基板処理装置 40を使って被処理基板 Wのラジカル窒化を行う場合を示す側面図および平面図である。
図 28A, 28 Bを参照するに、 リモートプラズマラジカル源 46には A rガ スと窒素ガスが供給され、 プラズマを数 100 kH zの周波数で高周波励起する ことにより窒素ラジカルが形成される。 形成された窒素ラジカルは前記被処理基 板 Wの表面に沿って流れ、 前記排気口 41 Aおよびポンプ 44を介して排気され る。 その結果前記プロセス空間 41 Bは、 基板 Wのラジカル窒化に適当な、 1. 33 P a〜: 13. 3 k P a (0. 01〜: L O OTo r r) の範囲のプロセス圧に 設定される。 このようにして形成された窒素ラジカルは、 前記被処理基板 Wの表 面に沿って流れる際に、 被処理基板 Wの表面を窒化する。
図 28 A, 28 Bの窒化工程では、 窒化工程に先立つパージ工程で前記バルブ 43 Aおよび 43 Cが開放され、 バルブ 24 Aが閉鎖されることで前記処理空間 418の圧カが1. 33 X 10 -1〜 1. 33 X 10-4P aの圧力まで減圧され、 処 理空間 41 B中に残留している酸素や水分がパージされるが、 その後の窒化処理 ではバルブ 43 Aおよび 43 Cは閉鎖され、 ターボ分子ポンプ 43 Bはプロセス 空間 41 Bの排気経路には含まれない。
このように、 図 23の基板処理装置 40を使うことにより、 被処理基板 Wの表 面に非常に薄い酸化膜を形成し、 その酸化膜表面をさらに窒化することが可能に なる。
図 29 Aは、 図 23の基板処理装置 40により S i基板上に熱酸化処理により 2. 5 nmの厚さに形成された酸化膜を、 図 25の R Fリモートプラズマ源 46 を使って、 表 3に示す条件で窒化した場合の前記酸化膜中における窒素濃度分布 を示し、 図 29Bは、 同じ酸化膜中における窒素濃度分布と酸素濃度分布との関 係を示す。 表 3
Figure imgf000033_0001
表 3を参照するに、 基板処理装置 40を使った RF窒化処理の際には、 前記処 理空間 41 B中に窒素を 50 SCCMの流量で、 また Arを 2 S LMの流量で供 給し、 窒化処理は 1 To r r (1 33 P a) の圧力下で行われるが、 窒化処理開 始前に一旦処理空間 21 Bの内圧を 10-6To r r ( 1.33 X 10 -4P a ) 程度ま で減圧し、 内部に残留している酸素あるいは水分を十分にパージしている。 この ため、 前記 l To r r程度の圧力で行われる窒化処理の際には、 前記処理空間 4 1 B中において残留酸素は A rおよび窒素により希釈されており、 残留酸素濃度、 従って残留酸素の熱力学的な活動度は非常に小さくなっている。
これに対し、 マイクロ波プラズマを使った窒化処理では、 窒化処理の際の処理 圧力がパージ圧と同程度であり、 従ってプラズマ雰囲気中において残留酸素は高 い熱力学的な活動度を有するものと考えられる。
図 2 9 Aを参照するに、 マイクロ波励起プラズマにより窒化した場合には酸化 膜中に導入される窒素の濃度は限られており、 酸化膜の窒化は実質的に進行して いないことがわかる。 これに対し本実施例のように R F励起プラズマにより窒化 した場合には、 酸化膜中において窒素濃度が深さと共に直線的に変化し、 表面近 傍では 2 0 %近い濃度に達していることがわかる。
図 3 0は、 X P S (X線光電子分光スペク トル) を使って行う図 2 9 Aの測定 の原理を示す。
図 3 0を参照するに、 シリコン基板 2上に酸化膜 3を形成された試料には所定 の角度で斜めに X線が照射され、 励起された光電子スぺク トルを検出器 D E T 1, D E T 2により、 様々な角度で検出する。 その際、 例えば 9 0 ° の深い検出角に 設定された検出器 D E T 1では励起光電子の酸化膜 1 2内における行路が短く、 従って前記検出器 D E T 1で検出される光電子スぺク トルには酸化膜 3の下部の 情報を多く含まれるに对し、 浅い検出角に設定された検出器 D E T 2では、 励起 光電子の酸化膜 3中における行路が長く、 従って、 検出器 D E T 2は主に酸化膜 3の表面近傍の情報を検出する。
図 2 9 Bは、 前記酸化膜中における窒素濃度と酸素濃度との関係を示す。 ただ し図 2 9 B中、 酸素濃度は 0ls軌道に対応する X線強度により表されている。
図 2 9 Bを参照するに、 酸化膜の窒化を本発明のように R Fリモートプラズマ で行った場合には、 窒素濃度の増大に伴って酸素濃度が減少しており、 酸化膜中 において窒素原子が酸素原子を置き換えていることがわかる。 これに対し、 酸化 膜の窒化をマイクロ波プラズマで行った場合には、 このような置換関係は見られ ず、 窒素濃度と共に酸素濃度が低下する関係は見られない。 また特に図 2 9 Bに おいては、 マイクロ波窒化により 5〜6 %の窒素を導入した例においては酸素濃 度の増加が見られており、 これは窒化と共に酸化膜の増膜が起こることを示唆し ている。 このようなマイクロ波窒化に伴う酸素濃度の増加は、 マイクロ波窒化が 高真空中において行われ、 従って処理空間中に残留する酸素あるいは水分が高周 波リモートプラズマ窒化の場合のように A rガスや窒素ガスにより希釈されるこ とがなく、 雰囲気中において高い活動度を有することによるものと考えられる。 図 31は、 図 23の基板処理装置 40において酸化膜を 4 A (0. 4 nm) お よび 7 A (0. 7 nm) の厚さに形成し、 これを前記リモートプラズマ源 46を 使った図 28A, 28 Bの窒化工程により窒化した場合の窒化時間と膜中の窒素 濃度との関係を示す。 また図 32は、 図 3 1の窒化処理に伴う窒素の酸化膜膜表 面への偏祈の様子を示す。 なお図 31, 32には、 酸化膜を急速熱酸化処理によ り 5A (0. 5 nm) および 7 A (0. 7 n m) の厚さに形成した場合をも示し ている。
図 3 1を参照するに、 膜中の窒素濃度は、 いずれの酸化膜であっても窒化処理 時間と共に上昇するが、 特に紫外光ラジカル酸化により形成された 2原子層分に 対応する 0. 4 nmの膜厚を有する酸化膜の場合に、 あるいはこれに近い 0. 5 nmの膜厚を有する熱酸化膜の場合に、 膜中の窒素濃度が最大になっている。 図 32においては図 30において検出器 DET 1および DET 2をそれぞれ 3 0° および 90° の検出角に設定して窒素濃度を検出した結果を示す。
図 32中、 図 32の縦軸は 30° の検出角で得られる膜表面に偏析している窒 素原子からの X線スペク トル強度を、 90° の検出角で得られる膜全体に分散し ている窒素原子からの X線スぺク トル強度の値で割ったものになっており、 この 値が大きい場合には、 表面への窒素の偏祈が生じていることを示す。
図 32を参照するに、 酸化膜が紫外光励起酸素ラジカル処理により 7Aの膜厚 に形成されたものの場合, 窒素原子は当初表面に偏祈するが、 90秒間の窒化処 理を行った後では、 膜中にほぼ一様に分布していることがわかる。 また他の膜で も、 90秒間の窒化処理で、 窒素原子の膜中の分布はほぼ一様になることがわか る。
図 33の実験では、 図 23の基板処理装置 40において、 前記紫外光ラジカル 酸化処理およびリモートプラズマ窒化処理を、 1 0枚のウェハ (ウェハ # 1〜ゥ ェハ # 10) について繰り返し実行した。 図 33は、 このようにして得られた酸 窒化膜のウェハ毎の膜厚変動を示す。 ただし図 33の結果は、 図 23の構成にお いて前記紫外光源 45を駆動して行う紫外光ラジカル酸化処理の際、 XPS測定 により求めた酸化膜の膜厚が 0. 4 nmになるように酸化膜を形成し、 次いでこ のようにして形成された酸化膜を、 前記リモートプラズマ源 46を駆動して行う 窒化処理により、 窒素原子を約 4%含む酸窒化膜に変換した場合についてのもの である。
図 33を参照するに、 縦軸は、 このようにして得られた酸窒化膜についてエリ プソメ トリにより求めた膜厚を示すが、 図 33よりわかるように得られた膜厚は ほぼ 8 A (0. 8 nm) で、 一定していることがわかる。
図 34は、 図 23の基板処理装置 40により膜厚が 0. 4 nmの酸化膜をシリ コン基板上に紫外光源 45を使ったラジカル酸化処理により形成した後、 これを リモートプラズマ源 46により窒化した場合の、 窒化による膜厚増を調べた結果 を示す。
図 34を参照するに、 当初 (窒化処理を行う前) 膜厚が約 0. 38 nmであつ た酸化膜は、 窒化処理により 4〜 7%の窒素原子を導入された時点で膜厚が約 0. 5 nmまで増大しているのがわかる。 一方、 窒化処理により窒素原子を約 1 5% 導入した場合には膜厚は約 1. 3 nmまで増大しており、 この場合には導入され た窒素原子が酸化膜を通過してシリコン基板中に侵入し、 窒化膜を形成している ものと考えられる。
図 34中には、 厚さが 0. 4 nmの酸化膜中に窒素を一層分だけ導入した理想 的なモデル構造についての窒素濃度と膜厚との関係を▲で示している。
図 34を参照するに、 この理想的なモデル構造では、 窒素原子導入後の膜厚が 約 0. 5 nmとなり、 その場合の膜厚の増加は約 0. 1 1 111, 窒素濃度は約1 2%となる。 このモデルを基準とすると、 図 23の基板処理装置 40により酸化 膜の窒化を行う場合、 膜厚増は同程度の 0. 1〜0. 2 nmに抑制するのが好ま しいことが結論される。 またその際に膜中に取り込まれる窒素原子の量は、 最大 で 12%程度になると見積もられる。
図 35A, 35 Bは、 図 23の基板処理装置 40によりシリコン基板 W上に酸 化膜を、 シリコン基板 Wを駆動機構 42 Cにより回転させながら 2 nmの厚さに 形成し、 形成された酸窒化膜の窒素濃度分布および膜厚分布を測定した結果を示 す。 ただし図 35A, 35Bの実験は、 2 nmの厚さに形成されたシリコン基板 を回転させながら、 1 33 P aの圧力下、 450°Cの基板温度で A rガスを 2 S L M、 窒素ガスを 5 0 s c c mの流量供給しながら行っている。 図 3 5 A中、 基 板表面のうち窒素が濃集している部分が明るく示されている。 また図 3 5 Bには、 エリプソメ トリで求めた酸窒化膜の膜厚と X P S分析で求めた窒素濃度とが示さ れている。
図 3 5 A, 3 5 Bの結果は、 図 2 3の基板処理装置 4 0においてこのように基 板 Wを回転させ、 さらに A rガスおよび窒素ガスの流量を最適化することにより、 非対称なラジカル流が生じる基板処理装置 4 0においても、 基板 Wの表面全体に わたり、 ほぼ一様な窒素分布を実現することができることを示している。 [第 4実施例]
先にも説明したように、 図 1の高誘電体ゲート絶縁膜を有する半導体装置 1を 製造する場合には、 このような基板処理装置 4 0で形成されたベース酸化膜 3上 に高誘電体膜 4を形成する必要がある。
高誘電体膜 4は典型的には C V D法により形成され、 例えば Z r 02膜を形成す る場合には Z r C 1 4やその他の Z rを含む気相原料を使い、 これを酸化すること により Z r O2膜を堆積させる。
このような高誘電体膜 1 3の形成は、 図 2 8 A, 2 8 Bのラジカル酸化膜の窒 化工程に引き続いて、 被処理基板を外気に触れさせることなく行うことが好まし く、 このため図 2 3の基板処理装置 4 0は C V D室を含んだクラスタ型の基板処 理装置中に組み込むのが望ましい。 また、 このようなクラスタ型の基板処理装置 には、 先に説明した U V _ N2処理による有機物除去工程と原子層レベルでの平坦 化処理工程とを組み込むのが好ましい。
図 3 6は、 本発明の第 4実施例によるこのようなクラスタ型基板処理装置 5 0 の概略的な構成を示す。
図 3 6を参照するに、 クラスタ型基板処理装置 5 0は、 被処理基板 Wを出し入 れするカセットモジュール 5 1と、 前記カセットモジュール 5 1にゲートバルブ を介して結合された基板搬送室 5 2とを含み、 前記基板搬送室 5 2には、 さらに D H F処理を行う基板洗浄室 5 3, 先の実施例で説明した有機物除去処理を行う U V— N2処理室5 4, 平坦化処理を行う急速熱処理室 (R T P ) 5 5, 図 2 3の 基板処理装置 4 0を含み U V— 02ラジカル処理によるベース酸化膜の形成および プラズマラジカルによる窒化処理を行う U V— 02/ P L A S MA— N2処理室 5 6, A L D法などにより高誘電体膜の堆積を行う C V D室 5 7, および冷却室 5 8が結合される。
そこでカセットモジュール 5 1から基板搬送室 5 2に導入された被処理基板 W はまず基板洗浄室 5 3に送られ、 自然酸化膜を除去される。 次いで被処理基板 W は基板搬送室 5 2を U V— N2処理室 5 4に送られ、 有機物が除去される。 さらに 被処理基板 Wは R T P室 5 5に基板搬送室 5 2を介して搬送され、 原子層レベル での平坦化処理がなされる。
このように平坦化処理がなされた被処理基板 Wは、 ついで基板搬送室 5 2を介 して U V— 02Z P L A S MA— N2処理室 5 6に送られ、 図 1のベース酸化膜 3 および窒化膜 3 Aが形成される。
その後、 被処理基板 Wは基板搬送室 5 2を通って C V D室 5 7に送られて高誘 電体膜 4が形成され、 さらに急速熱処理室 5 5に送られて結晶化および酸素欠損 補償がなされる。 急速熱処理室 5 5における処理の後、 被処理基板 Wは基板搬送 室 5 2を通ってカセットモジュール 5 1に送られる。
ところで、 図 3 6のクラスタ型基板処理装置 5 0では、 各々の処理室 5 3〜 5 8には協働する様々な装置類が設けられており、 その結果、 処理室はそれ自体の 他に、 図 3 6中に破線で示す面積を必要とする。 その際、 処理室のうち、 基板搬 送室 5 2に面する側の部分は、 他の処理室との間隔が狭く、 利用可能なスペース が限られていることがわかる。
そこで、 このようなクラスタ型の基板処理装置 5 0において図 2 3の基板処理 装置 4 0を使おうとすると、 処理容器 4 1が基板搬送室 5 2に結合されることに なるが、 その場合、 図 2 4 Bに示されている、 処理容器 4 1の基板搬送室 5 2に 近い側において側方に突出するターボ分子ポンプ 4 3 Bが隣接する処理室と干渉 してしまう問題が生じる。
ターボ分子ポンプ 4 3 Bは処理容器 4 1の減圧を速やかに行うために排気口 4 1 Aの近傍に設ける必要があるが、 基板搬送室 4 2の下には搬送ロボットなど、 様々な装置が設けられており、 これに利用できるスペースは存在しない。 また、 処理容器 4 1の下には基板回転機構 42 Cをはじめとする様々な装置が設けられ ており、 やはりターボ分子ポンプ 23 Bを設けるスペースは得られない。
図 37A, 37 Bは、 本発明の一実施例による基板処理装置 4 OAの構成を示 す、 それぞれ側面図および平面図である。 ただし図 37 A, 37B中、 先に説明 した部分には同一の参照符号を付し、 説明を省略する。
図 37A, 37 Bを参照するに、 基板処理装置 40はターボ分子ポンプ 23 B を、 図 36のようなクラスタ型基板処理装置を構成した場合にスペースの余裕が 得られる処理容器 41の外側、 すなわち前記基板搬送ュニット 47と反対の側に 配置する。 これに伴い、 前記処理容器 41には前記ターボ分子ポンプ 43 Bに協 働する排気口 41 Eが、 前記基板搬送室と反対の側に形成される。 さらに酸素ラ ジカルが前記被処理基板 Wの表面を通って前記排気口 471 Eに流れるように、 酸素を導入する処理ガスノズル 4 1Dおよび紫外光源 45が、 被処理基板 Wより も前記基板搬送室 47に近い側に設けられる。
前記ターボ分子ポンプ 43 Bは前記処理容器 41の下部に垂直な向きで、 すな わち吸気口と排気口とが上下に配列するような向きで、 バルブ 43 Aを介して結 合されており、 前記ターボ分子ポンプ 43 Bの排気口は、 前記処理容器 41の排 気口 41 Aからバルブ 44 Aを経て前記ポンプ 44に至る排気ラインに、 バルブ 44 Aの後ろで結合されている。
基板処理装置 4 OAはターボ分子ポンプ 43 Bが外側、 すなわち基板搬送ュニ ット 47と反対の側に形成配置されるため、 図 36のようなクラスタ型の基板処 理装置を構成しても、 ターボ分子ポンプ 43 Bが隣接する処理室と干渉する問題 は生じない。
図 38A, 38Bは、 前記基板処理装置 4 OAを使って図 1のベース酸化膜 3 を形成する工程を示す。
図 38A, 38 Bを参照するに、 ベース酸化膜形成工程ではバルブ 43 Aおよ ぴ 43 Cが開放され、 バルブ 44 Aが閉鎖される。 その結果、 前記プロセス空間 43 Bは前記排気口 4 1 Eにおいてターボ分子ポンプ 43Bにより 1. 33 X 1 0 -1〜 1. S S X l O^P a (10-3〜: L 0·6Το r r) の高真空状態に減圧され、 この状態で前記処理ガスノズル 4 1 Dから酸素ガスがプロセス空間 4 1 Bに導入 される。 さらに前記被処理基板 Wを基板回転機構 42 Cにより回転させながら紫 外光源 45を適当なエネルギで駆動することにより、 形成された酸素ラジカルが 基板表面に沿って排気口 4 1 Eへと流れ、 基板表面を一様に酸化する。 これによ り、 1 nm以下、 特に 2〜 3原子層の膜厚に対応する約 0. 4 nmの膜厚を有す る非常に薄いシリコン酸化膜を、 シリコン基板表面に一様に再現性良く安定に形 成することが可能になる。 もちろん、 厚さが 1 nmを超えるシリコン酸化膜を形 成することも可能である。
図 39A, 39 Bは、 本実施例の基板処理装置 4 OAを使い、 図 38 A, 38 Bの工程の後、 形成されたベース酸化膜 1 2の表面を窒化し、 酸窒化膜 1 2 Aを 形成する工程を示す。
図 39A, 39Bを参照するに、 窒化工程では前記バルブ 43 Aおよび 43 C が閉鎖され、 バルブ 44 Aが開放される。 これによりターボ分子ポンプ 43 Bは 排気系から遮断され、 前記プロセス空間 41 Bは前記ポンプ 44により、 直接に 排気され、 1. 33 P a〜: 1 3. 3 k P a (0. 01〜: L O OTo r r) の圧力 に減圧される。
この状態で前記リモートプラズマ源 46に A rガスと窒素ガスとを供給し、 さ らにこれを高周波励起することにより、 窒素ラジカルが形成される。 形成された 窒素ラジカルは、 前記被処理基板 Wの表面に沿って排気口 4 1 Aへと流れ、 その 際に回転している被処理基板 Wの表面を一様に窒化する。 このような窒化により、 図 1に示すベース酸化膜 3の表面は酸窒化膜 3 Aに変換される。
本実施例の基板処理装置 4 OAを、 図 36に示すクラスタ型基板処理装置 50 において処理室 56に使うことにより、 このようにして形成された酸窒化膜 3 A を含むベース酸化膜 3上に、 引き続いて Z r 02, H f O2, Ta205, Z r S i O 4, Hf S i O4, A 1203などの高誘電体膜 4を形成することが可能になる。
なお以上の説明では、 基板処理装置 4 OAを使って非常に薄いベース酸化膜を 形成する例を説明したが、 本発明はかかる特定の実施例に限定されるものではな く、 シリコン基板あるいはシリコン層上に高品質の酸化膜、 窒化膜あるいは酸窒 化膜を、 所望の膜厚に形成するのに適用することが可能である。 [第 5実施例]
図 4 0 A, 4 O Bは、 本発明の第 5実施例による U V— N2基板処理装置 6 0の 構成を示す。
図 4 0 A, 4 0 Bを参照するに、 基板処理装置 6 0は図 2の基板処理装置 1 0 の一変形例となっており、 排気ポート 6 1 Aより排気され被処理基板 6 2を保持 する処理容器 6 1を備え、 前記被処理基板 6 2は、 前記処理容器 6 1中において、 石英ガラスよりなり光学的に透明な基板保持台 6 1 B上に保持される。 さらに前 記処理容器 6 1中には、 前記被処理基板 6 2に対向するように石英ガラスシャヮ 一へッド 6 1 Cが形成されている。
前記処理容器 6 1の上部には、 前記被処理基板 6 2に対向するように石英ガラ ス窓 6 1 Dが形成されており、 前記石英ガラス窓 6 1 Dの外側には複数の線状ェ キシマランプを配列した紫外光源 6 2が形成されている。 また前記処理容器 6 1 の底部にも、 前記被処理基板 6 2の底面に対応して別の石英ガラス窓 6 1 Eが形 成されており、 前記石英ガラス窓 6 1 Eの外側には、 赤外加熱ランプ 6 3が形成 されている。 また、 前記石英ガラス窓 6 1 Dと紫外光源 6 2との間には、 前記紫 外光源 6 2を保護するために可動シャツタ機構 6 4が形成されている。
図 4 0 Aの状態では、 前記処理容器 6 1中に窒素ガスが導入されており、 前記 可動シャツタ機構 6 4を開放し、 紫外光源 6 2を駆動することにより、 前記被処 理基板 6 2表面の有機物等の炭素汚染が除去される。
図 4 O Aの状態では、 前記赤外!] P熱ランプ 6 3は駆動されない。 その結果、 先 に説明したように、 前記シリコン基板 6 2表面に付着していた、 空気中に含まれ る炭化水素等に起因する有機物が紫外光源 6 2からの紫外光により分解し、 窒素 ガスとともに処理容器 6 1の外に排出される。
次に図 4 0 Bの状態で前記紫外光源 6 2が消勢され、 シャツタ機構 6 4が閉じ られた後、 前記処理容器 6 1中に A rガスが導入される。 さらに前記赤外過熱ラ ンプ 6 3を駆動することにより、 前記シリコン基板 6 2が加熱され、 基板表面の シリコン原子が移動して原子層ステップを形成する。 図 4 0 Bの状態では基板表 面から炭素原子が図 4 O Aの工程において除去されているため、 シリコン基板表 面に S i Cなどの欠陥が形成されることがなく、 シリコン原子がかかる欠陥によ つてピニングされることがない。 このため、 9 4 0 °C以上の温度があれば、 シリ コン原子はシリコン基板表面を自由に移動することが可能である。
図 4 0 Bの工程の後、 前記赤外加熱ランプ 6 3は消勢され、 再び図 4 O Aの状 態に戻ってシャツタ機構 6 4が開放され、 前記処理容器 6 1中に酸素ガスが導入 される。 さらに前記紫外光源 6 3を駆動することにより、 先に図 4 0 Bの工程に おいて原子層レベルまで平坦化されたシリコン基板 6 2の表面に非常に薄い、 2 〜 3原子層の厚さのシリコン酸ィ匕膜が形成される。
図 4 0の基板処理装置 6 0においても、 処理温度圧力とも、 通常の半導体装置 製造に使われる程度の値であり、 また水素処理を必要としないため、 前記基板処 理装置 6 0は、 他の基板処理装置とともに、 クラスタ型の枚葉処理装置を構築す るのに適している。
以上、 本発明を好ましい実施例について説明したが、 本発明は上記の特定の実 施例に限定されるものではなく、 特許請求の範囲に記載した要旨内において様々 な変形 ·変更が可能である。 産業上の利用可能性
本発明によれば、 熱処理による基板表面の平坦化工程に先立って、 基板表面の 炭素を、 好ましくは紫外光励起窒素ガス (U V— N2) 処理により除去することに より、 基板表面の平坦化処理の際にシリコン原子をピユングする S i C等の不純 物形成が抑制され、 9 4 0 °C程度の比較的低温の熱処理であってもシリコン原子 は基板表面を自由に動くことが可能になる。 その結果、 ポリッシュ基板のような 表面に不規則な凹凸が存在するような基板でも凹凸が平坦化され、 原子層ステツ プが現れた非常に平坦性の優れた基板表面が得られる。 その際、 かかる平坦化処 理を、 従来のような超高真空環境中、 あるいは水素炉中において行なう必要はな く、 通常の A rなどの希ガス雰囲気で行なうことが可能である。 そのため、 本発 明の基板処理方法は、 他の基板処理工程と組み合わせて枚葉式の基板処理を行な うクラスタ型の半導体製造装置を構築するのに適している。
本発明においては、 シリコン基板表面に残留する炭化水素等の有機物が窒素雰 囲気中における紫外光照射により分解 ·低分子化され、 その結果生成した低分子 炭素化合物が低圧 N2雰囲気中で昇華し、 シリコン基板表面から除去されると考え られる。 本発明で使われる紫外光の波長では、 窒素ガス自体は活性化されず、 シ リコン基板表面に窒化膜が形成されることはない。 前記 U V— N2処理は C = N結 合以外の炭素結合を切ることができるように 1 5 0 n m以上、 2 7 0 n m以下の 波長、 特に約 1 7 2 n mの波長を有するのが好ましい。

Claims

請求の範囲
1. シリコン基板表面から炭素を除去する工程と、
前記炭素を除去されたシリコン基板表面を平坦化する工程とよりなることを特 徴とする基板処理方法。
2. 前記炭素を除去する工程は、 シリコン基板表面に紫外光に対して不活性 な処理ガスを流し、 シリコン基板表面を紫外光により活性化することにより実行 されることを特徴とする特許請求の範囲 1記載の基板処理方法。
3. 前記処理ガスは窒素ガスであることを特徴とする請求項 2記載の基板処 理方法。
4. 前記紫外光は、 270 nm以下で 1 50 nm以上の波長を有することを 特徴とする請求項 2記載の基板処理装置。
5. 前記紫外光は、 1 70 nmの波長を有することを特徴とする請求項 2記 載の基板処理方法。
6. 前記炭素を除去する工程は、 550°C以下の温度で実行されることを特 徴とする請求項 1記載の基板処理方法。
7. 前記炭素を除去する工程は、 約 450°Cの温度で実行されることを特徴 とする請求項 1記載の基板処理方法。
8. 前記炭素を除去する工程は、 1. 33 X 104〜1. 33 X 1 0-3P aの 圧力下で実行されることを特徴とする請求項 1記載の基板処理方法。
9. 前記平坦化工程は、 940°C以上の温度において実行されることを特徴 とする請求項 1記載の基板処理方法。
10. 前記平坦化工程は、 希ガス雰囲気中において実行されることを特徴と する請求項 1記載の基板処理方法。
1 1. 前記平坦化工程は、 ランプ加熱工程を含むことを特徴とする請求項 9 記載の基板処理装置。
1 2. 前記平坦化工程は、 前記炭素除去工程の後、 同一の処理容器中におい て、 連続して実行されることを特徴とする請求項 1記載の基板処理方法。
1 3. 前記炭素除去工程は第 1の処理容器中において実行され、 前記平坦化 工程は、 前記第 1の処理容器に真空搬送路で結合された第 2の処理容器中におい て実行されることを特徴とする請求項 1記載の基板処理方法。
1 4 . 前記平坦化工程の後、 前記シリコン基板表面に酸化ガスを流し、 これ を紫外光により活性化することにより酸化する工程を含むことを特徴とする請求 項 1記載の基板処理方法。
1 5 . 前記平坦化工程の後、 前記シリコン基板表面に酸化ガスを流し、 これ を紫外光により活性化することにより酸化する工程を含み、 前記酸化ガスを活性 化する紫外光は、 前記シリコン基板表面を活性化するのに使われる紫外光と同一 の波長を有することを特徴とする請求項 2記載の基板処理方法。
1 6 . 被処理基板を保持する基板保持台を備え、 排気系により排気される処 理容器と、
前記処理容器中に窒素ガスを導入する第 1のガス供給系と、
前記処理容器の一部に形成された第 1の光学窓と、
前記処理容器の外側に、 前記第 1の光学窓を介して前記基板保持台上の被処理 基板を照射するように設けられた紫外光源とよりなることを特徴とする基板処理
1 7 . さらに前記処理容器の一部に形成された第 2の光学窓と、 前記処理容 器の外側に、 前記第 2の光学窓を介して前記基板保持台上の被処理基板を照射す るように設けられた赤外線ランプと、 前記処理容器中に希ガスを導入する第 2の ガス導入系を備えたことを特徴とする基板処理装置。
1 8 . 前記第 1の光学窓と前記第 2の光学窓とは、 前記基板保持台上の被処 理基板を挟んで略対向するように形成されることを特徴とする請求項 1 7記載の 基板処理装置。
1 9 . 前記第 1の光学窓と前記紫外光源との間には、 シャツタ機構が設けら れていることを特徴とする請求項 1 8記載の基板処理装置。
2 0 . カセッ トモジユーノレと、
前記カセットモジュールに結合して設けられ、 基板搬送機構を保持する真空搬 送路と、
被処理基板を保持する基板保持台を備え、 排気系により排気される処理容器と、 前記処理容器中に窒素ガスを導入する第 1のガス供給系と、 前記処理容器に酸素 ガスを供給する第 2のガス供給系と、 前記処理容器の一部に形成された光学窓と、 前記処理容器の外側に、 前記光学窓を介して前記基板保持台上の被処理基板を照 射するように設けられた紫外光源とを備え、 前記真空搬送路に結合した第 1の基 板処理室と、
前記真空搬送路に結合して設けられ、 希ガス雰囲気中において前記被処理基板 に熱処理を行なう第 2の基板処理室とよりなることを特徴とするクラスタ型半導
2 1 . さらに前記基板搬送機構及び前記第 1および第 2のガス供給系を制御 する制御装置を備え、 前記制御装置は、 前記カセッ トモジュールから導入された 被処理基板を、 前記基板搬送機構により前記第 1の基板処理室に搬送し、 前記第 1の基板処理室中に前記第 1のガス供給系より窒素ガスを導入し、 前記紫外光源 を駆動して前記第 1の基板処理室中において前記被処理基板表面から炭素を除去 する工程を実行し、 また前記炭素除去工程を終わった被処理基板を、 前記基板搬 送機構により前記第 2の基板処理室に搬送し、 前記第 2の基板処理室において前 記希ガス中における熱処理により基板表面を平坦化する平坦化工程を実行し、 さ らに前記平坦化工程を終わった被処理基板を前記基板搬送機構により前記第 1の 基板処理室に搬送し、 前記第 2のガス供給系を駆動して前記第 2のガス供給系よ り酸素ガスを導入し、 前記平坦化工程を終わった被処理基板表面を酸化する酸化 工程を実行することを特徴とする請求項 2 0記載のクラスタ型半導体製造装置。
2 2 . さらに前記真空搬送室に結合して設けられ、 前記被処理基板上に高誘 電体膜を堆積する第 3の基板処理室を備え、 前記制御装置は、 前記酸化工程を終 えた被処理基板を、 前記真空搬送機構により前記第 3の基板処理室に搬送し、 前 記高誘電体膜を堆積することを特徴とする請求項 2 1記載のクラスタ型半導体製
2 3 . カセッ トモジユーノレと、
前記カセットモジュールに結合して設けられ、 基板搬送機構を保持する真空搬 送路と、
被処理基板を保持する基板保持台を備え排気系により排気される処理容器と、 前記処理容器中に窒素ガスを導入する第 1のガス供給系と、 前記処理容器に希ガ スを供給する第 2のガス供給系と、 前記処理容器中に酸素ガスを導入する第 3の ガス供給系と、 前記処理容器の一部に形成された第 1の光学窓と、 前記処理容器 の外側に、 前記第 1の光学窓を介して前記基板保持台上の被処理基板を照射する ように設けられた紫外光源と、 前記処理容器の外側に、 前記第 2の光学窓を介し て前記基板保持台上の被処理基板を照射するように設けられたランプ光源とを備 え、 前記真空搬送路に結合して設けられた第 1の基板処理室と、
前記真空搬送路に結合して設けられ、 前記被処理基板に高誘電体膜を堆積する 第 2の基板処理室とよりなることを特徴とするクラスタ型半導体製造装置。
2 4 . さらに前記基板搬送機構及び前記第 1および第 2のガス供給系を制御 する制御装置を備え、 前記制御装置は、 前記カセッ トモジュールから導入された 被処理基板を前記基板搬送機構により前記第 1の基板処理室に搬送し、 前記第 1 の基板処理室中に前記第 1のガス供給系より窒素ガスを導入し、 前記紫外光源を 駆動して前記第 1の基板処理室中において前記被処理基板表面から炭素を除去す る工程を実行し、 また前記炭素除去工程の後、 前記第 1の基板処理室中に前記第 2のガス供給系より希ガスを導入し、 前記ランプ光源を駆動することにより基板 表面を平坦化する平坦化工程を実行し、 さらに前記平坦化工程の後、 前記第 1の 基板処理室中に前記第 3のガス供給系より酸素ガスを導入し、 前記被処理基板表 面を酸化する酸化工程を実行し、 さらに前記酸化工程の後、 前記被処理基板を前 記基板搬送機構により前記第 2の基板処理室に搬送し、 前記高誘電体膜の堆積を 行うことを特徴とする請求項 2 3記載のクラスタ型半導体製造装置。
PCT/JP2002/013851 2002-01-23 2002-12-27 Dispositif et procede pour traiter un substrat, et appareil de production de dispositifs a semiconducteurs WO2003063220A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP02792066A EP1469509A4 (en) 2002-01-23 2002-12-27 METHOD AND DEVICE FOR PROCESSING A SUBSTRATE AND DEVICE FOR PRODUCING A SEMICONDUCTOR CONSTRUCTION ELEMENT
US10/473,205 US7125799B2 (en) 2002-01-23 2002-12-27 Method and device for processing substrate, and apparatus for manufacturing semiconductor device
KR1020037016484A KR100638931B1 (ko) 2002-01-23 2002-12-27 기판 처리 방법 및 장치, 클러스터형 반도체 제조 장치

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002-14773 2002-01-23
JP2002014773A JP3778432B2 (ja) 2002-01-23 2002-01-23 基板処理方法および装置、半導体装置の製造装置

Publications (1)

Publication Number Publication Date
WO2003063220A1 true WO2003063220A1 (fr) 2003-07-31

Family

ID=27606097

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2002/013851 WO2003063220A1 (fr) 2002-01-23 2002-12-27 Dispositif et procede pour traiter un substrat, et appareil de production de dispositifs a semiconducteurs

Country Status (6)

Country Link
US (1) US7125799B2 (ja)
EP (1) EP1469509A4 (ja)
JP (1) JP3778432B2 (ja)
KR (1) KR100638931B1 (ja)
CN (1) CN1254851C (ja)
WO (1) WO2003063220A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005029568A2 (en) * 2003-09-16 2005-03-31 Tokyo Electron Limited INTERFACIAL OXIDATION PROCESS FOR HIGH-k GATE DIELECTRIC PROCESS INTEGRATION
DE112005002160T5 (de) 2004-09-09 2009-03-12 Tokyo Electron Ltd. Dünnfilmkondensator und Verfahren zum Bilden desselben sowie computerlesbares Speichermedium
US7547633B2 (en) 2006-05-01 2009-06-16 Applied Materials, Inc. UV assisted thermal processing

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4647499B2 (ja) 2003-12-18 2011-03-09 東京エレクトロン株式会社 成膜方法およびコンピュータ可読記録媒体
JP4860113B2 (ja) * 2003-12-26 2012-01-25 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
EP1742273A4 (en) * 2004-04-09 2008-07-09 Tokyo Electron Ltd METHOD FOR FORMING GRID ISOLATION FILM, STORAGE MEDIUM, AND COMPUTER PROGRAM
JP2006114747A (ja) * 2004-10-15 2006-04-27 Seiko Epson Corp 半導体装置の製造方法
JP4509839B2 (ja) * 2005-03-29 2010-07-21 東京エレクトロン株式会社 基板処理方法
US20060255282A1 (en) * 2005-04-27 2006-11-16 The Regents Of The University Of California Semiconductor materials matrix for neutron detection
US8829460B2 (en) * 2005-04-27 2014-09-09 Lawrence Livermore National Security, Llc Three-dimensional boron particle loaded thermal neutron detector
US8558188B2 (en) 2005-04-27 2013-10-15 Lawrence Livermore National Security, Llc Method for manufacturing solid-state thermal neutron detectors with simultaneous high thermal neutron detection efficiency (>50%) and neutron to gamma discrimination (>1.0E4)
US8314400B2 (en) * 2005-04-27 2012-11-20 Lawrence Livermore National Security, Llc Method to planarize three-dimensional structures to enable conformal electrodes
KR101233059B1 (ko) * 2005-06-22 2013-02-13 액셀리스 테크놀로지스, 인크. 유전 물질을 처리하는 장치 및 프로세스
US8008214B2 (en) * 2005-12-16 2011-08-30 Samsung Electronics Co., Ltd. Method of forming an insulation structure and method of manufacturing a semiconductor device using the same
JP2007194582A (ja) * 2005-12-20 2007-08-02 Tokyo Electron Ltd 高誘電体薄膜の改質方法及び半導体装置
US7527695B2 (en) * 2006-06-21 2009-05-05 Asahi Glass Company, Limited Apparatus and method for cleaning substrate
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
JP2008109014A (ja) * 2006-10-27 2008-05-08 Covalent Materials Corp 半導体ウェーハおよびその製造方法
EP2058844A1 (en) * 2007-10-30 2009-05-13 Interuniversitair Microelektronica Centrum (IMEC) Method of forming a semiconductor device
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US8296859B2 (en) * 2008-03-24 2012-10-23 The Board Of Trustees Of The Leland Stanford Junior University Prototyping station for atomic force microscope-assisted deposition of nanostructures
WO2011093203A1 (ja) * 2010-01-29 2011-08-04 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び半導体装置
WO2012014881A1 (ja) * 2010-07-27 2012-02-02 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP2012061585A (ja) * 2010-09-17 2012-03-29 Tokyo Electron Ltd 真空処理装置、真空処理方法及び微細加工装置
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120225203A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9390968B2 (en) * 2011-09-29 2016-07-12 Intel Corporation Low temperature thin wafer backside vacuum process with backgrinding tape
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6080101B2 (ja) * 2013-02-15 2017-02-15 信越半導体株式会社 シリコン基板の再結合ライフタイム測定方法
WO2015129623A1 (ja) 2014-02-27 2015-09-03 株式会社Screenホールディングス 基板処理装置および基板処理方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
JP6301796B2 (ja) * 2014-09-24 2018-03-28 日本電子株式会社 有機化合物除去装置
US10276362B2 (en) * 2016-04-29 2019-04-30 Infineon Technologies Ag Method for processing a semiconductor region and an electronic device
JP7038564B2 (ja) * 2018-02-22 2022-03-18 東京エレクトロン株式会社 膜形成方法及び基板処理装置
WO2020068338A1 (en) * 2018-09-24 2020-04-02 Applied Materials, Inc. Atomic oxygen and ozone device for cleaning and surface treatment
US10861722B2 (en) * 2018-11-13 2020-12-08 Applied Materials, Inc. Integrated semiconductor processing
JP7321730B2 (ja) 2019-03-14 2023-08-07 キオクシア株式会社 半導体装置の製造方法
KR102433558B1 (ko) * 2019-07-11 2022-08-19 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11798799B2 (en) * 2021-08-09 2023-10-24 Applied Materials, Inc. Ultraviolet and ozone clean system

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61270830A (ja) * 1985-05-24 1986-12-01 Nec Corp 表面清浄化方法
JPH09270415A (ja) * 1996-03-29 1997-10-14 Nec Corp Iii −v族化合物半導体基板の表面処理方法
JPH10144632A (ja) * 1996-09-13 1998-05-29 Fujitsu Ltd 半導体装置の製造方法および製造装置
US5762755A (en) 1991-05-21 1998-06-09 Genus, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US6008128A (en) 1997-07-18 1999-12-28 Shin-Etsu Handotai Co., Ltd. Method for smoothing surface of silicon single crystal substrate
JP2000082701A (ja) * 1999-06-28 2000-03-21 Hitachi Ltd 連続処理方法及び装置
WO2000070666A1 (fr) 1999-05-14 2000-11-23 Tokyo Electron Limited Technique de traitement et dispositif correspondant
JP2001343499A (ja) * 2000-06-02 2001-12-14 Hitachi Electronics Eng Co Ltd 基板処理装置及び処理方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04196533A (ja) * 1990-11-28 1992-07-16 Kawasaki Steel Corp 半導体基板処理方法およびその装置
JPH0645305A (ja) * 1992-07-22 1994-02-18 Toshiba Corp 半導体基板表面処理装置
US5980513A (en) * 1994-04-25 1999-11-09 Autonomous Technologies Corp. Laser beam delivery and eye tracking system
JPH07321046A (ja) 1994-05-23 1995-12-08 Hitachi Ltd 薄膜形成装置及び薄膜形成方法
JP3439040B2 (ja) 1996-09-04 2003-08-25 明彦 吉川 化合物半導体の表面処理方法
US6582424B2 (en) 1996-10-30 2003-06-24 Megadyne Medical Products, Inc. Capacitive reusable electrosurgical return electrode
JP3526204B2 (ja) 1998-02-09 2004-05-10 島田理化工業株式会社 紫外線洗浄装置
JP3478141B2 (ja) 1998-09-14 2003-12-15 信越半導体株式会社 シリコンウエーハの熱処理方法及びシリコンウエーハ
DE69908965T2 (de) * 1998-10-14 2004-05-13 Memc Electronic Materials, Inc. Wärmegetempertes einkristallines silizium mit niedriger fehlerdichte
JP3695684B2 (ja) 1999-03-31 2005-09-14 株式会社荏原製作所 基板表面の洗浄方法と装置
US6630995B1 (en) * 1999-09-07 2003-10-07 Applied Materials, Inc. Method and apparatus for embedded substrate and system status monitoring
US6248618B1 (en) * 1999-10-12 2001-06-19 Chartered Semiconductor Manufacturing Ltd. Method of fabrication of dual gate oxides for CMOS devices
KR100730806B1 (ko) * 1999-10-14 2007-06-20 신에쯔 한도타이 가부시키가이샤 Soi웨이퍼의 제조방법 및 soi 웨이퍼
JP2002217155A (ja) * 2001-01-17 2002-08-02 Mitsubishi Electric Corp 半導体基板の洗浄方法
AU2002354103A1 (en) * 2001-12-07 2003-06-17 Tokyo Electron Limited Nitriding method for insulation film, semiconductor device and production method for semiconductor device, substrate treating device and substrate treating method

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61270830A (ja) * 1985-05-24 1986-12-01 Nec Corp 表面清浄化方法
US5762755A (en) 1991-05-21 1998-06-09 Genus, Inc. Organic preclean for improving vapor phase wafer etch uniformity
JPH09270415A (ja) * 1996-03-29 1997-10-14 Nec Corp Iii −v族化合物半導体基板の表面処理方法
JPH10144632A (ja) * 1996-09-13 1998-05-29 Fujitsu Ltd 半導体装置の製造方法および製造装置
US6008128A (en) 1997-07-18 1999-12-28 Shin-Etsu Handotai Co., Ltd. Method for smoothing surface of silicon single crystal substrate
WO2000070666A1 (fr) 1999-05-14 2000-11-23 Tokyo Electron Limited Technique de traitement et dispositif correspondant
JP2000082701A (ja) * 1999-06-28 2000-03-21 Hitachi Ltd 連続処理方法及び装置
JP2001343499A (ja) * 2000-06-02 2001-12-14 Hitachi Electronics Eng Co Ltd 基板処理装置及び処理方法

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
KAFADER U ET AL.: "In situ DC-plasma cleaning of silicon surfaces", APPLIED SURFACE SCIENCE NETHERLANDS, vol. 90, no. 3, November 1995 (1995-11-01), pages 297 - 302
ONO, A. ET AL., SYMPOSIUM ON VL SI TECHNOLOGY DIGEST OF TECHNICAL PAPERS, vol. 7A-2, 2001, pages 79 - 80
See also references of EP1469509A4 *
YANASE, Y. ET AL., ELECTRO-CHEMICAL SOCIETY, no. 296, 1993, pages 486

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005029568A2 (en) * 2003-09-16 2005-03-31 Tokyo Electron Limited INTERFACIAL OXIDATION PROCESS FOR HIGH-k GATE DIELECTRIC PROCESS INTEGRATION
WO2005029568A3 (en) * 2003-09-16 2005-09-15 Tokyo Electron Ltd INTERFACIAL OXIDATION PROCESS FOR HIGH-k GATE DIELECTRIC PROCESS INTEGRATION
US6974779B2 (en) 2003-09-16 2005-12-13 Tokyo Electron Limited Interfacial oxidation process for high-k gate dielectric process integration
JP2007506266A (ja) * 2003-09-16 2007-03-15 東京エレクトロン株式会社 high−kゲート誘電体プロセスインテグレーションのための界面酸化プロセス。
DE112005002160T5 (de) 2004-09-09 2009-03-12 Tokyo Electron Ltd. Dünnfilmkondensator und Verfahren zum Bilden desselben sowie computerlesbares Speichermedium
US7547633B2 (en) 2006-05-01 2009-06-16 Applied Materials, Inc. UV assisted thermal processing

Also Published As

Publication number Publication date
US7125799B2 (en) 2006-10-24
JP3778432B2 (ja) 2006-05-24
CN1254851C (zh) 2006-05-03
JP2003218082A (ja) 2003-07-31
CN1511337A (zh) 2004-07-07
EP1469509A1 (en) 2004-10-20
US20040241991A1 (en) 2004-12-02
KR100638931B1 (ko) 2006-10-25
EP1469509A4 (en) 2006-05-10
KR20040007734A (ko) 2004-01-24

Similar Documents

Publication Publication Date Title
WO2003063220A1 (fr) Dispositif et procede pour traiter un substrat, et appareil de production de dispositifs a semiconducteurs
US6927112B2 (en) Radical processing of a sub-nanometer insulation film
US7129185B2 (en) Substrate processing method and a computer readable storage medium storing a program for controlling same
JP3877157B2 (ja) 基板処理装置
US7771536B2 (en) Substrate processing apparatus
JP3594947B2 (ja) 絶縁膜の形成方法、半導体装置の製造方法、基板処理装置
JP2002100627A (ja) 半導体装置の製造方法、基板処理装置および基板処理システム
JP4268429B2 (ja) 基板処理装置および基板処理方法
JP3233281B2 (ja) ゲート酸化膜の形成方法
JP2006216625A (ja) 薄膜形成装置,薄膜及びその形成方法,半導体装置及びその製造方法
KR100810777B1 (ko) 성막 방법 및 컴퓨터 판독 가능한 기록 매체
JP3770870B2 (ja) 基板処理方法
JP4078370B2 (ja) 基板処理装置
WO2004030063A1 (ja) 基板処理装置
WO2004030066A1 (ja) 基板処理装置
JP4088275B2 (ja) 絶縁膜の形成方法
JPS6390138A (ja) 半導体表面の清浄化方法
JP2005064244A (ja) 半導体基板の酸化膜形成装置及び半導体基板の酸化膜形成方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2002792066

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 028103262

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 1020037016484

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 10473205

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 2002792066

Country of ref document: EP