US7964454B2 - Low noise and high performance LSI device, layout and manufacturing method - Google Patents

Low noise and high performance LSI device, layout and manufacturing method Download PDF

Info

Publication number
US7964454B2
US7964454B2 US11/981,153 US98115307A US7964454B2 US 7964454 B2 US7964454 B2 US 7964454B2 US 98115307 A US98115307 A US 98115307A US 7964454 B2 US7964454 B2 US 7964454B2
Authority
US
United States
Prior art keywords
stress
control layer
devices
forming
stress control
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US11/981,153
Other languages
English (en)
Other versions
US20080064157A1 (en
Inventor
Shigenobu Maeda
Jeong Hwan Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020040021569A external-priority patent/KR101025761B1/ko
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to US11/981,153 priority Critical patent/US7964454B2/en
Publication of US20080064157A1 publication Critical patent/US20080064157A1/en
Priority to US12/984,261 priority patent/US8816440B2/en
Application granted granted Critical
Publication of US7964454B2 publication Critical patent/US7964454B2/en
Priority to US14/337,532 priority patent/US9093306B2/en
Priority to US14/791,770 priority patent/US9425182B2/en
Priority to US15/211,012 priority patent/US9899386B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • the invention is directed to semiconductor devices and, more particularly, to Large Scale Integration (LSI) semiconductor devices, such as metal-oxide-silicon (MOS) transistors in which mechanical stress engineering is employed to improve device performance.
  • LSI Large Scale Integration
  • MOS metal-oxide-silicon
  • the carrier mobility in a MOS transistor has a significant impact on power consumption and switching performance of the device. Improvement in carrier mobility allows faster switching speed and allows for operation at low voltages, resulting in reduced power consumption.
  • FIG. 1 is a schematic cross-sectional view of a MOS device illustrating an approach to introducing mechanical stress to improve carrier mobility in the device.
  • the device is formed in a substrate 10 and is isolated by isolation regions 12 formed in the substrate 10 .
  • the transistor device includes source/drain regions 22 , 26 formed in the substrate 10 defining a channel region 18 therebetween.
  • a gate structure includes a gate dielectric 14 formed on the substrate 10 , a conductive gate layer 20 over the gate dielectric and a silicide layer 30 formed over the conductive gate layer 20 . Insulating sidewall spacers 24 are formed on the sidewalls of the gate structure.
  • tensile stress is introduced into the channel 18 by a stress control layer 40 formed over the gate structure and the top surface of the source/drain regions 26 and substrate 10 .
  • tensile stress is introduced into the MOS transistor structure as indicated by the arrows in the figure.
  • Another approach to introducing tensile stress into the channel 18 is the silicide layer 30 formed in the source/drain regions 22 , 26 . After silicidation, the silicide regions 30 occupy less volume than the original source/drain material replaced by the silicide. As a result, tensile stress is introduced into the channel 18 .
  • Flicker noise is also commonly referred to as “pink noise” because most of the noise power is concentrated at the lower end of the frequency spectrum. Flicker noise is considered to be caused not only by the trapping and detrapping of carriers, but also by mobility modulation via carrier scattering due to the trapped charges.
  • Flicker noise degradation can be an important factor for both low frequency analog circuits and high performance digital circuits. Although the flicker noise is generated at relatively low frequencies, the noise may be very significant to some RF circuits since it is up-converted to the high frequency spectrum and degrades the coherency of oscillation.
  • FIGS. 2A and 2B are graphs illustrating the relationship between stress engineering in a MOS device and noise.
  • FIG. 2B is a graph of Noise Power Ratio versus maximum transconductance (Gmmax) improvement ratio of a CMOS device. The graph of FIG. 2B illustrates that both tensile and compressive stress on a MOS device degrade performance from the standpoint of flicker noise.
  • Gmmax maximum transconductance
  • the invention is directed to a method of fabricating a circuit.
  • a plurality of devices of a plurality of conductivity types are formed in a plurality of regions of the circuit, the plurality of devices being adapted to perform in a plurality of associated operational modes.
  • a mechanical stress is applied to at least one selected device, the selected device being selected based on its associated operational mode.
  • the operational modes can include an analog mode and a digital mode.
  • the operational modes can also include a noise-sensitive mode and a noise-insensitive mode, a low-speed operation mode and a high-speed operation mode, and a high-voltage operation mode and a low-voltage operation mode.
  • the devices comprise at least one PMOS device and/or one NMOS device.
  • the devices can include at least one CMOS device.
  • mechanical stress is applied to a channel of an NMOS device in the digital mode. In one embodiment, mechanical stress is applied to the channel of a PMOS device in the digital mode.
  • stress is not applied to a device operating in an analog mode to prevent an increase in electrical noise.
  • the electrical noise can be flicker noise.
  • applying a mechanical stress to at least one selected device comprises forming a stress control layer on the circuit in proximity to the devices.
  • the stress control layer can apply a tensile stress and/or a compressive stress.
  • stress is release in the stress control layer in proximity to devices to which stress is not to be applied.
  • Releasing the stress in the stress control layer can include implanting ions into the stress control layer.
  • Releasing the stress in the stress control layer can include selectively removing portions of the stress control layer.
  • the stress control layer can include SiN, SiON or SiO 2 .
  • Forming the stress control layer can include an annealing step. Forming the stress control layer can include performing plasma-enhanced chemical vapor deposition (PECVD) and/or low-pressure chemical vapor deposition (LPCVD).
  • PECVD plasma-enhanced chemical vapor deposition
  • LPCVD low-pressure chemical vapor deposition
  • applying a mechanical stress to at least one selected device comprises performing a silicidation process on the circuit. In one embodiment, applying a mechanical stress to at least one selected device further comprises: forming a capping layer on the circuit; selectively removing the capping layer in proximity to devices to which stress is to be applied; and performing a second silicidation process to apply stress to the devices to which stress is to be applied.
  • applying a mechanical stress to at least one selected device comprises epitaxially growing a source/drain structure in a device to which stress is to be applied.
  • stress is applied to a first device in a first CMOS structure and to a second device in the first CMOS structure; and stress is not applied to a first device in a second CMOS structure and to a second device in the second CMOS structure.
  • the first CMOS structure operates in a digital mode and the second CMOS structure operates in an analog mode.
  • the first device in the first CMOS structure is a PMOS device; the second device in the first CMOS structure is an NMOS device; the PMOS device has a compressive stress applied to its channel; and the NMOS device has a tensile stress applied to its channel.
  • the first device in both the first CMOS structure and the second CMOS structure is a PMOS device.
  • the second device in both the first CMOS structure and the second CMOS structure is an NMOS device.
  • applying the mechanical stress comprises: forming a first stress control layer on the circuit, the stress control layer applying a tensile stress on the devices; selectively removing the first stress control layer in proximity to devices that do not require tensile stress; forming a second stress control layer on the devices, the second stress control layer applying a compressive stress on the devices; forming a stress release layer over the second stress control layer in proximity to a selected portion of the devices; and using the stress release layer, releasing stress in devices that do not require the compressive stress.
  • Releasing stress in devices that do not require the compressive stress can include implanting ions into the stress release layer.
  • applying the mechanical stress comprises: forming a first stress control layer on the circuit, the stress control layer applying a tensile stress on the devices; selectively removing the first stress control layer in proximity to devices that do not require tensile stress; forming a second stress control layer on the devices, the second stress control layer applying a compressive stress on the devices; and selectively removing the second stress control layer in proximity to devices that do not require the compressive stress.
  • the invention is directed to a circuit.
  • the circuit includes a substrate on which are formed a plurality of devices of a plurality of conductivity types in a plurality of regions of the circuit, the plurality of devices being adapted to perform in a plurality of operational modes. At least one selected device among the plurality of devices has a mechanical stress applied thereto, the selected device being selected based on its operational mode.
  • the operational modes can include an analog mode and a digital mode.
  • the operational modes can also include a noise-sensitive mode and a noise-insensitive mode, a low-speed operation mode and a high-speed operation mode, and a high-voltage operation mode and a low-voltage operation mode.
  • the devices comprise at least one PMOS device and/or one NMOS device.
  • the devices can include at least one CMOS device.
  • mechanical stress is applied to a channel of an NMOS device in the digital mode. In one embodiment, stress is applied to a channel of a PMOS device in the digital mode.
  • stress is not applied to a device operating in an analog mode to prevent an increase in electrical noise.
  • the electrical noise can be flicker noise.
  • the mechanical stress is applied to a selected device using a stress control layer on the circuit in proximity to the devices.
  • the stress control layer can apply a tensile and/or a compressive stress.
  • stress is released in the stress control layer in proximity to devices to which stress is not applied. Portions of the stress control layer in which stress is released can include additional implanted ions.
  • the stress control layer is absent in proximity to devices to which stress is not applied.
  • the stress control layer can include SiN, SiON and/or SiO 2 .
  • the stress control layer is annealed.
  • the stress control layer is a layer formed by PECVD or LPCVD.
  • a means by which the mechanical stress is applied comprises silicide.
  • a means by which the mechanical stress is applied comprises an epitaxially grown source/drain structure.
  • stress is applied to a first device in a first CMOS structure and to a second device in the first CMOS structure; and stress is not applied to a first device in a second CMOS structure and to a second device in the second CMOS structure.
  • the first CMOS structure operates in a digital mode and the second CMOS structure operates in an analog mode.
  • the first device in the first CMOS structure is a PMOS device; the second device in the first CMOS structure is an NMOS device; the PMOS device has a compressive stress applied to its channel; and the NMOS device has a tensile stress applied to its channel.
  • the first device in both the first CMOS structure and the second CMOS structure is a PMOS device.
  • the second device in both the first CMOS structure and the second CMOS structure is an NMOS device.
  • a means by which the mechanical stress is applied comprises: a first stress control layer on the circuit, the first stress control layer applying a tensile stress on the devices, the first stress control layer being present only in proximity to devices requiring the tensile stress; a second stress control layer on the devices, the second stress control layer applying a compressive stress on the devices; and a stress release layer over the second stress control layer in proximity to a selected portion of the devices, the stress release layer releasing stress in devices that do not require the compressive stress.
  • the stress release layer comprises additional implanted ions.
  • a means by which the mechanical stress is applied comprises: a first stress control layer on the circuit, the stress control layer applying a tensile stress on the devices, the first stress control layer being present only in proximity to devices requiring the tensile stress; a second stress control layer on the devices, the second stress control layer applying a compressive stress on the devices, the second stress control layer being present only in proximity to devices requiring the compressive stress.
  • the invention is directed to a method of fabricating a circuit.
  • a first MOS device of a first conductivity type is formed in a first area of the circuit.
  • a second MOS device of the first conductivity type is formed in a second area of the circuit.
  • a stress is applied to channels of the first and second MOS devices. The stress applied to the channel of the second MOS device is released.
  • a stress control layer is formed on the circuit in proximity to the first and second MOS devices, the stress control layer applying the stress to the first and second MOS devices.
  • the stress control layer can be formed by low pressure chemical vapor deposition (LPCVD).
  • the stress control layer can also be formed by plasma enhanced chemical vapor deposition (PECVD).
  • the stress control layer can include SiN formed at low temperature.
  • releasing the stress comprises implanting ions into the stress control layer.
  • the stress control layer can comprise SiN.
  • the stress is a compressive stress. In one embodiment, the stress is a tensile stress.
  • the invention is directed to a method of fabricating a circuit.
  • a first MOS device of a first conductivity type is formed in a first area of the circuit.
  • a second MOS device of the first conductivity type is formed in a second area of the circuit.
  • a stress is applied to a channel of the first MOS device.
  • applying a stress to the channel of the first MOS device comprises forming a stress control layer on the circuit in proximity to the first and second MOS devices.
  • the stress control layer can be formed by PECVD.
  • the stress control layer can comprise SiON.
  • the stress control layer can comprise SiO 2 .
  • the method further comprises removing the stress control layer from the second MOS device and leaving a portion of the stress control layer on the first MOS device. In one embodiment, the method further comprises annealing the portion of the stress control layer on the first MOS device.
  • the method further comprises performing a first heat treatment to form a first phase silicide in proximity to the first and second MOS devices.
  • a capping layer can be formed over the first and second MOS devices and the first phase silicide. A portion of the capping layer on the first MOS device and the first phase silicide can be removed.
  • a second heat treatment can be performed to transform the first phase silicide into a second phase silicide.
  • the stress is a compressive stress. In one embodiment, the stress is a tensile stress.
  • the invention is directed to a method of fabricating a circuit.
  • a first CMOS device is formed in a first area of the circuit, the first CMOS device comprising a first MOS device of a first conductivity type and a second MOS device of a second conductivity type.
  • a second CMOS device is formed in a second area of the circuit, the second CMOS device comprising a third MOS device of the first conductivity type and a fourth MOS device of the second conductivity type.
  • a tensile stress is applied to a channel of one of the first and second MOS devices, and a compressive stress is applied to the other of the first and second MOS devices. If stress is applied to channels of the MOS device in the second area, the stress applied to the channels of the MOS devices in the second area is removed.
  • the method further comprises epitaxially growing a semiconductor layer in source/drain regions and on a gate of one of the first and second MOS devices, such that a compressive stress is applied to the one of the first and second MOS devices.
  • a stress control layer is formed over the other of the first and second MOS devices such that a tensile stress is applied to the other of the first and second MOS devices.
  • a first stress control layer is formed over one of the first and second MOS devices, the first stress control layer applying a tensile stress to the one of the first and second MOS devices.
  • the first stress control layer comprises at least one of SiN, SiON and SiO 2 .
  • a second stress control layer can be formed over the other of the first and second MOS devices, the second stress control layer applying a compressive stress to the other of the first and second MOS devices.
  • the second stress control layer comprises at least one of SiN and SiO 2 .
  • the invention is directed to a circuit.
  • the circuit includes a first MOS device of a first conductivity type in a first area of the circuit and a second MOS device of the first conductivity type in a second area of the circuit. A stress is applied to a channel of the first MOS device and a stress is not applied to the channel of the second MOS device.
  • a stress control layer is formed on the first MOS device, the stress control layer applying the stress to the first MOS device.
  • the stress control layer comprises ions implanted into the stress control layer.
  • the stress control layer comprises SiN.
  • the stress control layer comprises SiON.
  • the stress control layer comprises SiO 2 .
  • the stress is a compressive stress.
  • the stress is a tensile stress.
  • the circuit further comprises a second phase silicide in proximity to a source/drain structure of the first MOS device.
  • the invention is directed to a circuit.
  • the circuit comprises a first CMOS device in a first area of the circuit, the first CMOS device comprising a first MOS device of a first conductivity type and a second MOS device of a second conductivity type.
  • a second CMOS device in a second area of the circuit comprises a third MOS device of the first conductivity type and a fourth MOS device of the second conductivity type.
  • a tensile stress is applied to a channel of one of the first and second MOS devices, and a compressive stress is applied to the other of the first and second MOS devices.
  • the circuit further comprises an epitaxially grown semiconductor layer in source/drain regions and on a gate of one of the first and second MOS devices, such that a compressive stress is applied to the one of the first and second MOS devices.
  • the circuit further comprises a stress control layer over the other of the first and second MOS devices such that a tensile stress is applied to the other of the first and second MOS devices.
  • the circuit further comprises a first stress control layer over one of the first and second MOS devices, the first stress control layer applying a tensile stress to the one of the first and second MOS devices.
  • the first stress control layer comprises at least one of SiN, SiON and SiO 2 .
  • the circuit further comprises a second stress control layer over the other of the first and second MOS devices, the second stress control layer applying a compressive stress to the other of the first and second MOS devices.
  • the second stress control layer can include at least one of SiN and SiO 2 .
  • FIG. 1 is a schematic cross-sectional view of a MOS device illustrating an approach to introducing mechanical stress to improve carrier mobility in the device.
  • FIGS. 2A and 2B are graphs illustrating the relationship between stress engineering in a MOS device and noise.
  • FIG. 3 contains a flow chart illustrating one approach to forming a device in accordance with the invention in which stress engineering is selectively applied to one or more selected portions of the device to enhance device performance while reducing the effects of noise on the device.
  • FIG. 4 contains a flow chart illustrating another approach to forming a device in accordance with the invention in which stress engineering is selectively applied to one or more selected portions of the device to enhance device performance while reducing the effects of noise on the device.
  • FIG. 5 contains a flow chart illustrating another approach to forming a device in accordance with the invention in which stress engineering is selectively applied to one or more selected portions of the device to enhance device performance while reducing the effects of noise on the device.
  • FIGS. 6 through 8 contain schematic cross-sectional views illustrating a method of forming a semiconductor device in accordance with an embodiment of the invention.
  • FIGS. 9 and 10 contain schematic cross-sectional views illustrating a method of forming a semiconductor device in accordance with another embodiment of the invention.
  • FIGS. 11 through 13 contain schematic cross-sectional views illustrating a method of forming a semiconductor device in accordance with another embodiment of the invention.
  • FIGS. 14 through 16 contain schematic cross-sectional views illustrating a method of forming a semiconductor device in accordance with another embodiment of the invention.
  • FIGS. 17 through 20 contain schematic cross-sectional views illustrating a method of forming a semiconductor device in accordance with another embodiment of the invention.
  • FIGS. 21 through 27 contain schematic cross-sectional views illustrating a method of forming a semiconductor device in accordance with another embodiment of the invention.
  • FIGS. 28 through 31 contain schematic cross-sectional views illustrating a method of forming a semiconductor device in accordance with another embodiment of the invention.
  • FIG. 32 contains a schematic cross-sectional view illustrating a method of forming a semiconductor device in accordance with another embodiment of the invention.
  • a semiconductor device such as an LSI device having mixed-signal applications, i.e., analog and digital applications, a layout of the semiconductor device and an approach to manufacturing the device, in which tensile and/or compressive stress are selectively applied to different portions of the device, i.e., analog and digital portions, are provided to enhance performance of the device and simultaneously reduce the effects of flicker noise on the performance of the device.
  • FIGS. 3 through 5 contain flow charts which illustrate the three categories, respectively, of the embodiments of the invention. These categories are not to be construed as limiting the invention in any way. They are defined only for the purposes of clarity of the description and ease of understanding of the invention.
  • FIG. 3 contains a flow chart illustrating one approach to forming a device in accordance with the invention in which stress engineering is selectively applied to one or more selected portions of the device to enhance device performance while reducing the effects of noise on the device.
  • FIG. 3 illustrates the first category of embodiments of the invention.
  • a first MOS device of a first conductivity type i.e., n-type or p-type
  • a first area of a device for example a digital area, i.e., an area of the device in which digital circuits are being formed.
  • a second MOS device of the first conductivity type i.e., n-type or p-type
  • Stress engineering is applied to selectively apply a local stress to the channels of the first and second MOS devices in the first and second areas in step 54 .
  • stress is released or relieved in the channel of the second MOS device in the second area.
  • the above approach results in stress being applied only to the channel of the first MOS device.
  • the first MOS device with the stress applied will have the enhanced performance characteristics resulting from the applied stress, but it may also have increased noise.
  • the second MOS device will not have local stress applied, resulting in a circuit with reduced effects due to flicker noise.
  • This approach can be applied in situations such as where the first area contains circuits which are less sensitive to noise than those in the second area.
  • the first area may include digital circuits, circuits for low-voltage operation and/or noise insensitive circuits
  • the second area may include analog circuits, circuits for high-voltage operation and/or noise-sensitive circuits.
  • the local stress can be applied by forming a stress control layer in both the first and second area.
  • ion implantation can be used, or the portion of the stress control layer on the second MOS device can be removed.
  • FIG. 4 contains a flow chart illustrating another approach to forming a device in accordance with the invention in which stress engineering is selectively applied to one or more selected portions of the device to enhance device performance while reducing the effects of noise on the device.
  • FIG. 4 illustrates the second category of embodiments of the invention.
  • a first MOS device of a first conductivity type i.e., n-type or p-type
  • a first area of a device for example a digital area, i.e., an area of the device in which digital circuits are being formed.
  • a second MOS device of the first conductivity type i.e., n-type or p-type
  • Stress engineering is applied to selectively apply a local stress to the channel of the first MOS device in the first area in step 64 .
  • the above approach results in stress being applied only to the channel of the first MOS device.
  • the first MOS device with the stress applied will have the enhanced performance characteristics resulting from the applied stress, but it may also have increased noise.
  • the second MOS device will not have local stress applied, resulting in a circuit with reduced effects due to flicker noise.
  • This approach can be applied in situations such as where the first area contains circuits which are less sensitive to noise than those in the second area.
  • the first area may include digital circuits, circuits for low-voltage operation and/or noise insensitive circuits
  • the second area may include analog circuits, circuits for high-voltage operation and/or noise-sensitive circuits.
  • FIG. 5 contains a flow chart illustrating another approach to forming a device in accordance with the invention in which stress engineering is selectively applied to one or more selected portions of the device to enhance device performance while reducing the effects of noise on the device.
  • FIG. 5 illustrates the third category of embodiments of the invention.
  • a first CMOS device which includes a first MOS device of a first conductivity type, i.e., n-type or p-type, and a second MOS device of a second conductivity type, i.e., p-type or n-type, is formed in a first area of a device, for example a digital area, i.e., an area of the device in which digital circuits are being formed.
  • a second CMOS device which includes a first (third) MOS device of the first conductivity type, i.e., n-type or p-type, and a second (fourth) MOS device of a second conductivity type, i.e., p-type or n-type, is formed in a second area of the device, i.e., an area of the device in which analog circuits are formed.
  • Stress engineering is applied to selectively apply a local tensile stress and a local compressive stress to at least the channels of the first and second MOS devices in the first CMOS device in step 68 .
  • stress is released or relieved in the channels of the MOS devices of the second CMOS device.
  • the above approach results in stress being applied only to the channels of the first and/or second MOS devices of the first CMOS device.
  • the first CMOS device with the stress applied will have the enhanced performance characteristics resulting from the applied stress, but it may also have increased noise.
  • the second CMOS device will not have local stress applied, resulting in a circuit with reduced effects due to flicker noise.
  • This approach can be applied in situations such as where the first area contains circuits which are less sensitive to noise than those in the second area.
  • the first area may include digital circuits, circuits for low-voltage operation and/or noise insensitive circuits
  • the second area may include analog circuits, circuits for high-voltage operation and/or noise-sensitive circuits.
  • FIGS. 6 through 8 contain schematic cross-sectional views illustrating a method of forming a semiconductor device in accordance with an embodiment of the invention. This embodiment is of the type defined within the first category of embodiments described above.
  • a substrate 100 made of, for example, silicon.
  • the device includes a digital circuit area and an analog circuit area.
  • Each of the digital circuit area and the analog circuit area includes a first NMOS transistor and a first PMOS transistor.
  • a shallow trench isolation (STI) 102 is formed in the substrate 100 to isolate the devices.
  • Each of the transistors includes source/drain regions 128 , each of which includes a lightly-doped source/drain region 122 and a heavily-doped source/drain region 126 .
  • a gate dielectric layer pattern 110 is formed on the substrate for each transistor.
  • a conductive gate pattern 120 is formed on a respective gate dielectric layer pattern 110 for each transistor, and a silicide layer pattern 130 is formed over each of the conductive gate patterns 120 and over exposed portions of the source/drain regions 128 , specifically, over portions of the heavily-doped source/drain regions 126 .
  • the silicide layer patterns can be formed of, for example, cobalt silicide, nickel silicide, titanium silicide, or tungsten silicide.
  • Sidewall spacers 124 are formed on the sides of all of the gate structures, including the gate dielectric layer patterns 110 , the conductive gate patterns 120 and the silicide layer patterns 130 . In one embodiment, it is desirable that, in the analog circuit area, the distance between the transistor gate structure and the STI 102 , i.e., d 1 , d 2 , d 3 , d 4 , be more than 1.5 um.
  • a stress control layer 150 is formed over the structure.
  • the stress control layer 150 applies a compressive stress to the channels 104 a , 104 b , 104 c , 104 d of the transistors, as indicated by the arrows in the figure.
  • the stress control layer 150 can be a layer of silicon nitride (SiN) deposited to a thickness of about 20-150 nm by plasma enhanced chemical vapor deposition (PECVD).
  • PECVD plasma enhanced chemical vapor deposition
  • a silicon nitride layer formed under a low temperature condition of 200-400 degrees C., or a silicon oxide layer with a compressive stress can also be used.
  • the compressive stress is selectively released over the NMOS transistor of the digital circuit area and both of the transistors of the analog circuit area.
  • a photoresist mask 160 is formed to cover only the PMOS transistor of the digital circuit area. Ions such as genmanium, silicon, arsenic, indium, antimony, etc., ions are implanted, as indicted by 162 , into the stress control layer 150 , using the photoresist 160 as an ion implantation mask. In one embodiment, it is desirable that the ion implant energy be controlled within the range of 20-100 KeV, with consideration given to the thickness of the stress control layer 150 , such that the implant ions are substantially prevented from being implanted into the silicide layer patterns 130 .
  • the stress control layer 150 is converted into a stress release or relax layer 152 everywhere except over the PMOS transistor in the digital circuit area. Therefore, compressive stress remains applied only to the channel 104 b of the PMOS transistor in the digital circuit area. As a result, performance is improved in the PMOS transistor in the digital circuit area. No stress is remaining on the NMOS transistor in the digital circuit area and on both transistors in the analog circuit area, such that the flicker noise characteristics of those devices is not degraded. That is, in this embodiment, a PECVD silicon nitride stress control layer is used to enhance performance of only a PMOS device in the digital circuit area.
  • FIGS. 9 and 10 contain schematic cross-sectional views illustrating a method of forming a semiconductor device in accordance with another embodiment of the invention. This embodiment is of the type defined within the first category of embodiments described above.
  • the formation of the portion of the device including the substrate 100 , STI 102 , source/drain regions 128 , gate dielectric patterns 110 , conductive gate patterns 120 , silicide patterns 130 and sidewall spacers 124 is the same as that of the embodiment of FIGS. 6 through 8 . Accordingly, description thereof will not be repeated.
  • a stress control layer 250 which applies a tensile stress, is formed on the structure.
  • the stress control layer 250 can be a silicon nitride layer formed to a thickness of about 20-150 nm by low pressure chemical vapor deposition (LPCVD).
  • LPCVD low pressure chemical vapor deposition
  • the stress control layer 250 can be formed by forming a silicon nitride layer under a high-temperature condition of 400-800 degrees C.
  • the stress control layer 250 can also be formed by forming a silicon oxynitride (SiON) layer by PECVD followed by an annealing step.
  • the stress control layer 250 can be a silicon oxide layer which applies a tensile stress. The resulting tensile stress is locally imposed on the channels 204 a , 204 b , 204 c , 204 d of the transistors, as indicated in FIG. 9 by the arrows.
  • the tensile stress is selectively released over the PMOS transistor of the digital circuit area and both of the transistors of the analog circuit area.
  • a photoresist mask 260 is formed to cover only the NMOS transistor of the digital circuit area. Ions such as germanium, silicon, arsenic, indium, antimony, etc., ions are implanted, as indicted by 262 , into the stress control layer 150 , using the photoresist 260 as an ion implantation mask.
  • the ion implant energy be controlled within the range of 20-100 KeV, with consideration given to the thickness of the stress control layer 250 , such that the implant ions are substantially prevented from being implanted into the silicide layer patterns 130 .
  • the stress control layer 250 is converted into a stress release or relax layer 252 everywhere except over the NMOS transistor in the digital circuit area. Therefore, tensile stress remains applied only to the channel 204 a of the NMOS transistor in the digital circuit area. As a result, performance is improved in the NMOS transistor in the digital circuit area. No stress is remaining on the PMOS transistor in the digital circuit area and on both transistors in the analog circuit area, such that the flicker noise characteristics of those devices is not degraded. That is, in one embodiment, a LPCVD silicon nitride stress control layer is used to enhance performance of only an NMOS device in the digital circuit area.
  • FIGS. 11 through 13 contain schematic cross-sectional views illustrating a method of forming a semiconductor device in accordance with another embodiment of the invention. This embodiment is of the type defined within the second category of embodiments described above.
  • the formation of the portion of the device including the substrate 100 , STI 102 , source/drain regions 128 , gate dielectric patterns 110 , conductive gate patterns 120 , silicide patterns 130 and sidewall spacers 124 is the same as that of the embodiment of FIGS. 6 through 8 . Accordingly, description thereof will not be repeated.
  • a stress control layer 350 which applies no initial net stress, is formed on the structure.
  • the stress control layer 350 can be a silicon oxynitride layer formed to a thickness of about 20-150 nm by plasma enhanced chemical vapor deposition (PECVD).
  • PECVD plasma enhanced chemical vapor deposition
  • a photoresist pattern 360 is formed and patterned such that it remains only over the NMOS transistor in the digital circuit area.
  • the stress control layer 350 is removed everywhere except over the NMOS transistor in the digital circuit area.
  • the photoresist pattern 360 is removed, and the remaining portion of the stress control layer is annealed.
  • the stress control layer 350 is converted into a tensile stress layer 352 , which applies a tensile stress to the channel 304 a of the NMOS transistor in the digital circuit area as shown by the arrows in the figure.
  • the remaining transistor channels 304 b , 304 c , 304 d have no stress applied, due to the absence of the tensile stress layer 352 in their respective transistor regions.
  • tensile stress is locally imposed on the channel 304 a of the NMOS transistor in the digital circuit area.
  • performance is improved in the NMOS transistor in the digital circuit area.
  • No stress is applied to the PMOS transistor in the digital circuit area or on both transistors in the analog circuit area, such that the flicker noise characteristics of those devices is not degraded.
  • an annealed PECVD silicon oxynitride layer which has a tensile stress, is used to enhance performance of only an NMOS device in the digital circuit area.
  • FIGS. 14 through 16 contain schematic cross-sectional views illustrating a method of forming a semiconductor device in accordance with another embodiment of the invention. This embodiment is of the type defined within the second category of embodiments described above.
  • the formation of the portion of the device including the substrate 100 , STI 102 , source/drain regions 128 , gate dielectric patterns 110 , conductive gate patterns 120 and sidewall spacers 124 is the same as that of the embodiment of FIGS. 6 through 8 . Accordingly, description thereof will not be repeated.
  • an annealed PECVD layer of silicon dioxide SiO 2 which has a tensile stress, is formed to enhance NMOS performance.
  • a SiO 2 layer 450 is formed on the structure.
  • the SiO 2 layer 450 can be formed to a thickness of about 20-100 nm by plasma enhanced chemical vapor deposition (PECVD) at a temperature below about 600 degrees C.
  • PECVD plasma enhanced chemical vapor deposition
  • the portion of the SiO 2 layer on the NMOS transistor of the analog circuit area is removed.
  • the SiO 2 layer is annealed at a temperature of 900-1150 degrees C. to introduce a tensile stress in the SiO 2 layer and, therefore in the NMOS transistor of the digital circuit area. It is noted that the SiO 2 layer is removed from the NMOS transistor in the analog circuit area because it is desired to prevent stress from being applied to that transistor.
  • the portion of the SiO 2 layer over the PMOS transistors need not be removed because the annealing of the SiO 2 layer will not affect the PMOS transistors.
  • the remaining portion of the SiO 2 layer can be removed to permit subsequent process steps, such as silicidation of the source/drain regions of the device. It should be noted that the remaining portion of the SiO 2 layer need not be removed.
  • the compressive stress in the gate 120 and the tensile stress in the channel 404 a will be maintained, whether the SiO 2 layer is completely removed or it remains on the NMOS transistor in the digital circuit area.
  • tensile stress is locally imposed on the channel 404 a of the NMOS transistor in the digital circuit area and compressive stress is applied to its gate.
  • performance is improved in the NMOS transistor in the digital circuit area.
  • No stress is applied to the PMOS transistor in the digital circuit area or on both transistors in the analog circuit area, such that the flicker noise characteristics of those devices is not degraded.
  • the heat budget for source/drain activation can be used to induce tensile stress without introducing an additional high-temperature anneal process.
  • FIGS. 17 through 20 contain schematic cross-sectional views illustrating a method of forming a semiconductor device in accordance with another embodiment of the invention.
  • This embodiment is of the type defined within the second category of embodiments described above.
  • a silicidation process is used to enhance performance of an NMOS transistor.
  • the formation of the portion of the device including the substrate 100 , STI 102 , source/drain regions 128 , gate dielectric patterns 110 , conductive gate patterns 120 and sidewall spacers 124 is the same as that of the embodiment of FIGS. 6 through 8 . Accordingly, description thereof will not be repeated.
  • silicide patterns 830 are shown instead of the silicide patterns 130 . In this embodiment, it is these silicide patterns 830 , as described below, that enhance the NMOS performance.
  • the silicide patterns 830 are formed by depositing a metal layer pattern on the structure where the silicide patterns 830 are to be formed, i.e., on the silicon of the source/drain regions 128 and conductive gate 120 .
  • the metal can be, for example, cobalt.
  • a first rapid thermal annealing (RTA) process is carried out at approximately 450 degrees C. to form patterns of cobalt monosiliside CoSi 830 . That is, a first phase metal silicide is formed by a first heat treatment. After the first RTA is performed, the remaining cobalt is removed.
  • a capping layer 840 is formed over the structure after the first RTA is performed.
  • the capping layer 840 can be a layer of titanium nitride TiN formed to a thickness of about 5-20 nm.
  • a photoresist pattern 860 is formed over the structure such that only the portion of the capping layer 840 that is formed over the NMOS transistor in the digital circuit area is exposed. Then, that exposed portion of the capping layer 840 is removed. Next, a second RTA process is carried out at a temperature of about 700-1100 degrees C. As a result of the second RTA process, the silicidation patterns 830 transition to a second phase metal silicide, for example, the CoSi transitions to cobalt disilicide CoSi 2 . This results in formation of new silicide patterns 830 a on the gates and source/drain regions.
  • a tensile stress is imposed on the channel 804 a of the NMOS transistor in the digital circuit area. Relatively little or no tensile stress is applied to the other channels 804 b , 804 c , 804 d because the capping layer 840 over their respective transistors acts to attenuate stress.
  • tensile stress is locally imposed on the channel 804 a of the NMOS transistor in the digital circuit area, but the channels 804 b , 804 c , 804 d of the remaining transistors are not affected by the annealing because there is present on the transistors a capping layer 840 for stress attenuation.
  • the remainder of the capping layer 840 is removed.
  • the result is a device with silicide layers 830 a which apply a tensile stress to the channel 804 a of the NMOS transistor in the digital circuit area and which do not apply a stress to the remaining transistors.
  • FIGS. 21 through 27 contain schematic cross-sectional views illustrating a method of forming a semiconductor device in accordance with another embodiment of the invention.
  • This embodiment is of the type defined within the third category of embodiments described above.
  • an epitaxially grown source/drain structure is used to induce compressive stress on a PMOS transistor to enhance performance of the PMOS transistor.
  • CMOS structures each including an NMOS transistor and a PMOS transistor, are formed in both a digital circuit area and an analog circuit area of a device.
  • the device includes a digital circuit area and an analog circuit area.
  • Each of the digital circuit area and the analog circuit area includes a first NMOS transistor and a first PMOS transistor.
  • a substrate 100 made of, for example, silicon, is provided.
  • a shallow trench isolation (STI) 102 is formed in the substrate 100 to isolate the devices.
  • Each of the transistors includes source/drain regions 128 , each of which includes a lightly-doped source/drain region 122 and a heavily-doped source/drain region 126 .
  • a gate dielectric layer pattern 110 is formed on the substrate for each transistor.
  • a conductive gate pattern 120 is formed on a respective gate dielectric layer pattern 110 for each transistor, and sidewall spacers 124 are formed on the sides of all of the gate structures, including the gate dielectric layer patterns 110 and the conductive gate patterns 120 .
  • a mask layer 510 is formed over the structure of FIG. 21 .
  • the mask layer can be made of, for example, silicon dioxide, silicon nitride, or other similar material.
  • the portion of the mask layer 510 that is over the PMOS transistor in the digital circuit area is at least partially removed, leaving a small portion of the mask layer 510 on the sidewall spacer 124 . Then, a portion of the source/drain region 128 of the exposed PMOS transistor is removed beside its gate structure by a self-aligned, vertical, anisotropic etching. As a result, a groove 520 is formed to have a depth of about 10-100 nm.
  • a semiconductor layer 522 is selectively and epitaxially grown on the groove 520 and the top of the conductive gate 120 .
  • the epitaxial layer 522 can be SiGe, SiC, or other such material.
  • the layer 522 imposes compressive stress on the channel 504 b of the PMOS transistor in the digital circuit area, as indicated by the arrows in the figure. This compressive stress is due to the larger lattice constant of the epitaxially grown semiconductor layer 522 .
  • the material with the larger lattice constant exerts pressure on the material of the channel 504 b , resulting in the compressive stress in the channel 504 b . That is, the epitaxially grown semiconductor layer 522 and the substrate 100 have different crystal lattice structures and/or different thermal expansion coefficients, which produces a mechanical stress in the channel of the transistor, thereby affecting the mobility of carriers in the channel.
  • metal silicide layers 530 which can be nickel silicide, cobalt silicide, or other similar material, are formed on the gate conductive patterns 120 and exposed source/drain regions 128 , and on top of the epitaxially grown semiconductor layer 522 in the PMOS transistor in the digital circuit area.
  • a stress control layer 550 having a tensile stress, is formed on the structure.
  • the stress control layer 550 can be a silicon nitride layer formed to a thickness of about 20-150 nm by LPCVD.
  • the stress control layer 550 can be a silicon nitride layer formed under a high-temperature condition of 400-800 degrees C.
  • the stress control layer 550 can be an annealed SiON layer formed by PECVD, or a tensile stress silicon oxide layer. As a result, tensile stress is locally imposed on the channels 504 a , 504 b , 504 c , 504 d.
  • the tensile stress is selectively released in the PMOS transistor in the digital circuit area and both of the transistors of the analog circuit area.
  • a photoresist mask pattern 560 is formed over the NMOS transistor in the digital circuit area.
  • ions such as germanium, silicon, arsenic, indium, antimony, or other similar ions are implanted into the structure everywhere except in the NMOS transistor in the digital circuit area.
  • the tensile stress is released in the PMOS transistor in the digital circuit area and in both transistors in the analog circuit area.
  • FIGS. 28 through 31 contain schematic cross-sectional views illustrating a method of forming a semiconductor device in accordance with another embodiment of the invention.
  • This embodiment is of the type defined within the third category of embodiments described above.
  • a tensile and a compressive layer are separately formed to induce stress in both the NMOS and PMOS transistors in the digital circuit area.
  • the formation of the portion of the device including the substrate 100 , STI 102 , source/drain regions 128 , gate dielectric patterns 110 , conductive gate patterns 120 , silicide patterns 130 and sidewall spacers 124 is the same as that of the embodiment of FIGS. 6 through 8 . Accordingly, description thereof will not be repeated.
  • a first stress control layer 650 which has a tensile stress, is formed over the structure.
  • the first stress control layer 650 can be a silicon nitride layer formed to a thickness of about 20-150 nm by LPCVD.
  • the layer 650 can be a silicon nitride layer formed under a high-temperature condition of 400-800 degrees C.
  • the layer 650 can be an annealed SiON layer formed by PECVD, or a silicon oxide layer with tensile stress.
  • tensile stress is locally imposed on the channels 604 a , 604 b , 604 c , 604 d of the transistors in both the digital circuit area and the analog circuit area, as indicated by the arrows in the figure.
  • the portion of the first stress control layer 650 over the PMOS transistor in the digital circuit area and over both transistors in the analog circuit area is removed.
  • a photoresist pattern 654 is formed to cover only the NMOS transistor in the digital circuit area, and the exposed portion of the first stress control layer 650 is removed.
  • a PECVD SiON layer is used as the first stress control layer 650 , then it is desirable that the annealing process be carried out after selectively removing the first stress control layer 650 from the PMOS transistor in the digital circuit area and the transistors in the analog circuit area.
  • a tensile stress remains applied to only the channel 604 a of the NMOS transistor in the digital circuit area, as shown by the arrows in the figure.
  • a second stress control layer 660 which has a compressive stress, is formed over the structure.
  • the second stress control layer 660 can be, for example, a layer of silicon nitride formed to a thickness of about 20-150 nm by PECVD.
  • the second stress control layer 660 can be a silicon nitride layer formed under a low-temperature condition of 200-400 degrees C.
  • the second stress control layer 660 can alternatively be a silicon oxide layer with a compressive stress.
  • a compressive stress is applied to all of the channels 604 a , 604 b , 604 c , 604 d , and the channel 604 a has both a compressive stress and a tensile stress applied, as shown by the arrows in the figure.
  • the second stress control layer 660 is selectively converted to a stress release or relax layer 662 over all of the transistors except the PMOS transistor in the digital circuit area.
  • a photoresist mask pattern 670 is formed over only the PMOS transistor in the digital circuit area.
  • Ions such as germanium, silicon, arsenic, indium, antimony, or other similar ions, are implanted, indicated by 672 , into the second stress control layer 660 , except in the portion of the second stress control layer 660 that covers the PMOS transistor in the digital circuit area.
  • the ion implantation releases the compressive stress in the exposed portion of the second stress control layer 660 , such that the exposed portion of the second stress control layer becomes the stress release or relax layer 662 .
  • FIG. 32 contains a schematic cross-sectional views illustrating a method of forming a semiconductor device in accordance with another embodiment of the invention. This embodiment is of the type defined within the third category of embodiments described above.
  • the embodiment of FIG. 32 is a variation of that of FIGS. 28 through 31 .
  • the steps shown in FIGS. 28 through 30 are also used in the embodiment of FIG. 32 . Accordingly, description of those steps will not be repeated.
  • the second stress control layer 660 having a compressive stress is formed, the second stress control layer 660 is removed everywhere except over the PMOS transistor in the digital circuit area.
  • tensile stress is locally imposed on the channel 704 a of the NMOS transistor in the digital circuit area, and compressive stress is locally imposed on the channel 704 b of the PMOS transistor in the digital circuit area, as indicated by the arrows in the figure.
  • stress engineering is selectively applied to particular devices depending on their required operational configurations. That is, the appropriate stress, i.e., tensile or compressive, can be applied to and/or removed from devices, i.e., NMOS and/or PMOS devices, based not only on their conductivity type, i.e., n-type or p-type, but also on their intended operational application, for example, analog/digital, low-voltage/high-voltage, high-speed/low-speed, noise-sensitive/noise-insensitive, etc.
  • the present invention is applicable to circuits which include digital, analog and mixed-mode functions.
  • the invention is applicable to memory LSI, such as DRAM and embedded DRAM.
  • the approach of the invention is applicable to the DRAM cell and/or the DRAM sense amplifier, which is considered to be a noise-sensitive analog circuit.
  • the invention is applicable to other circuits such as the sense amplifier circuit of memory circuits in discrete memory chip or embedded memory chip configurations of, for example, SRAM, flash memory, MRAM, PRAM, and other such devices.
  • the invention is particularly applicable to these circuits because the sense amplifiers in these circuits are required to sense very small differences in currents, and, therefore, noise such as flicker noise can substantially degrade their performance.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
US11/981,153 2004-03-30 2007-10-31 Low noise and high performance LSI device, layout and manufacturing method Active 2026-04-28 US7964454B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US11/981,153 US7964454B2 (en) 2004-03-30 2007-10-31 Low noise and high performance LSI device, layout and manufacturing method
US12/984,261 US8816440B2 (en) 2004-03-30 2011-01-04 Low noise and high performance LSI device
US14/337,532 US9093306B2 (en) 2004-03-30 2014-07-22 Low noise and high performance LSI device
US14/791,770 US9425182B2 (en) 2004-03-30 2015-07-06 Low noise and high performance LSI device
US15/211,012 US9899386B2 (en) 2004-03-30 2016-07-15 Low noise and high performance LSI device

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR2004-0021569 2004-03-30
KR1020040021569A KR101025761B1 (ko) 2004-03-30 2004-03-30 디지탈 회로 및 아날로그 회로를 가지는 반도체 집적회로및 그 제조 방법
KR10-2004-0021569 2004-03-30
US11/067,836 US7545002B2 (en) 2004-03-30 2005-02-28 Low noise and high performance LSI device, layout and manufacturing method
US11/981,153 US7964454B2 (en) 2004-03-30 2007-10-31 Low noise and high performance LSI device, layout and manufacturing method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/067,836 Division US7545002B2 (en) 2004-03-30 2005-02-28 Low noise and high performance LSI device, layout and manufacturing method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/984,261 Continuation US8816440B2 (en) 2004-03-30 2011-01-04 Low noise and high performance LSI device

Publications (2)

Publication Number Publication Date
US20080064157A1 US20080064157A1 (en) 2008-03-13
US7964454B2 true US7964454B2 (en) 2011-06-21

Family

ID=35184309

Family Applications (6)

Application Number Title Priority Date Filing Date
US11/981,153 Active 2026-04-28 US7964454B2 (en) 2004-03-30 2007-10-31 Low noise and high performance LSI device, layout and manufacturing method
US12/004,290 Active 2027-01-20 US7956420B2 (en) 2004-03-30 2007-12-20 Low noise and high performance LSI device, layout and manufacturing method
US12/984,261 Active 2027-02-25 US8816440B2 (en) 2004-03-30 2011-01-04 Low noise and high performance LSI device
US14/337,532 Active US9093306B2 (en) 2004-03-30 2014-07-22 Low noise and high performance LSI device
US14/791,770 Active US9425182B2 (en) 2004-03-30 2015-07-06 Low noise and high performance LSI device
US15/211,012 Active US9899386B2 (en) 2004-03-30 2016-07-15 Low noise and high performance LSI device

Family Applications After (5)

Application Number Title Priority Date Filing Date
US12/004,290 Active 2027-01-20 US7956420B2 (en) 2004-03-30 2007-12-20 Low noise and high performance LSI device, layout and manufacturing method
US12/984,261 Active 2027-02-25 US8816440B2 (en) 2004-03-30 2011-01-04 Low noise and high performance LSI device
US14/337,532 Active US9093306B2 (en) 2004-03-30 2014-07-22 Low noise and high performance LSI device
US14/791,770 Active US9425182B2 (en) 2004-03-30 2015-07-06 Low noise and high performance LSI device
US15/211,012 Active US9899386B2 (en) 2004-03-30 2016-07-15 Low noise and high performance LSI device

Country Status (3)

Country Link
US (6) US7964454B2 (zh)
JP (1) JP2005286341A (zh)
CN (1) CN1684246B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10043903B2 (en) 2015-12-21 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor devices with source/drain stress liner
TWI640002B (zh) * 2016-08-24 2018-11-01 鈺創科技股份有限公司 低電壓互補式金氧半電路和相關記憶體

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1684246B (zh) * 2004-03-30 2010-05-12 三星电子株式会社 低噪声和高性能电路以及制造方法
US7413957B2 (en) * 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
JP2007027194A (ja) * 2005-07-12 2007-02-01 Renesas Technology Corp 半導体装置
US20070013012A1 (en) * 2005-07-13 2007-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch-stop layer structure
US7470943B2 (en) 2005-08-22 2008-12-30 International Business Machines Corporation High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
KR100649311B1 (ko) * 2005-12-15 2006-11-24 동부일렉트로닉스 주식회사 게이트 스페이서를 이용한 피모스 소자의 변형된 채널층형성 방법 및 이 방법에 의해 형성된 피모스 소자
JPWO2007077748A1 (ja) 2005-12-27 2009-06-11 日本電気株式会社 半導体装置および半導体装置の製造方法
US7776695B2 (en) * 2006-01-09 2010-08-17 International Business Machines Corporation Semiconductor device structure having low and high performance devices of same conductive type on same substrate
JP2007200961A (ja) * 2006-01-24 2007-08-09 Sharp Corp 半導体装置およびその製造方法
JP2008071774A (ja) 2006-09-12 2008-03-27 Matsushita Electric Ind Co Ltd 半導体装置および半導体装置の製造方法
US7466008B2 (en) * 2007-03-13 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. BiCMOS performance enhancement by mechanical uniaxial strain and methods of manufacture
US7834399B2 (en) * 2007-06-05 2010-11-16 International Business Machines Corporation Dual stress memorization technique for CMOS application
JP5194743B2 (ja) * 2007-11-27 2013-05-08 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5347283B2 (ja) * 2008-03-05 2013-11-20 ソニー株式会社 固体撮像装置およびその製造方法
DE102008045034B4 (de) * 2008-08-29 2012-04-05 Advanced Micro Devices, Inc. Durchlassstromeinstellung für Transistoren, die im gleichen aktiven Gebiet hergestellt sind, durch lokales Vorsehen eines eingebetteten verformungsinduzierenden Halbleitermaterials in dem aktiven Gebiet
US8035166B2 (en) * 2009-04-08 2011-10-11 Xilinx, Inc. Integrated circuit device with stress reduction layer
US8633470B2 (en) * 2009-12-23 2014-01-21 Intel Corporation Techniques and configurations to impart strain to integrated circuit devices
JP5325125B2 (ja) * 2010-01-07 2013-10-23 パナソニック株式会社 半導体装置
CN102646636A (zh) * 2011-02-21 2012-08-22 中芯国际集成电路制造(上海)有限公司 用于制造nmos半导体器件的方法
US9070784B2 (en) * 2011-07-22 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a CMOS semiconductor device and method of forming the same
US8669620B2 (en) * 2011-12-20 2014-03-11 Mika Nishisaka Semiconductor device and method of manufacturing the same
CN102610503A (zh) * 2012-03-31 2012-07-25 上海华力微电子有限公司 一种提高随机存储器读出冗余度的方法
CN102683188A (zh) * 2012-05-10 2012-09-19 上海华力微电子有限公司 提高静态随机存储器写入冗余度的方法
CN102751196B (zh) * 2012-06-21 2015-06-10 上海华力微电子有限公司 Nmos器件制作方法
US8981530B2 (en) * 2012-11-08 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US10438951B2 (en) * 2017-03-24 2019-10-08 Asahi Kasei Microdevices Corporation Semiconductor device and manufacturing method thereof
JP6997501B2 (ja) * 2017-03-24 2022-01-17 旭化成エレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
CN108933107A (zh) * 2017-05-24 2018-12-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN110968975B (zh) * 2019-11-29 2022-03-04 电子科技大学 一种单粒子辐照效应仿真方法

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6461928B2 (en) 2000-05-23 2002-10-08 Texas Instruments Incorporated Methodology for high-performance, high reliability input/output devices and analog-compatible input/output and core devices using core device implants
US20030040158A1 (en) 2001-08-21 2003-02-27 Nec Corporation Semiconductor device and method of fabricating the same
JP2003092409A (ja) 2001-09-19 2003-03-28 Casio Comput Co Ltd 薄膜半導体素子およびその製造方法
US6573172B1 (en) 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US20030181005A1 (en) 2002-03-19 2003-09-25 Kiyota Hachimine Semiconductor device and a method of manufacturing the same
KR20030082934A (ko) 2000-12-08 2003-10-23 가부시키가이샤 히타치세이사쿠쇼 반도체장치
US20050035470A1 (en) 2003-08-12 2005-02-17 Chih-Hsin Ko Strained channel complementary field-effect transistors and methods of manufacture
US20050112817A1 (en) 2003-11-25 2005-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacture thereof
CN1638126A (zh) 2004-01-06 2005-07-13 株式会社东芝 半导体器件及其制造方法
US20050186722A1 (en) 2004-02-25 2005-08-25 Kuan-Lun Cheng Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
US6939814B2 (en) 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
US7115954B2 (en) 2000-11-22 2006-10-03 Renesas Technology Corp. Semiconductor device including stress inducing films formed over n-channel and p-channel field effect transistors and a method of manufacturing the same
US7470618B2 (en) 2002-11-20 2008-12-30 Renesas Technology Corp. Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6213061A (ja) * 1985-07-11 1987-01-21 Fujitsu Ltd 半導体集積回路装置
JPH07169838A (ja) 1993-12-14 1995-07-04 Kawasaki Steel Corp 半導体集積回路装置
JP2964925B2 (ja) * 1994-10-12 1999-10-18 日本電気株式会社 相補型mis型fetの製造方法
JPH11238799A (ja) * 1998-02-24 1999-08-31 Nec Corp 半導体装置およびその製造方法
JP2000216377A (ja) * 1999-01-20 2000-08-04 Nec Corp 半導体装置の製造方法
JP2001250916A (ja) 2000-03-03 2001-09-14 Mitsubishi Electric Corp 半導体集積回路
JP3512701B2 (ja) * 2000-03-10 2004-03-31 株式会社東芝 半導体装置及びその製造方法
JP4767390B2 (ja) * 2000-05-19 2011-09-07 エルピーダメモリ株式会社 Dram
JP2002368080A (ja) * 2001-06-05 2002-12-20 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP2004095903A (ja) 2002-08-30 2004-03-25 Sony Corp 半導体装置およびその製造方法
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
KR101025761B1 (ko) 2004-03-30 2011-04-04 삼성전자주식회사 디지탈 회로 및 아날로그 회로를 가지는 반도체 집적회로및 그 제조 방법
CN1684246B (zh) * 2004-03-30 2010-05-12 三星电子株式会社 低噪声和高性能电路以及制造方法
US7214629B1 (en) * 2004-11-16 2007-05-08 Xilinx, Inc. Strain-silicon CMOS with dual-stressed film
US20070018252A1 (en) * 2005-07-21 2007-01-25 International Business Machines Corporation Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
US7589385B2 (en) * 2005-07-26 2009-09-15 United Microelectronics Corp. Semiconductor CMOS transistors and method of manufacturing the same
US7470943B2 (en) * 2005-08-22 2008-12-30 International Business Machines Corporation High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
JP4546371B2 (ja) * 2005-09-20 2010-09-15 パナソニック株式会社 半導体装置およびその製造方法
JP4787593B2 (ja) * 2005-10-14 2011-10-05 パナソニック株式会社 半導体装置
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
US7518193B2 (en) * 2006-01-10 2009-04-14 International Business Machines Corporation SRAM array and analog FET with dual-strain layers comprising relaxed regions
JP5017958B2 (ja) * 2006-08-08 2012-09-05 富士通セミコンダクター株式会社 半導体装置の製造方法
US8039284B2 (en) * 2006-12-18 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dual metal silicides for lowering contact resistance

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6461928B2 (en) 2000-05-23 2002-10-08 Texas Instruments Incorporated Methodology for high-performance, high reliability input/output devices and analog-compatible input/output and core devices using core device implants
US7115954B2 (en) 2000-11-22 2006-10-03 Renesas Technology Corp. Semiconductor device including stress inducing films formed over n-channel and p-channel field effect transistors and a method of manufacturing the same
KR20030082934A (ko) 2000-12-08 2003-10-23 가부시키가이샤 히타치세이사쿠쇼 반도체장치
US20030040158A1 (en) 2001-08-21 2003-02-27 Nec Corporation Semiconductor device and method of fabricating the same
JP2003092409A (ja) 2001-09-19 2003-03-28 Casio Comput Co Ltd 薄膜半導体素子およびその製造方法
CN1445838A (zh) 2002-03-19 2003-10-01 株式会社日立制作所 半导体器件及其制造方法
US20030181005A1 (en) 2002-03-19 2003-09-25 Kiyota Hachimine Semiconductor device and a method of manufacturing the same
US7105394B2 (en) 2002-03-19 2006-09-12 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
US6573172B1 (en) 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US7470618B2 (en) 2002-11-20 2008-12-30 Renesas Technology Corp. Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US20050035470A1 (en) 2003-08-12 2005-02-17 Chih-Hsin Ko Strained channel complementary field-effect transistors and methods of manufacture
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US6939814B2 (en) 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
US7176522B2 (en) 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US20050112817A1 (en) 2003-11-25 2005-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacture thereof
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US20050151163A1 (en) 2004-01-06 2005-07-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
CN1638126A (zh) 2004-01-06 2005-07-13 株式会社东芝 半导体器件及其制造方法
US20070290208A1 (en) 2004-01-06 2007-12-20 Kabushiki Kaisha Toshiba. Semiconductor device and manufacturing method thereof
US20050186722A1 (en) 2004-02-25 2005-08-25 Kuan-Lun Cheng Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Ota, K., et al., "Novel Locally Strained Channel Technique for High Performance 55nm CMOS," Tech. Dig. IEDM, p. 27-30, 2002.
Second Office Action dated Feb. 13, 2009 isued in corresponding Chinese Patent Application No. 200510063936X.

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10043903B2 (en) 2015-12-21 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor devices with source/drain stress liner
TWI640002B (zh) * 2016-08-24 2018-11-01 鈺創科技股份有限公司 低電壓互補式金氧半電路和相關記憶體

Also Published As

Publication number Publication date
US9899386B2 (en) 2018-02-20
CN1684246A (zh) 2005-10-19
JP2005286341A (ja) 2005-10-13
US20160379980A1 (en) 2016-12-29
US20080064157A1 (en) 2008-03-13
US7956420B2 (en) 2011-06-07
US9093306B2 (en) 2015-07-28
US20080099786A1 (en) 2008-05-01
CN1684246B (zh) 2010-05-12
US20150311189A1 (en) 2015-10-29
US20110147852A1 (en) 2011-06-23
US8816440B2 (en) 2014-08-26
US9425182B2 (en) 2016-08-23
US20140332897A1 (en) 2014-11-13

Similar Documents

Publication Publication Date Title
US9899386B2 (en) Low noise and high performance LSI device
US7545002B2 (en) Low noise and high performance LSI device, layout and manufacturing method
US7348636B2 (en) CMOS transistor having different PMOS and NMOS gate electrode structures and method of fabrication thereof
US6693333B1 (en) Semiconductor-on-insulator circuit with multiple work functions
KR100992036B1 (ko) 응력이 가해진 게이트 금속 실리사이드층을 포함하는고성능 mosfet 및 그 제조 방법
US6806534B2 (en) Damascene method for improved MOS transistor
US6858506B2 (en) Method for fabricating locally strained channel
US20160240674A1 (en) Method for manufacturing semiconductor device with recess, epitaxial growth and diffusion
US6642119B1 (en) Silicide MOSFET architecture and method of manufacture
US8026134B2 (en) Recessed drain and source areas in combination with advanced silicide formation in transistors
US20110006373A1 (en) Transistor Structure
US7018891B2 (en) Ultra-thin Si channel CMOS with improved series resistance
US8318571B2 (en) Method for forming P-type lightly doped drain region using germanium pre-amorphous treatment
US8835260B2 (en) Control of threshold voltages in high-k metal gate stack and structures for CMOS devices
KR20090101831A (ko) 낮은 접촉 저항의 실리사이드화 된 소스/드레인 콘택을 갖는 전계 효과 트랜지스터 제조 방법
KR100574172B1 (ko) 반도체 소자의 제조방법
US7737014B2 (en) Reduction of boron diffusivity in pFETs
JPH09293860A (ja) 半導体集積装置および製造方法
US7153732B1 (en) Methods of fabricating transistors in semiconductor devices
US6093595A (en) Method of forming source and drain regions in complementary MOS transistors
KR100622812B1 (ko) 반도체 소자의 게이트 제조 방법
JP2006196561A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

CC Certificate of correction
MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12