TWM366753U - Showerhead electrode - Google Patents

Showerhead electrode Download PDF

Info

Publication number
TWM366753U
TWM366753U TW097222708U TW97222708U TWM366753U TW M366753 U TWM366753 U TW M366753U TW 097222708 U TW097222708 U TW 097222708U TW 97222708 U TW97222708 U TW 97222708U TW M366753 U TWM366753 U TW M366753U
Authority
TW
Taiwan
Prior art keywords
distance
electrode
hole
gas
vertical distance
Prior art date
Application number
TW097222708U
Other languages
English (en)
Chinese (zh)
Inventor
Babak Kadkhodayan
Rajinder Dhindsa
La Llera Anthony De
Michael C Kellogg
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TWM366753U publication Critical patent/TWM366753U/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
TW097222708U 2008-07-07 2008-12-18 Showerhead electrode TWM366753U (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/216,525 US8206506B2 (en) 2008-07-07 2008-07-07 Showerhead electrode

Publications (1)

Publication Number Publication Date
TWM366753U true TWM366753U (en) 2009-10-11

Family

ID=41236811

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097222708U TWM366753U (en) 2008-07-07 2008-12-18 Showerhead electrode

Country Status (7)

Country Link
US (1) US8206506B2 (ko)
JP (1) JP3150053U (ko)
KR (1) KR200454710Y1 (ko)
CN (1) CN201341268Y (ko)
DE (1) DE202009009293U1 (ko)
MY (1) MY146761A (ko)
TW (1) TWM366753U (ko)

Families Citing this family (373)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
WO2009078923A2 (en) 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
US8418649B2 (en) 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI525887B (zh) * 2011-11-14 2016-03-11 財團法人金屬工業研究發展中心 導氣電極板
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR101984223B1 (ko) * 2014-10-22 2019-09-03 하나머티리얼즈(주) 반도체 공정용 플라즈마 장치의 일체형 상부 전극 및 이의 제조 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP1545222S (ko) * 2015-06-10 2016-03-07
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWD177995S (zh) * 2015-11-18 2016-09-01 ASM知識產權私人控股有&#x9 用於半導體製造設備之氣體供應板
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
CN107484320B (zh) * 2017-07-20 2019-12-03 中国科学院工程热物理研究所 等离子体喷嘴
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1598996S (ko) * 2017-08-31 2018-03-05
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) * 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP1624668S (ko) * 2018-06-08 2019-02-18
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585920A (en) * 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) * 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5590975A (en) * 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
JP3959745B2 (ja) * 1995-04-07 2007-08-15 セイコーエプソン株式会社 表面処理装置
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) * 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
JP2001525997A (ja) * 1997-05-20 2001-12-11 東京エレクトロン株式会社 処理装置
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6550126B1 (en) * 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) * 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6558506B1 (en) * 1999-02-01 2003-05-06 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6200415B1 (en) * 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) * 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) * 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) * 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
JP4896337B2 (ja) * 2000-05-17 2012-03-14 東京エレクトロン株式会社 処理装置およびそのメンテナンス方法,処理装置部品の組立機構およびその組立方法,ロック機構およびそのロック方法
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) * 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US7211170B2 (en) * 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) * 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6936135B2 (en) 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
US7861667B2 (en) * 2002-05-23 2011-01-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a multi-part electrode
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040173313A1 (en) * 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
WO2005052414A2 (en) * 2003-11-25 2005-06-09 Garlock Sealing Technologies, Llc Corrugated gasket core with profiled surface
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4403919B2 (ja) * 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) * 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) * 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7942973B2 (en) * 2006-10-16 2011-05-17 Lam Research Corporation Methods and apparatus for wet cleaning electrode assemblies for plasma processing apparatuses
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
WO2009042137A2 (en) * 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8418649B2 (en) * 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5224855B2 (ja) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
WO2009114175A2 (en) 2008-03-14 2009-09-17 Lam Research Corporation Cam lock electrode clamp
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode

Also Published As

Publication number Publication date
MY146761A (en) 2012-09-14
DE202009009293U1 (de) 2009-10-29
JP3150053U (ja) 2009-04-30
CN201341268Y (zh) 2009-11-04
KR20100000514U (ko) 2010-01-15
US20100000683A1 (en) 2010-01-07
US8206506B2 (en) 2012-06-26
KR200454710Y1 (ko) 2011-07-21

Similar Documents

Publication Publication Date Title
TWM366753U (en) Showerhead electrode
KR102369706B1 (ko) 정전 척 및 이의 제조 방법
TW201201319A (en) Susceptor for plasma processing chamber
JP5025576B2 (ja) 静電チャック及び基板温調固定装置
TWI376763B (en) Asymmetric grounding of rectangular susceptor
TWM397124U (en) Showerhead electrode, showerhead electrode assembly, and centering ring
JP5828836B2 (ja) 陽極処理されたシャワーヘッド
TW201220961A (en) Parasitic plasma prevention in plasma processing chambers
TW201135801A (en) Plasma process apparatus
TWM396482U (en) Gasket with positioning feature for clamped monolithic showerhead electrode
TW200901363A (en) Substrate carrying bench and substrate treatment device
JP2005217425A (ja) 両面コーティング用のプラズマcvd装置およびプラズマcvd方法
TW200845831A (en) Showerhead electrode assembly with gas flow modification for extended electrode life
CN1783431A (zh) 等离子体处理装置
TW201140748A (en) Electrostatic chuck having spacer
TWI278953B (en) Apparatus for manufacturing semiconductor device
TW200834688A (en) Prevention of film deposition on PECVD process chamber wall
TWI274394B (en) Electrostatic chuck with support balls as contact plane, substrate support, clamp for substrate fixation, and electrode structure, and fabrication method thereof
JP2022104964A (ja) 堆積の均一性を改善するための、様々なプロファイルを有する側部を有するシャドーフレーム
TW201131680A (en) Showerhead assembly with improved impact protection
WO2009152670A1 (zh) 射频电极及薄膜制备装置
KR102670160B1 (ko) 기판처리장치
TW201622001A (zh) 蝕刻有機膜之方法
JPH09252047A (ja) 静電吸着電極
JP2006324603A (ja) プラズマ処理方法及び装置並びにプラズマcvd方法及び装置

Legal Events

Date Code Title Description
MK4K Expiration of patent term of a granted utility model