TWI794276B - 用於填充基材表面上的間隙特徵的方法和相關的半導體元件結構 - Google Patents

用於填充基材表面上的間隙特徵的方法和相關的半導體元件結構 Download PDF

Info

Publication number
TWI794276B
TWI794276B TW107129474A TW107129474A TWI794276B TW I794276 B TWI794276 B TW I794276B TW 107129474 A TW107129474 A TW 107129474A TW 107129474 A TW107129474 A TW 107129474A TW I794276 B TWI794276 B TW I794276B
Authority
TW
Taiwan
Prior art keywords
molybdenum
metal film
features
deposition
substrate
Prior art date
Application number
TW107129474A
Other languages
English (en)
Other versions
TW201920740A (zh
Inventor
基蘭 什雷斯塔
巴山 羅普
山卡 施娃米納坦
朱馳宇
亨利 托瑪士 安特羅 傑席拉
琦 謝
Original Assignee
荷蘭商Asm智慧財產控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/691,241 external-priority patent/US11056344B2/en
Application filed by 荷蘭商Asm智慧財產控股公司 filed Critical 荷蘭商Asm智慧財產控股公司
Publication of TW201920740A publication Critical patent/TW201920740A/zh
Application granted granted Critical
Publication of TWI794276B publication Critical patent/TWI794276B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

本發明公開了用於填充基材表面上的間隙特徵的方法。該方法可包括:將包含一個或多個間隙特徵的基材提供到反應室內;以及通過迴圈沉積-蝕刻方法用鉬金屬膜部分填充一個或多個間隙特徵,其中迴圈沉積-蝕刻方法的單元迴圈包括:通過執行第一迴圈沉積方法的至少一個單元迴圈,用鉬金屬膜部分填充一個或多個間隙特徵;和部分蝕刻鉬金屬膜。該方法還可包括:通過執行第二迴圈沉積方法的至少一個單元迴圈,用鉬金屬膜填充一個或多個間隙特徵。本發明還公開了包括間隙填充鉬金屬膜的半導體元件結構,所述間隙填充鉬金屬膜設置在通過本公開內容的方法形成的基材的表面中或表面上的一個或多個間隙特徵中。

Description

用於填充基材表面上的間隙特徵的方法和相關的半導體元件結構 〔相關申請的交叉引用〕
本專利申請案請求以下的優先權:名稱為“Layer Forming Method”且於2017年8月30日提交的美國非臨時專利申請案第15/691,241號;名稱為“Layer Forming Method”且於2017年12月18日提交的美國臨時專利申請第62/607,070號;以及名稱為“Deposition Method”且於2018年1月19日提交的美國臨時專利申請案第62/619,579號。
本公開內容一般涉及用於填充基材表面上的間隙特徵的方法,並且特別涉及利用迴圈沉積-蝕刻方法用鉬金屬膜填充一個或多個間隙特徵的方法。本公開內容一般還涉及包括用鉬金屬膜填充的一個或多個間隙特徵的半導體元件結構。
用於形成半導體元件結構的半導體製造方法(例如,電晶體、記憶元件和積體電路)是廣泛範圍的,並且尤其可包括沉積方法、蝕刻方法、熱退火方法、光刻方法和摻雜方法等。
通常利用的特定半導體製造方法是將金屬膜沉積到間隙特徵內,從而用金屬膜填充間隙特徵,該方法通常被稱為「間隙填充」。半導體基材可包括在具有非平面表面的基材的多個間隙特徵。間隙特徵可包括基本上垂直的間隙特徵,其設置在基材表面的突出部分或在基材表面中形成的凹槽之間。間隙特徵還可包括基本上水平的間隙特徵,其設置在界定水平間隙特徵的兩個相鄰材料之間。隨著半導體元件結構幾何形狀已減小,並且高縱橫比特徵在此類半導體元件結構如DRAM、閃速記憶體和邏輯中已變得越來越普遍,用具有所需特性的金屬填充多個間隙特徵已變得越來越困難。
沉積方法例如高密度電漿(HDP)、低於大氣壓的化學氣相沉積(SACVD)和低壓化學氣相沉積(LPCVD)已用於間隙填充方法,但這些方法通常不能實現所需的間隙填充能力。
相應地,需要方法和相關的半導體元件結構用於填充具有改進特性的具有間隙填充金屬的非平面基材上的間隙特徵。
提供該概述用於以簡化的形式引入一系列概念。下文在本公開的實例實施例的詳細描述中進一步詳細描述這些概念。此概述並不意圖識別所要求的主題的關鍵特徵或基本特徵,也並非意圖用於限制所要求的主題的範圍。
在一些實施例中,提供了用於填充基材表面上的間隙特徵的方法。該方法可包括:將包含一個或多個間隙特徵的基材提供到反應室內;通過迴圈沉積-蝕刻方法用鉬金屬膜部分填充一個或多個間隙特徵,其中迴圈沉積-蝕刻方法的單元迴圈包括:通過執行第一迴圈沉積方法的至少一個單元迴圈,用鉬金屬膜部分填充一個或多個間隙特徵;並且部分蝕刻鉬金屬膜。該方法還可包括:通過執行第二迴圈沉積方法的至少一個單元迴圈,用鉬金屬膜填充一個或多個 間隙特徵。
出於概述本發明和優於現有技術而實現的優勢的目的,上文中描述了本發明的某些目標和優勢。當然,應理解,未必所有此類目標或優勢都可根據本發明的任一特定實施例實現。因此,舉例來說,所屬領域的技術人員將認識到,本發明可以按實現或優化如本文中所教示或建議的一種優勢或一組優勢,但不一定實現如本文中可能教示或建議的其它目的或優勢的方式來實施或進行。
所有這些實施例意欲在本文中所公開的本發明的範圍內。對於所屬領域的技術人員來說,這些和其它實施例將從參考附圖的某些實施例的以下詳細描述變得顯而易見,本發明不限於所公開的任何特定實施例。
100:半導體元件結構
102:基材
104:垂直的間隙特徵
106:金屬間隙填充材料
108:接縫
110:半導體元件結構
112:基材
114:水平的間隙特徵
116:金屬間隙填充材料
118:接縫
200:例示性方法
205:迴圈沉積-蝕刻階段
210:程序方塊
220:鉬沉積方法
230:程序方塊
240:決策閘
250:程序方塊
260:程序方塊
305:迴圈沉積階段
310:子程序方塊
320:子程序方塊
340:子程序方塊
400:半導體元件結構
402:基材
404:垂直高縱橫比間隙特徵
405:襯裡材料
406:鉬金屬膜/鉬間隙填充金屬
408:半導體元件結構
410:基材
408:半導體元件結構
412:水平高縱橫比間隙特徵
414:鉬金屬膜/鉬間隙填充金屬
415:襯裡材料
儘管本說明書以特別指出並明確請求保護被視為本發明的實施例的權利要求得出結論,但當與附圖結合閱讀時,從本公開內容的實施例的某些實例的描述中可更容易地確定本公開內容的實施例的優點,在所述附圖中:圖1A和1B示出了填充有金屬間隙填充材料的間隙特徵的橫截面示意圖,其中所述金屬間隙填充材料包括接縫特徵;圖2示出了非限制性例示方法流程,證實了用鉬金屬膜在基材的表面上填充一個或多個間隙特徵的方法;圖3示出了根據本公開內容的實施例,用於在間隙特徵中沉積鉬金屬膜的迴圈沉積方法的非限制性例示子方法流程;和圖4A和4B示出了根據本公開內容的實施例的半導體元件結構的橫截面示意圖,所述半導體元件結構包括設置在一個或多個間隙特徵中並填充一個或多個間隙特徵的鉬金屬膜。
儘管下文公開某些實施例和實例,但所屬領域的技術人員將理解,本發明延伸超出了所具體公開的實施例和/或本發明的用途和顯而易見的修改以及其等效物。因此,希望本發明所公開的範圍不應受下文所描述特定公開實施例的限制。
本文呈現的圖示並不意味著是任何特定材料、結構或元件的實際視圖,而僅僅是用於描述本公開內容的實施例的理想化表示。
如本文使用的,術語「基材」可指可使用,或者可在其上形成元件、電路或膜的任何一種或多種底層材料。
如本文使用的,術語「迴圈沉積」可指將一種或多種前體(反應物)依序引入反應室內,以在基材上沉積膜,並且包括沉積技術,例如原子層沉積和迴圈化學氣相沉積。
如本文使用的,術語「迴圈化學氣相沉積」可指其中基材依序暴露於一種或多種揮發性前體的任何方法,所述前體在基材上反應和/或分解以產生所需的沉積。
如本文使用的,術語「原子層沉積」(ALD)可指氣相沉積方法,其中在反應室中進行沉積迴圈,優選多個連續沉積迴圈。通常,在每個迴圈期間,將前體用化學方法吸附沉積表面(例如,基材表面或先前沉積的底層表面,例如來自先前ALD迴圈的材料),從而形成不易與額外前體反應的單層或亞單層(即,自限性反應)。此後,視需要,可以隨後將反應物(例如,另一種前體或反應氣體)引入到方法室中以用於將用化學方法吸附的前體轉化成沉積表面上的所要材料。通常,此反應物能夠與前體進一步反應。此外,在每個迴圈期間還可利用沖洗步驟以在轉換用化學方法吸附的前體之後從方法室去除過量前體和/或從方法室去除過量反應物和/或反應副產物。此外,當用前體組合物、反應性氣體和 沖洗(例如惰性載體)氣體的交替脈衝執行時,如本文使用的,術語「原子層沉積」還意欲包括由相關術語指定的方法,所述相關術語例如「化學氣相原子層沉積」、「原子層磊晶」(ALE)、分子束磊晶(MBE)、氣源MBE或有機金屬MBE和化學束磊晶。
如本文使用的,術語「膜」和「薄膜」可指通過本文公開的方法形成的任何連續或非連續結構和材料。例如,「膜」和「薄膜」可包括2D材料、奈米層壓材料、奈米棒、奈米管或奈米顆粒、或甚至部分或全部分子層、或部分或完整原子層或原子和/或分子簇。「膜」和「薄膜」可包括具有針孔的材料或層,但仍然是至少部分連續的。
如本文使用的,術語「鹵化鉬前體」可指包含至少鉬組分和鹵化物組分的反應物,其中所述鹵化物組分可包括氯組分、碘組分或溴組分中的一種或多種。
如本文使用的,術語「硫屬化物鹵化鉬」可指包含至少鉬組分、鹵化物組分和硫族元素組分的反應物,其中所述硫族元素是選自元素週期表第IV族的元素,包括氧(O)、硫(S)、硒(Se)和碲(Te)。
如本文使用的,術語「氧鹵化鉬」可指包含至少鉬組分、氧組分和鹵化物組分的反應物。
如本文使用的,術語「還原劑前體」可指在氧化還原化學反應中將電子提供給另一個物種的反應物。
如本文使用的,術語「結晶膜」可指展示晶體結構的至少短程有序或甚至長程有序的膜,並且包括單晶膜以及多晶膜。
如本文使用的,術語「間隙特徵」可指設置在非平面表面的兩個表面之間的開口或腔。術語「間隙特徵」可指設置在從基材的表面垂直延伸的兩個突起的相對傾斜側壁、或者垂直延伸到基材的表面內的凹槽的相對傾斜側壁 之間的開口或腔,此類間隙特徵可被稱為「垂直間隙特徵」。術語「間隙特徵」還可指設置在兩個相對的基本上水平的表面之間的開口或腔,所述水平表面限定水平開口或腔;此類間隙特徵可被稱為「水平間隙特徵」。
如本文使用的,術語「接縫」可指由間隙填充金屬中形成的邊緣的鄰接形成的線或一個或多個空隙,並且「接縫」可使用掃描穿透式電子顯微鏡檢查(STEM)或穿透式電子顯微鏡檢查(TEM)加以證實,其中如果觀察揭示在垂直間隙填充金屬中的清晰垂直線或者一個或多個垂直空隙、或者在水平間隙填充金屬中的清晰水平線或者一個或多個水平空隙,則「接縫」存在。
在本公開內容的實施例自始至終給出了許多示例材料,應當注意,對於每種示例材料給出的化學式不應被解釋為限制性的,並且給出的非限制性示例材料不應受到給出的示例化學計量學的限制。
本公開內容包括用於填充基材表面上的一個或多個間隙特徵的方法,且特別是利用迴圈沉積-蝕刻方法用鉬金屬膜填充一個或多個間隙特徵的方法。鉬金屬薄膜可用於許多應用中,例如,低電阻率間隙填充、用於3D-NAND的襯層、DRAM字線特徵、或作為CMOS邏輯應用中的互連材料。在間隙特徵中沉積鉬金屬膜的能力可允許對於邏輯應用(即,CMOS結構)中的互連和記憶體應用(例如3D-NAND和DRAM結構)中的字線/位線的較低有效電阻率。
本公開內容的實施例可提供優於先前已知方法的間隙填充方法和間隙填充金屬。包括通過常規現有技術方法用金屬膜填充的間隙特徵的半導體元件結構的例子在圖1A和圖1B中示出,其中圖1A示出了基本上垂直的間隙特徵和相關的間隙填充金屬,並且圖1B示出了基本上水平的間隙特徵和相關的間隙填充金屬。
例如,圖1A示出了包括基材102的半導體元件結構100的橫截面視圖,所述基材102包括基本上垂直的間隙特徵104,所述基本上垂直的間隙特徵 104填充有金屬間隙填充材料106。如圖1A所示,設置在金屬間隙填充材料106內的是通常稱為接縫108的特徵。接縫指金屬間隙填充材料106中的區域,其中從間隙的兩個側壁生長的兩個膜的邊緣彼此接觸,因此接縫108通常設置在間隙特徵104的中心處。在金屬間隙填充材料中的接縫108形成是不期望的,並且可導致弱元件性能和半導體元件製造方法中的後續問題。在該實例中,接縫108可包括垂直線或者一個或多個大空隙,其可使用掃描穿透式電子顯微鏡檢查(STEM)或穿透式電子顯微鏡檢查(TEM)觀察,其中如果觀察揭示在金屬間隙填充材料106中存在垂直線或者一個或多個大空隙,則存在接縫108。
作為另外的例子,圖1B示出了包括基材112的半導體元件結構110的橫截面視圖,所述基材112包括基本上水平的間隙特徵114,所述基本上水平的間隙特徵114填充有金屬間隙填充材料116。如圖1B所示,設置在金屬間隙填充材料116內的是接縫118。用於填充間隙特徵例如例示性水平間隙特徵114的先前方法,可包括金屬間隙填充材料朝向水平間隙特徵114的入口的優先沉積。朝向水平間隙特徵114的入口的這種優先沉積可導致接近水平間隙特徵114的入口的膜的過早閉合,即,在用金屬膜完全填充水平間隙特徵之前,兩個相對的面可接近水平間隙特徵的入口連接,導致接縫118的形成。因此,在該實例中,接縫118可包括水平線或者一個或多個大空隙,其可使用掃描穿透式電子顯微鏡檢查(STEM)或穿透式電子顯微鏡檢查(TEM)觀察到,其中如果觀察揭示金屬間隙填充材料116中的水平線或者一個或多個大空隙,則存在接縫108。
因此,期望方法和相關的半導體元件結構允許用金屬間隙填充材料填充一個或多個間隙特徵,包括水平間隙特徵和垂直間隙特徵,而不形成可對元件性能和元件產率具有不利影響的接縫特徵。
因此,本公開內容的實施例可包括用於填充基材表面上的間隙特徵的方法。該方法可包括:將包含一個或多個間隙特徵的基材提供到反應室內; 並且通過迴圈沉積-蝕刻方法用鉬金屬膜部分填充一個或多個間隙特徵,其中迴圈沉積-蝕刻方法的單元迴圈包括:通過執行第一迴圈沉積方法的至少一個單元迴圈;並且部分蝕刻鉬金屬膜,用鉬金屬膜部分填充一個或多個間隙特徵。該方法還可包括:通過執行第二迴圈沉積方法的至少一個單元迴圈,填充一個或多個間隙特徵。
參考圖2示出了用於填充在基材上或基材中的一個或多個間隙特徵的例示性方法200。例示性方法200可包括用於用鉬金屬膜部分填充一個或多個間隙特徵的迴圈沉積-蝕刻階段205、以及用於填充一個或多個間隙特徵的第二迴圈沉積方法,其中所述例示性方法200可用於形成間隙填充鉬金屬膜而不形成接縫。
更詳細地並且參考圖2,例示性間隙填充方法200可藉助於程序方塊210開始,所述程序方塊210包括將包括一個或多個間隙特徵的基材提供到反應室內,並且將基材加熱到所需的處理溫度。
在本公開內容的一些實施例中,基材可包括圖案化的基材,其包括高縱橫比特徵,例如溝槽結構、垂直間隙、水平間隙和/或鰭結構。例如,基材可包括一個或多個基本上垂直的間隙特徵和/或一個或多個基本上水平的間隙特徵。術語「間隙特徵」可指設置在從基材的表面垂直延伸的兩個突起的相對傾斜側壁、或者垂直延伸到基材的表面內的凹槽的相對傾斜側壁之間的開口或腔,此類間隙特徵可被稱為「垂直間隙特徵」。術語「間隙特徵」還可指設置在兩個相對的基本上水平的表面之間的開口或腔,所述水平表面限定水平開口或腔;此類間隙特徵可被稱為「水平間隙特徵」。應當注意,本公開內容的實施例並不限於填充垂直間隙特徵和/或水平間隙特徵,並且設置在基材中和/或基材上的間隙特徵的其它幾何形狀可通過本文公開的方法用鉬金屬填充。
在本公開內容的一些實施例中,基材可包括一個或多個基本上垂 直的間隙特徵,其中所述垂直間隙特徵可具有的縱橫比(高度:寬度)可大於2:1、或大於5:1、或大於10:1、或大於25:1、或大於50:1、或甚至大於100:1,其中如本實例中使用的「大於」指間隙特徵的高度中的更大距離。在本公開內容的一些實施例中,基材可包括一個或多個基本上水平的間隙特徵,其中所述水平間隙特徵可具有的縱橫比(高度:寬度)可大於1:2、或大於1:5、或大於1:10、或大於1:25、或大於1:50、或甚至大於1:100,其中如本實例中使用的「大於」指間隙特徵的寬度中的更大距離。
基材可包括一種或多種材料和材料表面,包括但不限於半導體材料、介電材料和金屬材料。
在一些實施例中,基材可包括半導體材料,例如但不限於矽(Si)、鍺(Ge)、鍺錫(GeSn)、矽鍺(SiGe)、矽鍺錫(SiGeSn)、碳化矽(SiC)或III-V族半導體材料。
在一些實施例中,基材可包括金屬材料,例如但不限於純金屬、金屬氮化物、金屬碳化物、金屬硼化物及其混合物。
在一些實施例中,基材可包括介電材料,例如但不限於含矽介電材料和金屬氧化物介電材料。在一些實施例中,基材可包括一個或多個介電表面,其包括含矽介電材料,例如但不限於二氧化矽(SiO2)、低氧化矽、氮化矽(Si3N4)、氮氧化矽(SiON)、碳氧化矽(SiOC)、碳氧氮化矽(SiOCN)、碳氮化矽(SiCN)。在一些實施例中,基材可包括一個或多個介電表面,其包含金屬氧化物,例如但不限於氧化鋁(Al2O3)、氧化鉿(HfO2)、氧化鉭(Ta2O5)、氧化鋯(ZrO2)、氧化鈦(TiO2)、矽酸鉿(HfSiOx)和氧化鑭(La2O3)。
在本公開內容的一些實施例中,基材可包括經改造的基材,其中表面半導體層設置在主體支撐件上,其間設置有插入的埋氧層(BOX)。
圖案化基材可包括基材,所述基材可包括在基材的表面內或基材 的表面表面上形成的半導體元件結構,例如,圖案化基材可包括部分製造的半導體元件結構,例如電晶體和/或記憶元件。在一些實施例中,基材可含有單晶表面和/或一個或多個次表面,其可包括非單晶表面,例如多晶表面和/或非晶表面。單晶表面可包含例如矽(Si)、矽鍺(SiGe)、鍺錫(GeSn)或鍺(Ge)中的一種或多種。多晶表面或非晶表面可包括介電材料,例如氧化物、氮氧化物、碳氧化物、碳氧化物氮化物、氮化物或其混合物。
能夠用於用鉬金屬膜填充一個或多個間隙特徵的反應器或反應室可被配置用於執行迴圈沉積-蝕刻方法,其中該方法的沉積階段可包括迴圈沉積方法,例如,原子層沉積或迴圈化學氣相沉積。因此,適合於執行本公開內容的實施例的反應器或反應室可包括配置為提供前體的ALD反應器、以及CVD反應器。根據一些實施例,可使用噴淋頭反應器。根據一些實施例,可使用錯流、間歇、小型間歇或空間ALD反應器。
在本公開內容的一些實施例中,可使用間隙反應器。在一些實施例中,可使用垂直間隙反應器。在其它實施例中,間隙反應器包括配置為容納10個或更少的晶片、8個或更少的晶片、6個或更少的晶片、4個或更少的晶片、或者2個或更少的晶片的小型間隙反應器。在其中使用間隙反應器的一些實施例中,晶片間的不均勻性小於3%(1σ)、小於2%、小於1%、或甚至小於0.5%。
如本文所述的例示性鉬金屬膜間隙填充方法可任選地在連接至叢聚式設備的反應器或反應室中進行。在叢聚式設備中,因為每個反應室專用於一種類型的方法,所以每個模組中的反應室的溫度可保持恒定,與其中在每次運行之前,基材被加熱到處理溫度的反應器相比,這改善了流通量。另外,在叢聚式設備中,能夠減少將反應室泵送到基材之間的所需方法壓力程度的時間。在本公開內容的一些實施例中,本文公開的例示性鉬金屬膜間隙填充方法可在包括多個反應室的叢聚式設備中執行,其中每個個別的反應室可用於將基材暴露於 個別的前體氣體,並且基材可在不同的反應室之間轉移用於暴露於多重前體氣體,基材的轉移在受控的環境下執行,以防止基材的氧化/污染。例如,本文所述的迴圈沉積-蝕刻方法可包括沉積階段和蝕刻階段,並且與叢聚式設備相關的第一反應室可用於沉積階段,並且與相同叢聚式設備相關的第二反應室可為用於蝕刻階段,在第一反應室和第二反應室之間的來回轉移在受控環境下發生,以防止基材和相關金屬膜的污染或降解。在本公開內容的一些實施例中,本公開內容的鉬金屬膜間隙填充方法可在包括多重反應室的叢聚式設備中執行,其中每個個別反應室可配置為將基材加熱到不同的溫度。
在一些實施例中,本公開內容的間隙填充過程可在單個獨立反應器中執行,所述反應器可配備有裝載腔。在這種情況下,不必在每次運行之間冷卻反應室。
一旦將基材設置在合適的反應室內,例如原子層沉積反應室或化學氣相沉積反應室,就可將基材加熱到所需的處理溫度。在一些實施例中,例示性方法100的迴圈沉積-蝕刻階段205可在恒定的基材溫度下執行。在替代實施例中,可將基材加熱到用於迴圈沉積-蝕刻階段205的沉積階段的第一基材溫度、以及用於蝕刻階段的第二基材溫度。
在本公開內容的一些實施例中,可將基材加熱到小於大約800℃、或小於大約700℃、或小於大約600℃、或小於大約500℃、或小於大約400℃、或小於大約300℃、或甚至小於大約200℃的基材溫度。在本公開內容的一些實施例中,可將基材溫度加熱到200℃至800℃、或300℃至700℃、或400℃至600℃、或525℃至575℃的用於例示性方法200的處理溫度。
另外,為了實現所需處理溫度,即所需基材溫度,例示性間隙填充方法200還可在間隙填充方法200期間調節反應室內的壓力,以獲得間隙填充方法和設置在一個或多個間隙特徵內的鉬金屬膜的期望特性。例如,在本公開內 容的一些實施例中,例示性間隙填充方法200可在調節至小於300托(Torr)、或小於200托、或小於100托、或小於50托、或小於25托、或甚至小於10托的反應室壓力的反應室內執行。在一些實施例中,在例示性間隙填充方法200期間,反應室內的壓力可在10托至300托、或20托至80托、或40托至50托、或者甚至等於或大於20托的壓力下調節。
一旦基材被加熱到所需處理溫度並且反應室內的壓力已被調節到所需程度,例示性間隙填充方法100可藉助於迴圈沉積-蝕刻階段205繼續。在一些實施例中,迴圈沉積-蝕刻階段205可藉助於程序方塊220開始,所述程序方塊220包括通過執行第一迴圈沉積方法的至少一個單元迴圈,用鉬金屬膜部分填充一個或多個間隙特徵。參考圖3更詳細地描述程序方塊220及其組成子程序方塊,所述圖3示出了例示性迴圈沉積方法,用於在一個或多個間隙特徵內沉積鉬金屬膜以用鉬金屬膜部分填充一個或多個間隙特徵。
更詳細地並且參考圖3,用於用鉬金屬填料部分填充一個或多個間隙特徵的程序方塊220可包括第一迴圈沉積方法,例如原子層沉積(ALD)方法或迴圈化學氣相沉積(CCVD)方法。
迴圈沉積方法的非限制性示例實施例可包括原子層沉積(ALD),其中ALD基於通常的自限反應,由此反應物的依序和交替脈衝用於沉積材料的約一個原子(或分子)單層/沉積迴圈。通常選擇沉積條件和前體以提供自飽和反應,使得一種反應物的吸收層留下與相同反應物的氣相反應物不反應的表面末端(termination)。基材隨後與不同反應物接觸,所述不同反應物與先前末端反應以實現繼續沉積。因此,交替脈衝的每個迴圈通常留下所要材料的不多於約一個單層。然而,如上所述,技術人員將認識到,在一個或多個ALD迴圈中,可沉積材料的多於一個單層,例如,如果儘管該方法的交替性質,仍發生一些氣相反應。
在用於用鉬金屬膜部分填充一個或多個間隙特徵的ALD型方法中,單元沉積迴圈可包括使基材暴露於第一氣相反應物,從反應室中去除任何未反應的第一反應物和反應副產物,並且使基材暴露於第二氣相反應物,隨後為第二去除步驟。在本公開內容的一些實施例中,第一氣相反應物可包含鉬前體,並且第二氣相反應物可包含還原劑前體。
前體可通過惰性氣體如氬(Ar)或氮(N2)分離,以防止反應物之間的氣相反應並且使自飽和表面反應成為可能。在一些實施例中,用於防止氣相反應物的惰性氣體可由氬(Ar)組成,其中氬可用於防止一個或多個間隙特徵的表面的氮化。然而,在一些實施例中,可移動基材以分別接觸第一氣相反應物和第二氣相反應物。因為反應是自飽和的,所以可能不需要底物的嚴格溫度控制和前體的精確劑量控制。然而,基材溫度優選地使得附帶的氣體物種既不冷凝成單層,也不在表面上分解。在使基材與下一反應化學品接觸之前,例如通過沖洗反應空間或通過移動基材來從基材表面去除剩餘的化學品和反應副產物(如果存在)。可以藉助於惰性沖洗氣體從反應空間中有效地排出不合期望的氣態分子。可以使用真空泵來輔助沖洗。
根據本公開內容的一些非限制性實施例,程序方塊220(圖3)可包括用於用鉬金屬膜部分填充一個或多個間隙特徵的ALD方法。在本公開內容的一些實施例中,單元ALD迴圈可包括兩個不同的沉積步驟或階段。在沉積迴圈的第一階段(「鉬階段」)中,在其上需要沉積的基材表面可與包含鉬前體的第一氣相反應物接觸,所述鉬前體化學吸附到基材的表面上,在基材的表面上形成反應物物種的不多於約一個單層。在沉積的第二階段中,在其上需要沉積的基材表面可與包含還原劑前體的第二氣相反應物接觸(「還原階段」)。
更詳細地,圖3示出了例示性鉬沉積方法220,用於用鉬金屬膜部分填充一個或多個間隙特徵,並且包括迴圈沉積階段305。例示性原子層沉積方 法220可從子程序方塊310開始,所述子程序方塊310包括使基材與第一氣相反應物接觸,並且特別地,在一些實施例中,使基材與包含鹵化鉬前體,即鉬前體的第一氣相反應物接觸。
在本公開內容的一些實施例中,鹵化鉬前體可包含氯化鉬前體、碘化鉬前體或溴化鉬前體。在一些實施例中,鹵化鉬前體可包含鉬硫屬化物,並且在特定實施例中,鉬硫屬化物前體可包含硫屬化物鹵化鉬。例如,硫屬化物鹵化鉬前體可包含選自以下的氧鹵化鉬:氧氯化鉬、氧碘化鉬或氧溴化鉬。在本公開內容的特定實施例中,鉬前體可包含氧氯化鉬,包括但不限於二氯二氧化鉬(IV)(MoO2Cl2)。
在本公開內容的一些實施例中,使基材與包含鹵化鉬前體的第一氣相反應物接觸可包括使鹵化鉬前體與基材接觸約0.1秒至約60秒、約0.1秒至約10秒、或約0.5秒至約5.0秒、或甚至1.0秒至2.0秒的時間段。另外,在基材與鹵化鉬前體接觸期間,鹵化鉬前體的流速可小於1000sccm、或小於500sccm、或小於100sccm、或小於10sccm、或甚至小於1sccm。另外,在基材與鹵化鉬前體接觸期間,鉬前體的流速範圍可為約1至2000sccm、約5至1000sccm、或約10至約500sccm。
如通過圖3的例示性程序方塊220所示,用於用鉬金屬膜部分填充一個或多個間隙特徵的例示性原子層沉積方法可通過沖洗反應室繼續。例如,通過用惰性氣體泵送,可從基材的表面去除過量的第一氣相反應物和反應副產物(如果存在的話)。在本公開內容的一些實施例中,沖洗過程可包括沖洗迴圈,其中將基材表面沖洗小於大約5.0秒、或小於大約3.0秒、或甚至小於大約2.0秒的時間段。過量的第一氣相反應物,例如過量的鉬前體和任何可能的反應副產物可藉助於真空去除,所述真空由與反應室流體連通的泵送系統生成。
在用沖洗迴圈沖洗反應室時,例示性原子層沉積程序方塊220可 藉助於子程序方塊320繼續迴圈沉積階段305的第二階段,所述子程序方塊320包括使基材與第二氣相反應物接觸,且特別是使基材與包含還原劑前體(「還原前體」)的第二氣相反應物接觸。
在本公開內容的一些實施例中,還原劑前體可包含合成氣體(H2+N2)、氨(NH3)、肼(N2H4)、烷基-肼(例如叔丁基肼(C4H12N2))、分子氫(H2)、氫原子(H)、氫電漿、氫自由基、氫激發態物質、醇、醛、羧酸、硼烷或胺中的至少一種。在進一步的實施例中,還原劑前體可包含矽烷(SiH4)、乙矽烷(Si2H6)、丙矽烷(Si3H8)、鍺烷(GeH4)、二鍺烷(Ge2H6)、硼烷(BH3)或乙硼烷(B2H6)中的至少一種。在本公開內容的特定實施例中,還原劑前體可包含分子氫(H2)。
在本公開內容的一些實施例中,使基材與還原劑前體接觸可包括使基材與還原劑前體接觸約0.01秒至約180秒、約0.05秒至約60秒、或約0.1秒至約30.0秒的時間段。另外,在基材與還原劑前體基材接觸期間,還原劑前體的流速可小於30slm、或小於15slm、或小於10slm、或小於5slm、或小於1slm、或甚至小於0.1slm。另外,在基材與還原劑前體基材接觸期間,還原劑前體的流速範圍可為約0.1至30slm、約5至15slm、或者等於或大於10slm。
在使基材與還原劑前體接觸時,用於用鉬金屬膜至少部分填充一個或多個間隙特徵的例示性程序方塊220可通過沖洗反應室來進行。例如,過量的還原劑前體和反應副產物(如果存在的話)可例如通過在使惰性氣體流動的同時泵送而從基材表面去除。在本公開內容的一些實施例中,沖洗過程可包括沖洗基材表面大約0.1秒至大約30秒、或大約0.5秒至大約10秒、或甚至大約1秒至2秒的時間段。
在從反應室中沖洗第二氣相反應物完成時,即還原劑前體(和任何反應副產物)後,例示性原子層沉積方法220的迴圈沉積階段305可繼續至決策閘340,其中所述決策閘340取決於在一個或多個間隙特徵中沉積的鉬金屬膜的 厚度。例如,本公開內容的實施例可利用迴圈沉積-蝕刻方法來部分填充一個或多個間隙特徵,因此沉積在一個或多個間隙特徵內的鉬膜厚度應該小於可引起在入口處的間隙特徵對間隙特徵的過早閉合,因為這可導致形成不希望的接縫。例如,如果鉬金屬膜以對於所需間隙特徵幾何形狀不足夠的厚度沉積,則可通過返回到子程序方塊310並繼續進行進一步的沉積迴圈來重複迴圈沉積階段305,其中單元沉積迴圈可包括使基材與鹵化鉬前體接觸(子程序方塊310),沖洗反應室,使基材與還原劑前體接觸(子程序方塊320),並且再次沖洗反應室。迴圈沉積階段305的單元沉積迴圈可重複一次或多次,直到所需厚度的鉬金屬膜沉積在一個或多個間隙特徵內,從而部分填充一個或多個間隙特徵。因此,沉積在一個或多個間隙特徵內的鉬金屬膜的所需厚度可取決於待填充的間隙特徵的縱橫比。一旦鉬金屬膜已沉積到所需厚度,例示性原子層沉積程序方塊220就可經由子程序方塊340退出,並且包括一個或多個部分填充的間隙特徵的基材可經受圖1的例示性間隙填充方法100的另外過程。
應當瞭解,在本公開內容的一些實施例中,基材與第一氣相反應物(例如,鉬前體)和第二氣相反應物(例如,還原前體)的接觸次序可為這樣的:使得基材首先接觸第二氣相反應物,隨後為第一氣相反應物。另外,在一些實施例中,例示性程序方塊220的迴圈沉積階段305可包括在使基材與第二氣相反應物接觸一次或多次之前,使基材與第一氣相反應物接觸一次或多次。另外,在一些實施例中,例示性程序方塊220的迴圈沉積階段305可包括在使基材與第一氣相反應物接觸一次或多次之前,使基材與第二氣相反應物接觸一次或多次。
在一些實施例中,用於部分填充一個或多個間隙特徵的迴圈沉積方法可為混合ALD/CVD或迴圈CVD方法。例如,在一些實施例中,與CVD方法相比,ALD方法的生長速率可能很低。增加生長速率的一種方法可為在比ALD方法中通常採用的那種更高的基材溫度下操作的那種,導致化學氣相沉積方法 的某些部分,但仍然利用前體的依序引入,此類方法可被稱為迴圈CVD。在一些實施例中,迴圈CVD方法可包括將兩種或更多種前體引入反應室內,其中在反應室中的兩種或更多種前體之間可存在重疊的時間段,導致沉積的ALD組分和沉積的CVD組分兩者。例如,迴圈CVD過程可包括一種前體的連續流動和第二前體進入反應室內的週期性脈衝。
一旦已沉積了初始鉬金屬,即利用程序方塊220,並且鉬金屬膜部分填充一個或多個間隙特徵,例示性間隙填充方法100(圖2)的迴圈沉積-蝕刻階段205就可繼續至蝕刻階段,所述蝕刻階段可涵蓋包括部分蝕刻鉬金屬膜的程序方塊230。
更詳細地,在鉬金屬膜的部分蝕刻期間,基材可維持在沉積階段(即,程序方塊220)中利用的相同處理溫度下,或可替代地在鉬金屬膜的部分蝕刻期間,處理溫度可與沉積階段中利用的那種不同。在一些實施例中,在蝕刻階段(即,程序方塊230)期間的處理溫度(即基材溫度)可小於大約800℃、或小於大約700℃、或小於大約600℃、或小於大約500℃、或小於大約400℃、或小於大約300℃、或甚至小於大約200℃。在本公開內容的一些實施例中,在蝕刻階段期間的基材溫度可為200℃至800℃、或300℃至700℃、或400℃至600℃、或525℃至575℃。
除在鉬金屬膜的部分蝕刻期間實現所需處理溫度,即所需基材溫度之外,反應室壓力可在沉積階段(即,程序方塊220)中利用的相同壓力下調節,或可替代地,在鉬金屬膜的部分蝕刻期間,反應室壓力可與沉積階段中利用的那種不同。在一些實施例中,在用於部分蝕刻鉬金屬膜的蝕刻階段期間,反應室內的壓力可調節至小於300托、或小於200托、或小於100托、或小於50托、或小於25托、或甚至小於10托的反應室壓力。在一些實施例中,在迴圈沉積-蝕刻階段205的蝕刻階段期間,反應室內的壓力可在10托至300托、或20托至80托、或 40托至50托、或甚至等於或大於20托的壓力下調節。
在獲得所需的基材溫度和反應室壓力後,部分蝕刻鉬金屬膜的方法可包括使蝕刻劑氣體流入反應室內,並且使鉬金屬膜與蝕刻劑氣體接觸。在一些實施例中,蝕刻劑氣體可包括氯化物蝕刻劑氣體,例如氯蒸氣(Cl2)或鹽酸蒸氣(HCl)。在本公開內容的特定實施例中,氯化物蝕刻劑氣體可包含氯化鉬,例如五氯化鉬(MoCl5)。因此,在一些實施例中,用於沉積鉬金屬膜的前體和用於部分蝕刻鉬金屬膜的蝕刻劑氣體兩者均包含鉬組分。
在本公開內容的一些實施例中,部分蝕刻鉬金屬膜可包括使基材,且特別是鉬金屬膜與氯化鉬蝕刻劑氣體接觸約0.1秒至約30秒、約0.1秒至約10秒、或約0.5秒至約5.0秒、或甚至1.0秒至2.0秒的時間段。另外,在用氯化鉬蝕刻劑氣體部分蝕刻鉬金屬膜期間,氯化鉬蝕刻劑氣體的流速可小於5000sccm、或小於1000sccm、或小於500sccm、或甚至小於100sccm。
在本公開內容的一些實施例中,鉬金屬膜的蝕刻速率可小於10埃/秒、或小於8埃/秒、或小於6埃/秒、或小於4埃/秒、或甚至小於2埃/秒。例如,鉬金屬膜的部分蝕刻可包括蝕刻小於20埃、或小於10埃、或甚至小於5埃的鉬金屬膜厚度。在一些實施例中,氯化鉬蝕刻劑氣體可優先蝕刻靠近一個或多個間隙特徵的入口的鉬金屬膜,從而維持對一個或多個間隙特徵的開口,用於隨後的金屬間隙填充過程。
迴圈沉積-蝕刻階段205的蝕刻階段可通過沖洗反應室繼續。例如,通過用惰性氣體泵送,可從基材的表面去除氯化鉬蝕刻劑氣體和反應副產物(如果存在的話)。在本公開內容的一些實施例中,沖洗過程可包括沖洗迴圈,其中將基材表面沖洗小於大約10.0秒、或小於大約5.0秒、或甚至小於大約2.0秒的時間段。過量的氯化鉬蝕刻劑氣體和任何可能的反應副產物可藉助於真空去除,所述真空由與反應室流體連通的泵送系統生成。
例示性間隙填充方法100的迴圈沉積-蝕刻階段205可繼續至決策閘240,其中所述決策閘240取決於在間隙特徵中形成的鉬金屬膜的厚度。例如,如果鉬金屬膜以對於所需間隙特徵不足夠的厚度形成,則可通過返回到程序方塊220並繼續進行進一步的迴圈沉積-蝕刻迴圈來重複迴圈沉積-蝕刻階段205,其中單元迴圈沉積-蝕刻迴圈可包括用鉬金屬膜部分填充一個或多個間隙特徵(程序方塊220),沖洗反應室,部分蝕刻鉬金屬膜(程序方塊230),並且再次沖洗反應室。迴圈沉積-蝕刻階段205的單元迴圈可重複一次或多次,直到所需厚度的鉬金屬膜在一個或多個間隙特徵內形成,其中由迴圈沉積-蝕刻階段一個或多個重複形成所需厚度的鉬金屬膜可取決於待填充的間隙特徵的縱橫比。在一些實施例中,可重複迴圈沉積-蝕刻階段205,直到一個或多個間隙特徵用鉬金屬膜填充至少80百分比、或90百分比、或甚至95百分比。
一旦鉬金屬膜已形成為所需厚度,迴圈沉積-蝕刻階段205可停止,並且例示性間隙填充方法100可藉助於程序方塊250繼續,所述程序方塊250包括通過第二迴圈沉積方法用鉬金屬膜填充一個或多個間隙特徵。
更詳細地,迴圈沉積-蝕刻方法205用鉬金屬膜部分填充一個或多個間隙特徵,並且包括第二迴圈沉積方法的第二沉積方法可用於用鉬金屬膜完全填充一個或多個間隙特徵。第二沉積方法(程序方塊250)可包括通過執行第二迴圈沉積方法的至少一個單元迴圈,用鉬金屬膜填充一個或多個間隙特徵。在一些實施例中,第二迴圈沉積方法可與用於用鉬金屬膜部分填充一個或多個間隙特徵(即,程序方塊220)的第一迴圈沉積方法相同。因此,參考圖3簡要描述程序方塊250,如該方法先前已參考程序方塊220描述的。
因此,更詳細地,包括部分填充的間隙特徵的基材可設置在反應室中,所述反應室被配置用於原子層沉積方法和/或迴圈化學氣相沉積方法中的至少一種。在一些實施例中,第二迴圈沉積方法可包括原子層沉積方法或迴圈化 學氣相沉積方法。
在一些實施例中,用於第二迴圈沉積迴圈的處理溫度可與用於第一迴圈沉積方法的那種相同。例如,在第二迴圈沉積方法期間的處理溫度,即基材溫度,可小於大約800℃、或小於大約700℃、或小於大約600℃、或小於大約500℃,或小於大約400℃,或小於大約300℃、或甚至小於大約200℃。在本公開內容的一些實施例中,在第二迴圈沉積方法期間的基材溫度可為200℃至800℃、或300℃至700℃、或400℃至600℃、或甚至525℃至575℃。
除在第二迴圈沉積方法期間達到所需的處理溫度,即所需的基材溫度之外,反應室壓力可在第一迴圈沉積階段(即,程序方塊220)中利用的相同壓力下調節。因此,在一些實施例中,在用於用鉬金屬膜填充一個或多個間隙特徵的第二迴圈沉積方法期間,反應室內的壓力可調節至小於300托、或小於200托、或小於100托、或小於50托、或小於25托、或甚至小於10托的反應室壓力。在一些實施例中,在第二迴圈沉積方法期間,反應室內的壓力可在10托至300托、或20托至80托、或40托至50托、或者甚至等於或大於20托的壓力下調節。
在本公開內容的一些實施例中,通過執行第二迴圈沉積方法的至少一個單元迴圈,用鉬金屬膜填充一個或多個間隙特徵可包括執行圖3的迴圈沉積階段305的至少一個單元迴圈,即,使基材與鹵化鉬前體接觸(子程序方塊310),沖洗反應室,使基材與還原劑前體接觸(子程序方塊320),並且沖洗反應室。鹵化鉬前體可包含如前所述的所有鹵化鉬前體,並且在特定實施例中,鹵化鉬前體可包含二氯二氧化鉬(IV)(MoO2Cl2)。還原劑前體可包含如前所述的所有還原劑前體,並且在特定實施例中,還原劑前體可包含分子氫(H2)。
第二迴圈沉積方法250可藉助於決策閘340繼續,其中所述決策閘340取決於在一個或多個間隙特徵中形成的鉬金屬膜的厚度。例如,如果鉬金屬膜以對於完全填充一個或多個間隙特徵不足夠的厚度沉積,則可通過返回到子 程序方塊310並繼續進行進一步的沉積迴圈來重複迴圈沉積階段305,其中單元沉積迴圈可包括使基材與鹵化鉬前體接觸(子程序方塊310),沖洗反應室,使基材與還原劑前體接觸(子程序方塊320),並且再次沖洗反應室。迴圈沉積階段305的單元沉積迴圈可重複一次或多次,直到一個或多個間隙特徵被鉬金屬膜完全填充。一旦鉬金屬膜已完全填充一個或多個間隙特徵,例示性原子層沉積程序方塊250就可經由子程序方塊340退出,並且包括一個或多個填充的間隙特徵的基材可經受圖1的例示性間隙填充方法100的另外過程。例如,例示性間隙填充方法100的最終程序方塊可包括退出間隙填充方法的程序方塊260,然後可使具有一個或多個鉬填充間隙特徵的基材經受進一步處理,以完成所需的半導體元件結構。
在本公開內容的一些實施例中,所形成的鉬金屬膜可具有約20埃至約250埃、或約50埃至約200埃、或甚至約100埃至約150埃的厚度。在一些實施例中,根據本文描述的一些實施例形成的鉬金屬膜可具有大於約20埃、或大於約30埃、或大於約40埃、或大於約50埃、或大於約60埃、或大於約100埃、或大於約250埃、或大於約500埃、或更大的厚度。在一些實施例中,根據本文所述的一些實施例形成的鉬金屬膜可具有小於約250埃、或小於約100埃、或小於約50埃、或小於約25埃、或小於約10埃、或甚至小於大約5埃的厚度。
在本公開內容的一些實施例中,間隙填充鉬金屬膜可包括結晶膜。在一些實施例中,間隙填充鉬金屬膜可包括多晶膜,其中包含多晶鉬金屬膜的多個晶粒可具有大於100埃的晶粒尺寸。
在本公開內容的一些實施例中,通過本文公開的方法形成的鉬金屬膜可用於填充一個或多個垂直間隙特徵和/或一個或多個水平間隙特徵。
例如,圖4A示出了半導體元件結構400,其包括具有垂直高縱橫比間隙特徵404的基材402,其中所述縱橫比(高度:寬度)可大於2:1、或大於5:1、 或大於10:1、或大於25:1、或大於50:1、或甚至大於100:1,其中在該特定實例中的「大於」指間隙特徵的高度中的更大距離。
本文公開的間隙填充方法可用於直接在垂直高縱橫比特徵404的表面上形成鉬金屬膜,如通過鉬金屬膜406所示。在本公開內容的一些實施例中,垂直高縱橫比特徵的表面可包括介電材料,並且因此鉬間隙填充金屬406可直接設置在介電材料表面上。在替代實施例中,垂直高縱橫比特徵404可襯有襯裡材料405,所述襯裡材料405可包含金屬材料,例如純金屬、金屬氮化物、金屬碳化物、金屬硼化物或其混合物。因此,在一些實施例中,垂直高縱橫比特徵的表面可包含金屬材料,並且因此鉬間隙填充金屬406可直接設置在金屬材料表面上。
在一些實施例中,直接在垂直高縱橫比間隙特徵上的鉬金屬膜的階梯覆蓋率可等於或大於約50%、或大於約80%、或大於約90%、或大於約95%、或大於約98%、或約99%或更大。
作為非限制性實例,半導體元件結構400可表示部分製造的CMOS邏輯元件,其中基材402可包括層間電介質,並且鉬金屬膜406可包含金屬間隙填充,用於提供與一個或多個電晶體結構(未示出)的電連接。如圖4A所示,鉬金屬膜406可與介電材料402直接接觸而無需中間阻擋層材料,從而降低半導體元件結構400的總體有效電阻率。
在一些實施例中,鉬金屬膜可用作間隙填充金屬化,並且鉬金屬膜可填充間隙特徵,即垂直高縱橫比間隙特徵,而不形成接縫,其中接縫可指通過在間隙填充材料中形成的邊緣的鄰接而形成的線者或一個或多個空隙,並且接縫可通過使用掃描穿透式電子顯微鏡檢查(STEM)或穿透式電子顯微鏡檢查(TEM)來確認,其中如果觀察揭示在間隙填充材料中的清晰垂直線或者一個或多個垂直空隙,則存在接縫。
作為進一步的非限制性實例,圖4B示出了半導體元件結構408, 其包括具有一個或多個水平高縱橫比間隙特徵412的基材410,其中所述縱橫比(高度:寬度)可大於1:2、或大於1:5、或大於1:10、或大於1:25、或大於1:50、或甚至大於1:100,其中該特定實例術語「大於」指間隙特徵的寬度中的更大距離。本文公開的沉積方法可用於直接在水平高縱橫比間隙特徵412的表面上形成鉬金屬膜,如通過鉬金屬膜414所示。在一些實施例中,基材410和水平間隙特徵的表面可包括介電材料,並且因此鉬間隙填充金屬可直接設置在介電表面上。在替代實施例中,基材410可襯有任選的襯裡材料415,所述襯裡材料415可包含金屬材料,並且因此鉬間隙填充金屬414可直接設置在金屬表面上。在一些實施例中,直接在水平高縱橫比間隙特徵上的鉬金屬膜的階梯覆蓋率可等於或大於約50%、或大於約80%、或大於約90%、或大於約95%、或大於約98%、或約99%或更大。
作為非限制性示例實施例,半導體元件結構408可表示部分製造的記憶體件的一部分,其中基材412可包括氧化鋁(Al2O3),並且鉬金屬膜406可包括金屬柵極結構。
與垂直間隙填充過程一樣,鉬金屬膜可用作水平高縱橫比特徵的間隙填充金屬化,而不形成接縫,如先前所述。
在本公開內容的一些實施例中,根據本公開內容的實施例形成的鉬間隙填充金屬膜可包含低電阻率鉬金屬膜。例如,在一些實施例中,鉬金屬膜可具有小於3000μΩ-cm、或小於1000μΩ-cm、或小於500μΩ-cm、或小於200μΩ-cm、或小於100μΩ-cm、或小於50μΩ-cm、或小於25μΩ-cm、或小於15μΩ-cm、或甚至小於10μΩ-cm的電阻率。作為非限制性實例,可利用鉬金屬膜將一個或多個間隙特徵填充至大約小於100埃的厚度,並且所述鉬金屬膜可顯示出小於35μΩ-cm的電阻率。作為進一步的非限制性實例,可利用鉬金屬膜將一個或多個間隙特徵填充至小於200埃的厚度,並且所述鉬金屬膜可顯示出小於25μΩ-cm的電阻率。
在本公開內容的一些實施例中,間隙填充方法還可包括形成具有低原子百分比(原子%)的雜質的鉬金屬膜。例如,本公開內容的鉬金屬膜可包含小於5原子%、或小於2原子%、或甚至小於1原子%的雜質濃度。在一些實施例中,設置在鉬金屬膜內的雜質可包含至少氧和氯。
上文所描述的本公開的示例實施例不限制本發明的範圍,這是因為這些實施例僅僅是本發明實施例的實例,本發明由所附權利要求書和其合法等效物界定。任何等效實施例都意欲在本發明的範圍內。實際上,除本文中所展示和描述的如所描述元件的替代適用組合的內容以外,對於所屬領域的技術人員來說,本公開的各種修改將從描述變得顯而易見。此類修改和實施例也意欲落在所附權利要求書的範圍內。
200‧‧‧間隙填充方法
205‧‧‧迴圈沉積-蝕刻階段
210‧‧‧程序方塊
220‧‧‧鉬沉積方法
230‧‧‧程序方塊
240‧‧‧決策閘
250‧‧‧程序方塊
260‧‧‧程序方塊

Claims (15)

  1. 一種用於填充基材表面上的一個或多個間隙特徵的方法,該方法包括:將包含所述一個或多個間隙特徵的基材提供到反應室內;執行具有至少一個單元迴圈的第一迴圈沉積-蝕刻方法以部分填充所述一個或多個間隙特徵,其中該第一迴圈沉積-蝕刻方法的每個單元迴圈包括:使鉬前體和第二反應物流動進入該反應室內以用鉬金屬膜塗佈所述一個或多個間隙特徵;和使鹵化鉬蝕刻劑流動進入該反應室內,其中使該鹵化鉬蝕刻劑與該鉬金屬膜接觸以部分蝕刻該鉬金屬膜;施行該第一迴圈沉積-蝕刻方法直到所述一個或多個間隙特徵的至少一者用該鉬金屬膜部分填充到預定百分比從而界定具有開口的一個或多個經部分填充的間隙特徵,其中該預定百分比在百分之80至約百分之95的範圍,且其中該第一迴圈沉積-蝕刻方法在所述一個或多個間隙特徵的每一者內提供的該鉬金屬膜的厚度小於引起所述一個或多個間隙特徵的開口閉合的厚度;以及在該執行步驟和該施行步驟之後,通過執行具有至少一個單元迴圈的第二迴圈沉積方法用該鉬金屬膜完全地填充所述一個或多個經部分填充的間隙特徵,其中,在完全地填充所述間隙特徵之後,在所述一個或多個間隙特徵之每一者內形成該鉬金屬膜而不形成接縫。
  2. 如請求項1所述的方法,其還包括將該基材加熱到300℃至700℃的基材溫度。
  3. 如請求項1所述的方法,其還包括將該反應室內的壓力調節到大於20托。
  4. 如請求項1所述的方法,其中該第一迴圈沉積-蝕刻方法的每個單 元迴圈中該鉬前體的流動包括:使該基材與包含鹵化鉬前體的第一氣相反應物接觸。
  5. 如請求項4所述的方法,其中該鹵化鉬前體包含硫屬化物鹵化鉬。
  6. 如請求項5所述的方法,其中該硫屬化物鹵化鉬包含選自以下之群的氧鹵化鉬:氧氯化鉬、氧碘化鉬或氧溴化鉬。
  7. 如請求項6所述的方法,其中該氧氯化鉬包含二氯二氧化鉬(IV)(MoO2Cl2)。
  8. 如請求項4所述的方法,其中該第一迴圈沉積-蝕刻方法和該第二迴圈沉積方法皆包括原子層沉積方法。
  9. 如請求項4所述的方法,其中該第一迴圈沉積-蝕刻方法和該第二迴圈沉積方法皆包括迴圈化學氣相沉積方法。
  10. 如請求項1所述的方法,其中該鹵化鉬蝕刻劑包含五氯化鉬(MoCl5)。
  11. 如請求項1所述的方法,其中所述一個或多個間隙特徵包括具有大於2:1的縱橫比之基本上垂直的間隙特徵。
  12. 如請求項1所述的方法,其中所述一個或多個間隙特徵包括具有大於1:2的縱橫比之基本上水平的間隙特徵。
  13. 如請求項4所述的方法,其中該方法包括將該鉬金屬膜直接沉積在介電表面上。
  14. 如請求項4所述的方法,其中該方法包括將該鉬金屬膜直接沉積在金屬表面上。
  15. 一種半導體元件結構,其包括通過如請求項1所述的方法用鉬金屬膜填充的一個或多個間隙特徵。
TW107129474A 2017-08-30 2018-08-23 用於填充基材表面上的間隙特徵的方法和相關的半導體元件結構 TWI794276B (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US15/691,241 US11056344B2 (en) 2017-08-30 2017-08-30 Layer forming method
US15/691,241 2017-08-30
US201762607070P 2017-12-18 2017-12-18
US62/607,070 2017-12-18
US201862619579P 2018-01-19 2018-01-19
US62/619,579 2018-01-19
US16/105,761 2018-08-20
US16/105,761 US20190067014A1 (en) 2017-08-30 2018-08-20 Methods for filling a gap feature on a substrate surface and related semiconductor device structures

Publications (2)

Publication Number Publication Date
TW201920740A TW201920740A (zh) 2019-06-01
TWI794276B true TWI794276B (zh) 2023-03-01

Family

ID=65435512

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107129474A TWI794276B (zh) 2017-08-30 2018-08-23 用於填充基材表面上的間隙特徵的方法和相關的半導體元件結構

Country Status (4)

Country Link
US (1) US20190067014A1 (zh)
KR (1) KR20190024834A (zh)
CN (1) CN109750270A (zh)
TW (1) TWI794276B (zh)

Families Citing this family (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP2020047702A (ja) * 2018-09-18 2020-03-26 キオクシア株式会社 半導体装置およびその製造方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210110886A (ko) 2019-01-28 2021-09-09 램 리써치 코포레이션 금속 막들의 증착
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) * 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11869806B2 (en) * 2021-05-07 2024-01-09 Applied Materials, Inc. Methods of forming molybdenum contacts
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
TW202303708A (zh) * 2021-06-01 2023-01-16 美商應用材料股份有限公司 降低沉積速率的方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023205184A1 (en) * 2022-04-19 2023-10-26 Lam Research Corporation Molybdenum integration and void-free fill
US20230343643A1 (en) * 2022-04-25 2023-10-26 Applied Materials, Inc. Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill
US20240035149A1 (en) * 2022-07-29 2024-02-01 Applied Materials, Inc. Bottom up molybdenum gapfill
WO2024044373A1 (en) * 2022-08-26 2024-02-29 Applied Materials, Inc. High aspect ratio gap fill using cyclic deposition and etch

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120115329A1 (en) * 2009-08-04 2012-05-10 Anand Chandrashekar Depositing tungsten into high aspect ratio features
US20170062224A1 (en) * 2015-08-28 2017-03-02 Applied Materials, Inc. Methods of Depositing Metal Films Using Metal Oxyhalide Precursors

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7019402B2 (en) * 2003-10-17 2006-03-28 International Business Machines Corporation Silicon chip carrier with through-vias using laser assisted chemical vapor deposition of conductor
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
CN105470193A (zh) * 2014-09-09 2016-04-06 北京北方微电子基地设备工艺研究中心有限责任公司 金属钼材料的刻蚀方法
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
CN108389798B (zh) * 2018-01-24 2021-02-02 信利(惠州)智能显示有限公司 刻蚀方法、低温多晶硅薄膜晶体管及amoled面板

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120115329A1 (en) * 2009-08-04 2012-05-10 Anand Chandrashekar Depositing tungsten into high aspect ratio features
US20170062224A1 (en) * 2015-08-28 2017-03-02 Applied Materials, Inc. Methods of Depositing Metal Films Using Metal Oxyhalide Precursors

Also Published As

Publication number Publication date
CN109750270A (zh) 2019-05-14
KR20190024834A (ko) 2019-03-08
TW201920740A (zh) 2019-06-01
US20190067014A1 (en) 2019-02-28

Similar Documents

Publication Publication Date Title
TWI794276B (zh) 用於填充基材表面上的間隙特徵的方法和相關的半導體元件結構
TWI794275B (zh) 通過迴圈沉積過程在基材的介電表面上沉積鉬金屬膜的方法和相關的半導體元件結構
KR102553413B1 (ko) 기판의 유전체 표면 상에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조
US20210125832A1 (en) Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11286558B2 (en) Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US20220328318A1 (en) Deposition method
TW202344708A (zh) 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
JP2020029618A (ja) 周期的堆積プロセスによって基材の誘電体表面上にモリブデン金属膜を堆積させる方法および関連する半導体デバイス構造
CN112420488A (zh) 形成多晶钼膜的方法和包含多晶钼膜的相关结构
JP7422971B2 (ja) 基材および関連する半導体デバイス構造の誘電体表面上にモリブデン金属膜を堆積する方法
JP2020522877A (ja) ワードライン抵抗を低下させる方法
TW202108815A (zh) 用於藉由循環沉積製程將氮化鉬膜沉積於基板表面上之方法及包括氮化鉬膜之相關半導體裝置結構
JP2020029617A (ja) 基材表面および関連する半導体デバイス構造上のギャップ特徴を充填するための方法
US20230160057A1 (en) Metal-on-metal deposition methods for filling a gap feature on a substrate surface
US20230163028A1 (en) Metal-on-metal deposition methods for filling a gap feature on a substrate surface
US20230109501A1 (en) Tungsten gapfill using molybdenum co-flow
US20240136224A1 (en) Methods for filling a recessed feature on a substrate and related structures
WO2023215135A1 (en) Molybdenum halides in memory applications