TWI647749B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI647749B
TWI647749B TW105125126A TW105125126A TWI647749B TW I647749 B TWI647749 B TW I647749B TW 105125126 A TW105125126 A TW 105125126A TW 105125126 A TW105125126 A TW 105125126A TW I647749 B TWI647749 B TW I647749B
Authority
TW
Taiwan
Prior art keywords
layer
semiconductor
sacrificial
layers
channel
Prior art date
Application number
TW105125126A
Other languages
English (en)
Other versions
TW201732894A (zh
Inventor
家馨 馮
江國誠
英強 梁
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201732894A publication Critical patent/TW201732894A/zh
Application granted granted Critical
Publication of TWI647749B publication Critical patent/TWI647749B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

半導體裝置包含多個第一通道層設置於基底之上,第一源極/汲極區設置於基底之上,閘極介電層設置於每一個第一通道層之上,且包裹每一個第一通道層,閘極電極層設置於閘極介電層之上且包裹每一個第一通道層,以及襯墊半導體層設置於第一通道層與第一源極/汲極區之間。

Description

半導體裝置及其製造方法
本揭露係有關於半導體積體電路,且特別是有關於具有環繞式閘極(gate-all-around)結構的半導體裝置及其製造方法。
隨著半導體工業演進至奈米技術製程節點以追求更高之裝置密度、更高之效能與更低之成本,來自於製造與設計問題的挑戰進而發展出了三維(three-dimensional)設計,如多閘極場效電晶體(field effect transistor,FET),其包含鰭型場效電晶體(FinFET)及環繞式閘極(gate-all-around,GAA)場效電晶體。在鰭型場效電晶體中,閘極電極係相鄰於通道區之三個側面,且具有閘極介電層插入於其間。因閘極結構環繞(包裹)於鰭部之三個側面上,電晶體基本上具有三個閘極控制通過鰭部或通道區的電流。遺憾的是,第四個側面通道的底部係遠離於閘極電極,致使不在閘極的緊密控制之下。反之,在環繞式閘極場效電晶體中,通道區之全部側面係被閘極電極環繞,其允許通道區中更充分的耗盡(depletion),且因為更陡的次臨界電流擺幅(sub-threshold current swing,SS)及更小的汲極引發能障降低(drain induced barrier lowering,DIBL)而產生較少的短 通道效應(short-channel effect)。
隨著電晶體尺寸持續縮小至次10~15奈米技術製程節點,需要更進一步改良環繞式閘極場效電晶體。
根據本揭露之一方面,在半導體裝置的製造方法中,於基底之上,沿第一方向形成第一半導體層夾設於第二半導體層之間。圖案化第一半導體層與第二半導體層形成鰭結構,使得鰭結構包含由第二半導體層形成的犧牲層及由第一半導體層形成的通道層。形成犧牲閘極結構於鰭結構之上,使得犧牲閘極結構覆蓋鰭結構之一部分,且保持露出鰭結構之剩餘部分。移除未被犧牲閘極結構覆蓋的鰭結構之剩餘部分。使犧牲層水平地凹入,使得犧牲層之邊緣位於犧牲閘極結構之側面之下。形成襯墊磊晶層,至少位於犧牲層之凹入的表面上。形成源極/汲極區。移除犧牲閘極結構。在移除犧牲閘極結構後,移除在鰭結構中的犧牲層,使得通道層露出。形成閘極介電層及閘極電極層,於露出的通道層周圍。
根據本揭露之另一方面,在半導體裝置的製造方法中,於基底之上,沿第一方向形成第一半導體層夾設於第二半導體層之間。圖案化第一半導體層與第二半導體層形成鰭結構,使得鰭結構包含由第二半導體層形成的犧牲層及由第一半導體層形成的通道層,形成犧牲閘極結構於鰭結構之上,使得犧牲閘極結構覆蓋鰭結構之一部分,且保持露出鰭結構之剩餘部分。移除未被犧牲閘極結構覆蓋的鰭結構之剩餘部分。形成襯墊磊晶層,至少位於犧牲層之凹入的表面上。形成源極/汲 極區。移除犧牲閘極結構。在移除犧牲閘極結構後,移除在鰭結構中的犧牲層,使得通道層露出。形成閘極介電層及閘極電極層,於露出的通道層周圍。
根據本揭露之另一方面,半導體裝置包含第一通道層設置於基底之上,第一源極/汲極區設置於基底之上,閘極介電層設置於每一個第一通道層之上,且包裹每一個第一通道層,閘極電極層設置於閘極介電層之上,且包裹每一個第一通道層,以及襯墊半導體層設置於第一通道層與第一源極/汲極區之間。
10‧‧‧基底
11‧‧‧井區部
12‧‧‧摻雜物
15‧‧‧遮罩層
15A‧‧‧第一遮罩層
15B‧‧‧第二遮罩層
20‧‧‧第一半導體層
25‧‧‧第二半導體層
30‧‧‧鰭結構
35‧‧‧第一襯墊層
40‧‧‧隔離絕緣層
41‧‧‧絕緣層
50、50'‧‧‧犧牲閘極結構
52‧‧‧犧牲閘極介電層
53‧‧‧毯覆層
54‧‧‧犧牲閘極電極層
55‧‧‧側壁間隔元件
56‧‧‧接墊氮化矽層
58‧‧‧氧化矽遮罩層
70‧‧‧襯墊磊晶層
80‧‧‧源極/汲極磊晶層
82‧‧‧孔洞
90‧‧‧第二襯墊層
95‧‧‧層間介電層
102、102B‧‧‧閘極介電層
102A‧‧‧界面層
104‧‧‧閘極電極層
106‧‧‧蓋絕緣層
110‧‧‧接觸孔
120‧‧‧矽化物層
130‧‧‧導電材料
A1‧‧‧區域
W1‧‧‧寬度
H1‧‧‧高度
X1-X1、Y1-Y1‧‧‧線段
D1、D2‧‧‧深度
T1、T2‧‧‧厚度
D3、T3‧‧‧差異
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示中的各種特徵部件並未必按照比例繪製,而僅用於說明之目的。事實上,可能任意的放大或縮小各種特徵部件的尺寸,以做清楚的說明。
第1-8、9A-9C、10A-10C、11A-11C、12-14、15A-15B、16-19、20A-20C圖顯示依據本揭露的一實施例之製造環繞式閘極場效電晶體裝置的例示性連續製程。
要瞭解的是本說明書以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵部件。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化本發明的說明。當然,這些特定的範例並非用以限定本發明。例如,元件之尺寸並未侷限於揭露的範圍或數值,而取決於裝置的製程條件及/或需求性質,此外,本說明 書以下的揭露內容敘述了將一第一特徵部件形成於一第二特徵部件之上或上方,即表示其包含了所形成的上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦包含了尚可將附加的特徵部件形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與上述第二特徵部件可能未直接接觸的實施例。另外,為了簡化與清晰的目的,各種特徵部件可任意地以不同比例繪示。
再者,為了方便描述圖式中一元件或特徵部件與另一(複數)元件或(複數)特徵部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語涵蓋使用或操作中的裝置的不同方位。所述裝置也可被另外定位(例如旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。此外,用語”由...製成”可表示”包括”或”由..組成”。
第1-20C圖顯示依據本揭露的一實施例之製造環繞式閘極場效電晶體(GAA FET)裝置的例示性連續製程。可理解的是,於第1-20C圖描述的各階段之前、之中及/或之後,可提供一些附加操作。以下描述的一些操作可依據附加的實施例方法被代替或消除,操作/製程的順序為可互換的。
如第1圖所示,雜質離子(impurity ion)(摻雜物(dopant))12係佈植於矽基底10中,以形成井區部。實施此離子佈植(ion implantation)以避免貫穿效應(punch-through effect)。
在一實施例中,基底10包含單結晶半導體層至少 於其表面部分上。基底10可包括單結晶半導體材料例如,但不限於,矽(Si)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、銻化銦(InSb)、磷化鎵(GaP)、銻化鎵(GaSb)、砷化銦鋁(InAlAs)、砷化鎵銦(InGaAs)、銻磷化鎵(GaSbP)、銻砷化鎵(GaAsSb)和磷化銦(InP)。在這個實施例中,基底10由矽(Si)製成。
基底10可包含一或多層的緩衝層(未繪示)在其表面區內。緩衝層可提供從基底到源極/汲極區逐漸改變之晶格常數(lattice constant)。緩衝層可由磊晶成長之單結晶半導體材料形成例如,但不限於,矽(Si)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、銻化銦(InSb)、磷化鎵(GaP)、銻化鎵(GaSb)、砷化銦鋁(InAlAs)、砷化鎵銦(InGaAs)、銻磷化鎵(GaSbP)、銻砷化鎵(GaAsSb)、氮化鎵(GaN)、磷化鎵(GaP)和磷化銦(InP)。在一特定的實施例中,基底10包括磊晶成長於矽基底10之上的矽鍺(SiGe)緩衝層。矽鍺緩衝層之鍺濃度可由最底部緩衝層之30原子百分比(atomic%)的鍺增加到最頂部緩衝層之70原子百分比的鍺。
基底10可包含已合適地摻雜雜質(例如p型或n型導電性)的各種區域,摻雜物12為例如n型鰭型場效電晶體的硼(BF2)及p型鰭型場效電晶體的磷。
在第2圖中,堆疊的半導體層形成於基底10之上,堆疊的半導體層包含第一半導體層20及第二半導體層25。再者,遮罩層15形成於堆疊的層之上。
第一半導體層20及第二半導體層25由具有不同晶格常數的材料製成,且可包含一或多層之矽(Si)、鍺(Ge)、矽 鍺(SiGe)、砷化鎵(GaAs)、銻化銦(InSb)、磷化鎵(GaP)、銻化鎵(GaSb)、砷化銦鋁(InAlAs)、砷化鎵銦(InGaAs)、銻磷化鎵(GaSbP)、銻砷化鎵(GaAsSb)或磷化銦(InP)。
在一些實施例中,第一半導體層20及第二半導體層25由矽、矽化合物、矽鍺(SiGe)、鍺或鍺化合物製成。在一實施例中,第一半導體層20為Si1-xGex,其中x為大於約0.3或Ge(x=1),且第二半導體層25為Si或Si1-yGey,其中y為小於約0.4且x大於y。在這個揭露中,”M”化合物”或”以M為主的化合物”表示化合物中大多數為M。
在其他實施例中,第二半導體層25為Si1-yGey,其中y為大於約0.3或Ge,且第一半導體層20為Si或Si1-xGex,其中x為小於約0.4且x小於y。在另一些其他實施例中,第一半導體層20由Si1-xGex製成,其中x是在從大約0.3到大約0.8的範圍,且第二半導體層25由Si1-xGex製成,其中x是在從大約0.1到大約0.4的範圍。
在第2圖中,設置五層的第一半導體層20和六層的第二半導體層25。然而,層數並不侷限於五層,可小至一層(每層),且於一些實施例中,每一個第一半導體層及第二半導體層形成二到十層。藉由調整堆疊的層之數量,可調整環繞式閘極場效電晶體(GAA FET)裝置之驅動電流(driving current)。
第一半導體層20及第二半導體層25係磊晶地(epitaxially)形成於基底10之上。第一半導體層20的厚度可相等或大於第二半導體層25的厚度,且在一些實施例中,第一半導體層20的厚度範圍從大約5奈米至大約50奈米之間,在其他一 些實施例中,第一半導體層20的厚度範圍從大約10奈米至大約30奈米之間。在一些實施例中,第二半導體層25的厚度範圍從大約5奈米至大約30奈米,在其他一些實施例中,第二半導體層25的厚度範圍從大約10奈米至大約20奈米。第一半導體層20之每一個的厚度可相同或可不同。
在一些實施例中,第一半導體層的底部(最靠近基底10的層)比其餘的第一半導體層更厚。在一些實施例中,第一半導體層的底部之厚度範圍從大約10奈米至大約50奈米。在其他一些實施例中,第一半導體層的底部之厚度範圍從大約20奈米至大約40奈米。
在一些實施例中,遮罩層15包含第一遮罩層15A及第二遮罩層15B。第一遮罩層15A係由氧化矽製成的接墊氧化物層(pad oxide layer),且可透過熱氧化(thermal oxidation)形成。第二遮罩層15B係由氮化矽(SiN)製成,且透過化學氣相沉積(chemical vapor deposition,CVD)包含低壓化學氣相沉積(low pressure CVD,LPCVD)及電漿增強化學氣相沉積(plasma enhanced CVD,PECVD)、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)或其他適合的製程形成。透過圖案化操作包含微影(photo-lithography)和蝕刻(etching)製程,將遮罩層15圖案化形成遮罩圖案。
接著,如第3圖所示,透過使用圖案化遮罩層,將第一半導體層20及第二半導體層25之堆疊的層圖案化,使堆疊的層形成沿X方向延伸的鰭結構30。在第3圖中,兩個鰭結構30 沿Y方向排列,但鰭結構之數量並不被侷限,可少至如一個或三個或更多。在一些實施例中,一或多個虛設鰭結構形成於鰭結構30的兩側,以在圖案化操作中提升圖案逼真度(fidelity)。
如第3圖所示,鰭結構30具有由堆疊的半導體層20及25和井區部11構成的上部分。
在一些實施例中,鰭結構的上部分沿Y方向的寬度W1範圍介於大約10奈米至大約40奈米。在其他一些實施例中,寬度W1範圍介於大約20奈米至大約30奈米。鰭結構沿Z方向的高度H1範圍介於大約100奈米至大約200奈米。
鰭結構形成之後,包含一或多層之絕緣材料的絕緣層41形成於基底之上,使得鰭結構完全地嵌入絕緣層41中。絕緣層41之絕緣材料可包含氧化矽、氮化矽、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)、氮碳化矽(SiCN)、摻氟矽玻璃(fluorinated silicate glass,FSG)或低介電常數(low-k)的介電材料,透過低壓化學氣相沉積(LPCVD)、電漿增強化學氣相沉積(plasma-CVD)或流動式化學氣相沉積(flowable CVD)形成。形成絕緣層41之後,可實施退火(anneal)操作,接著實施平坦化操作例如化學機械研磨(chemical mechanical polishing,CMP)製程及/或回蝕刻(etch-back)製程,使最上面的第二半導體層25之上表面從絕緣層41露出,如第4圖所示。
如第4圖所示,在一些實施例中,在形成絕緣層41之前,形成第一襯墊層35於第3圖所示之結構上。第一襯墊層35由氮化矽(SiN)或其他以氮化矽為主的材料(例如氮氧化矽(SiON)、氮碳化矽(SiCN)或氮碳氧化矽(SiOCN))製成。
接著,如第5圖所示,使絕緣材料層41凹入以形成隔離絕緣層40,使得鰭結構30之上部分露出。藉由這個操作,鰭結構30係藉由隔離絕緣層40亦被稱為淺溝槽絕緣(shallow trench isolation,STI)互相電性隔離。
在第5圖所示之實施例,使絕緣材料層41凹入至第一半導體層20之最底部露出。在一些其他實施例中,井區部11之上部分也部份地露出。第一半導體層20為後續被部分移除的犧牲層,而第二半導體層25後續形成了環繞式閘極場效電晶體之通道層。
如第6圖所示,在隔離絕緣層40形成之後,形成犧牲閘極介電層52。犧牲閘極介電層52包含一或多層之絕緣材料,例如以氧化矽為主的材料。在一實施例中,使用透過化學氣相沉積(CVD)形成的氧化矽。在一些實施例中,犧牲閘極介電層52的厚度範圍從大約1奈米至大約5奈米。
第7圖繪示在犧牲閘極結構50形成於露出的鰭結構30之上之後的結構。犧牲閘極結構包含犧牲閘極電極層54及犧牲閘極介電層52。犧牲閘極結構50形成於之後作為通道區的一部份鰭結構之上,犧牲閘極結構定義出環繞式閘極場效電晶體之通道區。
如第7圖所示,犧牲閘極結構50藉由先毯覆沉積犧牲閘極介電層52於鰭結構之上而形成。犧牲閘極電極層接著毯覆沉積於犧牲閘極介電層上與鰭結構之上,使得鰭結構完全地嵌入犧牲閘極電極層中。犧牲閘極電極層包含矽例如多晶(polycrystalline)矽或非晶(amorphous)矽。在一些實施例中,犧 牲閘極電極層的厚度範圍介於大約100奈米至大約200奈米。在一些實施例中,犧牲閘極電極層受到平坦化操作。犧牲閘極介電層和犧牲閘極電極層透過化學氣相沉積(CVD)包含低壓化學氣相沉積(LPCVD)和電漿增加化學氣相沉積(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或其他適合的製程沉積。接著,形成遮罩層於犧牲閘極電極層之上,遮罩層包含接墊氮化矽層56及氧化矽遮罩層58。
接著,如第7圖所示,實施圖案化操作於遮罩層上,且圖案化犧牲閘極電極層以形成犧牲閘極結構50。犧牲閘極結構包含犧牲閘極介電層52、犧牲閘極電極層54(例如多晶矽)、接墊氮化矽層56及氧化矽遮罩層58。藉由圖案化犧牲閘極結構,如第7圖所示,第一半導體層及第二半導體層之堆疊的層於犧牲閘極結構的相對側上部分地露出,藉此定義源極/汲極(S/D)區。在這個揭露中,源極和汲極為可交換使用且其結構大致上相同。於第7圖中,形成一個犧牲閘極結構,但犧牲閘極結構之數量並不侷限於一個。在一些實施例中,兩個或更多犧牲閘極結構沿X方向排列。在特定一些實施例中,一或多個虛設犧牲閘極結構形成於犧牲閘極結構的兩側,以提升圖案逼真度。
如第8圖所示,形成犧牲閘極結構之後,作為側壁間隔元件55的絕緣材料之毯覆層53透過化學氣相沉積(CVD)或其他適合的製程順應地形成。毯覆層53以順應的方式沉積,使其在犧牲閘極結構之垂直表面例如側壁、水平表面及頂部具有大致上相同厚度。在一些實施例中,毯覆層53沉積至大約2奈 米至大約10奈米的厚度範圍。在一實施例中,毯覆層53之絕緣材料係以氮化矽為主的材料,例如氮化矽(SiN)、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)或氮碳化矽(SiCN)及前述之組合。
再者,如第9A-9C圖所示,側壁間隔元件55形成於犧牲閘極結構的相對側壁上,且接續使源極/汲極(S/D)區之鰭結構向下凹入至隔離絕緣層40之上表面之下。第9B圖係對應第9A圖之區域A1及線段X1-X1的剖面圖,且第9C圖係對應第9A圖之線段Y1-Y1的剖面圖。於第9B圖中,繪示一個犧牲閘極結構50及相鄰的犧牲閘極結構50’之底部部分之剖面圖。
形成毯覆層53之後,使用例如反應離子刻蝕(reactive ion etching,RIE)於毯覆層53上實施異向性(anisotropic)蝕刻。在異向性蝕刻過程中,從水平表面移除大部分之絕緣材料,留下介電間隔元件層於垂直表面上,例如犧牲閘極結構之側壁及露出的鰭結構之側壁。遮罩層58可從側壁間隔元件露出。在一些實施例中,可接續實施等向性(isotropic)蝕刻,以從露出的鰭結構30之源極/汲極(S/D)區之上部分移除絕緣材料。
接著,藉由乾蝕刻(dry etching)及/或濕蝕刻(wet etching)將源極/汲極(S/D)區之鰭結構30向下凹入至隔離絕緣層40之上表面之下。如第9A及9C圖所示,形成於露出的鰭結構之源極/汲極(S/D)區的側壁間隔元件55部分留下。然而,在其他一些實施例中,形成於露出的鰭結構之源極/汲極(S/D)區的側壁間隔元件55全部被移除。在這個步驟,如第9B圖所示,於犧牲閘極結構之下的第一半導體層20及第二半導體層25之 堆疊的層之末端部分具有與間隔元件55齊平之大致上平坦的表面。在一些實施例中,水平地輕微蝕刻第一半導體層20及第二半導體層25之堆疊的層之末端部分。
接著,如第10A-10C圖所示,使第一半導體層20水平地凹入(蝕刻),使得第一半導體20的邊緣大致上位於犧牲閘極電極層54之側表面之下。如第10B圖所示,於犧牲閘極結構之下的第一半導體層20末端部分(邊緣)大致上齊平於犧牲閘極電極層54之側面。在這裡「大致上齊平」表示相對位置之間的差異小於大約1奈米。
如第10B圖所示,於第9A-9C圖描述之第一半導體層20的凹入蝕刻(recess etching)及/或第一半導體層及第二半導體層之凹入蝕刻的過程中,第二半導體層25之末端部分也被水平地蝕刻。第一半導體層20凹入的量大於第二半導體層25凹入的量。
在一些實施例中,從包含一個側壁間隔元件之平面,第一半導體層20凹入之深度D1範圍從大約5奈米至大約10奈米,從包含一個側壁間隔元件之平面,第二半導體層25凹入之深度D2範圍從大約1奈米至大約4奈米。在一些實施例中,深度D1與深度D2的差異D3範圍從大約1奈米至大約9奈米。
在特定一些實施例中,並沒有蝕刻(水平地凹入)第一及第二半導體層。在其他一些實施例中,第一半導體層及第二半導體層蝕刻的量大致上相同(差異小於大約0.5奈米)。
如第11A-11C圖所示,第一半導體層20水平地凹入之後,形成襯墊磊晶層70於第一半導體層20及第二半導體層25 凹入的表面上。襯墊磊晶層70也形成於源極/汲極(S/D)區的凹入的鰭結構11上。
在一些實施例中,襯墊磊晶層70為未摻雜的矽。在其他一些實施例中,襯墊磊晶層包含一或多層之矽、磷化矽(SiP)及碳磷化矽(SiCP)。在特定一些實施例中,襯墊磊晶層70由一或多層之矽鍺(SiGe)和鍺製成。在一些實施例中,在第一半導體層20凹入的表面上之襯墊磊晶層70的厚度範圍從大約5奈米至大約10奈米。在一些實施例中,在第二半導體層25凹入的表面上之襯墊磊晶層70的厚度範圍從大約1奈米至大約4奈米。在特定一些實施例中,在第二半導體層25凹入的表面上之襯墊磊晶層70的厚度為在第一半導體層20凹入的表面上之襯墊磊晶層70的厚度的大約20%至大約60%。襯墊磊晶層70係選擇性地長於半導體層上。
如第12圖所示,在形成襯墊磊晶層70之後,形成源極/汲極(S/D)磊晶層80。源極/汲極(S/D)磊晶層80包含一或多層之矽、磷化矽(SiP)、碳化矽(SiC)及碳磷化矽(SiCP)用於n通道場效電晶體,或者矽、矽鍺(SiGe)、鍺用於p通道場效電晶體。源極/汲極層80藉由磊晶成長方法使用化學氣相沉積(CVD)、原子層沉積(ALD)或分子束磊晶(molecular beam epitaxy,MBE)形成。
如第12圖所示,源極/汲極磊晶層從形成在兩個鰭結構之底部11之各自表面上的襯墊磊晶層70成長。在一些實施例中,成長的源極/汲極磊晶層合併於隔離絕緣層40上,且形成孔洞82。
接著,如第13圖所示,形成第二襯墊層90且接著形成層間介電(interlayer dielectric,ILD)層95。第二襯墊層90由以氮化矽為主的材料(如氮化矽)製成,且在接下來的蝕刻操作中作為接觸蝕刻停止層。
層間介電層95之材料包含的化合物包括矽、氧、碳及/或氫,例如氧化矽、氫氧碳化矽(SiCOH)及碳氧化矽(SiOC)。有機材料如聚合物可使用於層間介電層95。
形成層間介電層95之後,實施平坦化(planarization)操作例如化學機械研磨(CMP),使犧牲閘極電極層54之頂部部分露出。
接著,如第14圖所示,移除犧牲閘極電極層54與犧牲閘極介電層52,藉此露出鰭結構。
在移除犧牲閘極結構過程中,層間介電層95保護源極/汲極結構80。犧牲閘極結構可使用電漿(plasma)乾蝕刻及/或濕蝕刻移除。當犧牲閘極電極層54為多晶矽且層間介電層95為氧化矽時,可用濕蝕刻劑例如氫氧化四甲銨(TMAH)溶液,以選擇性地移除犧牲閘極電極層54。犧牲閘極介電層52則在之後使用電漿乾製程及/或濕製程移除。
如第15A及15B圖所示,在移除犧牲閘極結構之後,移除鰭結構中的第一半導體層20,藉此形成第二半導體層25之導線,第15B圖係沿鰭結構的剖面圖。
使用可選擇性蝕刻第一半導體層20而不蝕刻第二半導體層25的蝕刻劑移除或蝕刻第一半導體層20。
當第一半導體層20為鍺或矽鍺(SiGe),且第二半導 體層25為矽,第一半導體層20可選擇性地藉由濕蝕刻劑例如但不限於氨水(ammonium hydroxide,NH4OH)、氫氧化四甲銨(tetramethylammonium hydroxide,TMAH)、乙二胺鄰苯二酚(ethylenediamine pyrocatechol,EDP)或氫氧化鉀(potassium hydroxide,KOH)溶液移除。
當第一半導體層20為矽,且第二半導體層25為鍺或矽鍺(SiGe),第一半導體層20可選擇性地藉由濕蝕刻劑例如但不限於氨水(ammonium hydroxide,NH4OH)、氫氧化四甲銨(tetramethylammonium hydroxide,TMAH)、乙二胺鄰苯二酚(ethylenediamine pyrocatechol,EDP)或氫氧化鉀(potassium hydroxide,KOH)溶液移除。
在這個揭露中,由於襯墊磊晶層70(例如矽)已形成,第一半導體層20(例如矽鍺(SiGe))之蝕刻停止在襯墊磊晶層70。當第一半導體層20由矽製成,襯墊磊晶層70可為矽鍺(SiGe)或鍺。由於第一半導體層20之蝕刻停止在襯墊磊晶層70,可避免閘極電極與源極/汲極(S/D)磊晶層接觸或橋接(bridging)。
形成第二半導體層25之導線之後,閘極介電層102形成於每個通道層(第二半導體層25之導線)的周圍,且閘極電極層104形成於閘極介電層102之上,如第16圖所示。
在特定一些實施例中,閘極介電層102包含一或多層之介電材料例如氧化矽、氮化矽或高介電常數(high-k)的介電材料、其他適合的介電材料及/或前述之組合,高介電常數的介電材料的範例包含二氧化鉿(HfO2)、矽氧化鉿(HfSiO)、碳 氧矽化鉿(HfSiON)、氧鉭化鉿(HfTaO)、氧鈦化鉿(HfTiO)、氧鋯化鉿(HfZrO)、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他適合的高介電常數的介電材料及/或前述之組合。在一些實施例中,閘極介電層102包含形成於通道層與介電材料之間的界面層(interfacial layer)。
閘極介電層102可透過化學氣相沉積(CVD)、原子層沉積(ALD)或其他適合的製程形成。在一實施例中,閘極介電層102使用高順應性(conformal)的沉積製程例如原子層沉積(ALD)形成,以確保形成的閘極介電層於每個通道層周圍具有一致的厚度。在一實施例中,閘極介電層102的厚度範圍從大約1奈米至大約6奈米。
閘極電極層104形成於閘極介電層102之上,以圍繞每個通道層。閘極電極層104包含一或多層之導電材料,例如多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、氮化鈦(TiN)、氮化鎢(WN)、鈦鋁(TiAl)、氮化鋁鈦(TiAlN)、碳氮化鉭(TaCN)、碳化鉭(TaC)、氮矽化鉭(TaSiN)、金屬合金、其他適合的材料及/或前述之組合。
閘極電極層104可透過化學氣相沉積(CVD)、原子層沉積(ALD)、電鍍(electro-plating)或其他適合的製程形成。閘極電極層也沉積於層間介電層95之上表面之上。接著使用例如化學機械研磨(CMP)將形成於層間介電層95之上的閘極介電層與閘極電極層平坦化,直到層間介電層95之頂部表面露出。
如第16圖所示,平坦化操作之後,使閘極電極層104凹入,且形成蓋絕緣層106於凹入的閘極電極104之上。蓋 絕緣層106包含一或多層之以氮化矽為主的材料例如氮化矽。蓋絕緣層106可透過於平坦化操作之後沉積絕緣材料形成。
在本揭露的特定一些實施例中,一或多個功函數調整層(未繪示)插入於閘極介電層102與閘極電極層104之間。功函數調整層由導電材料製成,例如單層之氮化鈦(TiN)、氮化鉭(TaN)、碳化鉭鋁(TaAlC)、碳化鈦(TiC)、碳化鉭(TaC)、鈷(Co)、鋁(Al)、鈦鋁(TiAl)、鉿鈦(HfTi)、矽化鈦(TiSi)、矽化鉭(TaSi)或碳化鈦鋁(TiAlC),或多層之兩種或更多的上述材料。在n通道場效型電晶體中,使用氮化鉭(TaN)、碳化鉭鋁(TaAlC)、氮化鈦(TiN)、碳化鈦(TiC)、鈷(Co)、鈦鋁(TiAl)、鉿鈦(HfTi)、矽化鈦(TiSi)和矽化鉭(TaSi)中的一或多個作為功函數調整層,在p通道場效型電晶體中,使用碳化鈦鋁(TiAlC)、鋁(Al)、鈦鋁(TiAl)、氮化鉭(TaN)、碳化鉭鋁(TaAlC)、氮化鈦(TiN)、碳化鈦(TiC)和鈷(Co)中的一或多個作為功函數調整層。功函數調整層可透過原子層沉積(ALD)、物理氣相沉積(PVD)、化學氣相沉積(CVD)、電子束蒸鍍法(e-beam evaporation)或其他適合的製程形成。再者,功函數調整層可於使用不同的金屬層的n通道場效型電晶體及p通道場效型電晶體中分開地形成。
接著,如第17圖所示,使用乾蝕刻形成接觸孔110於層間介電層95中。在一些實施例中,蝕刻源極/汲極磊晶層80之上部分。
如第18圖所示,矽化物層120形成於源極/汲極磊晶層80之上。矽化物層120包含一或多個之矽鎢(WSi)、矽鈷 (CoSi)、矽鎳(NiSi)、矽鈦(TiSi)、矽鉬(MoSi)及矽鉭(TaSi)。接著,如第19圖所示,導電材料130形成於接觸孔中。導電材料130包含一或多個之鈷(Co)、鎳(Ni)、鎢(W)、鈦(Ti)、鉭(Ta)、銅(Cu)、鋁(Al)、氮化鈦(TiN)及氮化鉭(TaN)。
第20A-20C圖顯示第19圖繪示之結構的剖面圖。第20A圖顯示沿Y方向切割閘極的剖面圖,第20B圖顯示沿X方向切割閘極的剖面圖,且第20C圖顯示沿Y方向切割源極/汲極區的剖面圖。
如第20A圖所示,由第二半導體層25製成的導線堆疊於Z方向。可理解的是,當移除第一半導體層20時,也可蝕刻第二半導體層25,因此第二半導體層25的角落為圓的。界面層102A包裹每個導線的周圍,且閘極介電層102B覆蓋界面層102A。雖然在第20A圖中包裹一個導線周圍的閘極介電層102B接觸相鄰導線的閘極介電層102B,但結構並不侷限於第20A圖。在其他一些實施例中,閘極電極104也包裹被界面層102A與閘極介電層102B覆蓋的每個導線周圍。
如第20B圖所示,襯墊磊晶層70形成於源極/汲極磊晶層80與導線(第二半導體層25)之間。在一些實施例中,位於導線之間部分之襯墊磊晶層70之厚度T1範圍從大約5奈米至大約10奈米,位於導線末端的襯墊磊晶層70凹入之厚度T2範圍從大約1奈米至大約4奈米。在一些實施例中,厚度T1與厚度T2的差異T3範圍從大約1奈米至大約9奈米。在特定一些實施例中,厚度T2是厚度T1的大約20%至大約60%,且在其他一些實施例中為大約小於40%。
可理解的是,環繞式閘極(GAA)場效電晶體經歷更多CMOS製程,以形成不同特徵部件,如接觸/導孔(contact/via)、內連線金屬層(interconnect metal layer)、介電層、鈍化層(passivation layer)等等。
前述各種實施例或範例提供超越現有技術各種優點,例如於本揭露中,由於第一半導體層20之蝕刻停止在襯墊磊晶層70,可避免閘極電極與源極/汲極(S/D)磊晶層接觸或橋接。此外,可調整源極/汲極(S/D)磊晶層與通道層之間的接近程度(proximity)。
可以理解的是,並不是所有的優點都已於此描述中討論,不需要有特定的優點對應所有的實施例或範例,且其他一些實施例或範例可提供不同的優點。
根據本揭露之一方面,在半導體裝置的製造方法中,於基底之上,沿第一方向形成第一半導體層夾設於第二半導體層之間。圖案化第一半導體層與第二半導體層形成鰭結構,使得鰭結構包含由第二半導體層形成的犧牲層及由第一半導體層形成的通道層。形成犧牲閘極結構於鰭結構之上,使得犧牲閘極結構覆蓋鰭結構之一部分,且保持露出鰭結構之剩餘部分。移除未被犧牲閘極結構覆蓋的鰭結構之剩餘部分。使犧牲層水平地凹入,使得犧牲層之邊緣位於犧牲閘極結構之側面之下。形成襯墊磊晶層,至少位於犧牲層之凹入的表面上。形成源極/汲極區。移除犧牲閘極結構。在移除犧牲閘極結構後,移除在鰭結構中的犧牲層,使得通道層露出。形成閘極介電層及閘極電極層,於露出的通道層周圍。
根據本揭露之另一方面,在半導體裝置的製造方法中,於基底之上,沿第一方向形成第一半導體層夾設於第二半導體層之間。圖案化第一半導體層與第二半導體層形成鰭結構,使得鰭結構包含由第二半導體層形成的犧牲層及由第一半導體層形成的通道層,形成犧牲閘極結構於鰭結構之上,使得犧牲閘極結構覆蓋鰭結構之一部分,且保持露出鰭結構之剩餘部分。移除未被犧牲閘極結構覆蓋的鰭結構之剩餘部分。形成襯墊磊晶層,至少位於犧牲層之凹入的表面上。形成源極/汲極區。移除犧牲閘極結構。在移除犧牲閘極結構後,移除在鰭結構中的犧牲層,使得通道層露出。形成閘極介電層及閘極電極層,於露出的通道層周圍。
根據本揭露之另一方面,半導體裝置包含第一通道層設置於基底之上,第一源極/汲極區設置於基底之上,閘極介電層設置於每一個第一通道層之上,且包裹每一個第一通道層,閘極電極層設置於閘極介電層之上,且包裹每一個第一通道層,以及襯墊半導體層設置於第一通道層與第一源極/汲極區之間。
以上概略說明了本揭露數個實施例的特徵部件,使所屬技術領域中具有通常知識者對於本揭露的概念可更為容易理解。所屬技術領域中具有通常知識者應瞭解到本說明書可作為其他結構或製程的變更或設計基礎,以實現相同於本揭露實施例的目的及/或獲得相同的優點。所屬技術領域中具有通常知識者也可理解與上述等同的結構或製程並未脫離本揭露之精神及保護範圍內,且可在不脫離本揭露之精神及範圍 內,當可作更動、替代與潤飾。

Claims (16)

  1. 一種半導體裝置的製造方法,包括:於一基底之上,沿一第一方向形成一第一半導體層夾設於複數個第二半導體層之間;圖案化該第一半導體層與該些第二半導體層形成一鰭結構,使得該鰭結構包含由該些第二半導體層形成的複數個犧牲層及由該第一半導體層形成的一通道層;形成一犧牲閘極結構於該鰭結構之上,使得該犧牲閘極結構覆蓋該鰭結構之一部分,且保持露出該鰭結構之複數個剩餘部分;移除未被該犧牲閘極結構覆蓋的該鰭結構之該些剩餘部分;使該些犧牲層水平地凹入,使得該些犧牲層之複數個邊緣位於該犧牲閘極結構之一側面之下;形成一襯墊磊晶層,至少位於該些犧牲層之該凹入的表面上;形成一源極/汲極區;移除該犧牲閘極結構;在移除該犧牲閘極結構後,移除在該鰭結構中的該犧牲層,使得該通道層露出;以及形成一閘極介電層及一閘極電極層,於露出的該通道層周圍。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中藉由濕蝕刻分別移除在該鰭結構中的該犧牲層及在該源極/汲極區中的該第一半導體層。
  3. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中:複數個該第一半導體層及該些第二半導體層係交互地形成於該基底之上;以及在該鰭結構中,該些犧牲層及複數個該通道層係交互地堆疊。
  4. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該通道層由矽或以矽為主的化合物製成,且其中該第二半導體層由矽鍺(SiGe)製成。
  5. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中:在藉由圖案化該第一半導體層及該第二半導體層形成該鰭結構的該步驟中,形成複數個鰭結構沿平行於該基底之一表面的一水平方向排列;以及在形成該犧牲閘極結構的該步驟中,該犧牲閘極結構覆蓋複數個該鰭結構的每一個之一部份。
  6. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中於使該些犧牲層凹入的該步驟中,亦使該通道層水平地凹入。
  7. 如申請專利範圍第6項所述之半導體裝置的製造方法,其中該犧牲層之一凹入的量大於該通道層之一凹入的量。
  8. 如申請專利範圍第6項所述之半導體裝置的製造方法,其中該襯墊磊晶層亦形成於該通道層之該凹入的表面上。
  9. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中在該通道層之該凹入的表面上之該襯墊磊晶層的厚度為在該犧牲層之該凹入的表面上之該襯墊磊晶層的厚度的20%至60%。
  10. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中該源極/汲極區與該襯墊磊晶層接觸。
  11. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該襯墊磊晶層包含矽(Si)、磷化矽(SiP)和碳磷化矽(SiCP)的至少一者。
  12. 一種半導體裝置的製造方法,包括:於一基底之上,沿一第一方向形成一第一半導體層夾設於複數個第二半導體層之間;圖案化該第一半導體層與該些第二半導體層形成一鰭結構,使得該鰭結構包含由該些第二半導體層形成的複數個犧牲層及由該第一半導體層形成的一通道層;形成一犧牲閘極結構於該鰭結構之上,使得該犧牲閘極結構覆蓋該鰭結構之一部分,且保持露出該鰭結構之複數個剩餘部分;移除未被該犧牲閘極結構覆蓋的該鰭結構之該些剩餘部分;形成一襯墊磊晶層,至少位於該些犧牲層之一凹入的表面上;形成一源極/汲極區;移除該犧牲閘極結構;在移除該犧牲閘極結構後,移除在該鰭結構中的該犧牲層,使得該通道層露出;以及形成一閘極介電層及一閘極電極層,於露出的該通道層周圍。
  13. 一種半導體裝置,包括:複數個第一通道層,設置於一基底之上;一第一源極/汲極區,設置於該基底之上;一閘極介電層,設置於每一個該些第一通道層之上,且包裹每一個該些第一通道層;一閘極電極層,設置於該閘極介電層之上,且包裹每一個該些第一通道層;以及一襯墊半導體層,設置於該些第一通道層與該第一源極/汲極區之間,其中該襯墊半導體層與該些第一通道層的末端垂直表面接觸。
  14. 如申請專利範圍第13項所述之半導體裝置,其中該些第一通道層係由矽或以矽為主的化合物製成,且其中該襯墊半導體層係由矽或以矽為主的化合物製成。
  15. 如申請專利範圍第13項所述之半導體裝置,其中該襯墊半導體層係設置於該閘極介電層與該閘極電極層與該第一源極/汲極區之間。
  16. 如申請專利範圍第13項所述之半導體裝置,其中該襯墊半導體層將該些第一通道層連接。
TW105125126A 2015-11-30 2016-08-08 半導體裝置及其製造方法 TWI647749B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562261264P 2015-11-30 2015-11-30
US62/261,264 2015-11-30
US15/064,402 US10164012B2 (en) 2015-11-30 2016-03-08 Semiconductor device and manufacturing method thereof
US15/064,402 2016-03-08

Publications (2)

Publication Number Publication Date
TW201732894A TW201732894A (zh) 2017-09-16
TWI647749B true TWI647749B (zh) 2019-01-11

Family

ID=58777146

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105125126A TWI647749B (zh) 2015-11-30 2016-08-08 半導體裝置及其製造方法

Country Status (4)

Country Link
US (3) US10164012B2 (zh)
KR (1) KR101926231B1 (zh)
CN (1) CN106816381B (zh)
TW (1) TWI647749B (zh)

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9960273B2 (en) * 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US10164012B2 (en) * 2015-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9812363B1 (en) * 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
EP3340308B1 (en) * 2016-12-22 2022-09-07 IMEC vzw Method for forming transistors on a substrate
US10290738B2 (en) * 2017-04-10 2019-05-14 Globalfoundries Inc. Methods of forming epi semiconductor material on a recessed fin in the source/drain regions of a FinFET device
US10121868B1 (en) 2017-05-03 2018-11-06 Globalfoundries Inc. Methods of forming epi semiconductor material on a thinned fin in the source/drain regions of a FinFET device
US10211307B2 (en) * 2017-07-18 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement
KR102388463B1 (ko) * 2017-08-21 2022-04-20 삼성전자주식회사 채널 패턴을 포함하는 반도체 소자 및 그 제조 방법
US10504797B2 (en) * 2017-08-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device and resulting device
US10699956B2 (en) 2017-08-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10403550B2 (en) 2017-08-30 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10629679B2 (en) 2017-08-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
DE102017126225A1 (de) * 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen einer halbleitervorrichtung und eine halbleitervorrichtung
KR102283024B1 (ko) * 2017-09-01 2021-07-27 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR102353251B1 (ko) 2017-09-28 2022-01-19 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10790380B2 (en) * 2017-10-20 2020-09-29 Mediatek Inc. Semiconductor chip and manufacturing method thereof
KR102399071B1 (ko) 2017-11-17 2022-05-17 삼성전자주식회사 반도체 장치
US10468243B2 (en) 2017-11-22 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device and method of cleaning substrate
KR102471539B1 (ko) * 2017-12-27 2022-11-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
WO2019132904A1 (en) * 2017-12-28 2019-07-04 Intel Corporation Source electrode and drain electrode protection for nanowire transistors
US10276719B1 (en) * 2018-04-30 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR102515393B1 (ko) 2018-06-29 2023-03-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10910471B2 (en) * 2018-07-11 2021-02-02 Globalfoundries Inc. Device with large EPI in FinFETs and method of manufacturing
CN110767549B (zh) * 2018-07-26 2023-05-16 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
DE102019115523B4 (de) * 2018-07-31 2022-05-25 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zur herstellung einer halbleitervorrichtung
US11038043B2 (en) * 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10756175B2 (en) 2018-09-18 2020-08-25 International Business Machines Corporation Inner spacer formation and contact resistance reduction in nanosheet transistors
KR102088706B1 (ko) * 2018-09-21 2020-03-16 포항공과대학교 산학협력단 금속 소스/드레인 기반 전계효과 트랜지스터 및 이의 제조방법
US10680075B2 (en) * 2018-09-28 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain epitaxial layer having facets and manufacturing method thereof
US11024545B2 (en) * 2018-10-31 2021-06-01 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method of manufacture
US11264506B2 (en) 2018-10-31 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11335604B2 (en) * 2018-10-31 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
CN109545682B (zh) * 2018-11-14 2021-12-28 复旦大学 基于硅衬底的硅锗合金微盘的制备方法
US11031291B2 (en) 2018-11-28 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
US11094800B2 (en) 2019-03-20 2021-08-17 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
US11088255B2 (en) * 2019-05-17 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices
US11183574B2 (en) 2019-05-24 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Work function layers for transistor gate electrodes
US11728344B2 (en) * 2019-06-28 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid SRAM design with nano-structures
CN112309862B (zh) * 2019-07-31 2024-05-17 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11189728B2 (en) * 2019-09-05 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11430891B2 (en) * 2019-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around structure with additional silicon layer and method for forming the same
KR20210040233A (ko) 2019-10-02 2021-04-13 삼성전자주식회사 반도체 소자
US11296227B2 (en) * 2019-10-16 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11756997B2 (en) * 2019-10-31 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
KR102515293B1 (ko) * 2019-12-30 2023-03-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 제조 방법 및 반도체 디바이스
KR20210091478A (ko) 2020-01-14 2021-07-22 삼성전자주식회사 반도체 장치
DE102020119609A1 (de) 2020-01-31 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Neue gatestrukturen zur einstellung der grenzspannung
DE102020116347A1 (de) 2020-02-19 2021-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Optimierung des transistor-gate-profils
US11404417B2 (en) * 2020-02-26 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low leakage device
US11715781B2 (en) * 2020-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with improved capacitors
DE102020126060A1 (de) 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrschichtige high-k-gatedielektrikumstruktur
US11532711B2 (en) * 2020-04-16 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. PMOSFET source drain
US11557659B2 (en) 2020-04-29 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around transistor device and fabrication methods thereof
US11600528B2 (en) * 2020-05-28 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11908919B2 (en) 2020-05-28 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd Multi-gate devices with multi-layer inner spacers and fabrication methods thereof
DE102021106285A1 (de) 2020-06-05 2021-12-09 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-struktur und verfahren
US11515165B2 (en) * 2020-06-11 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11699736B2 (en) 2020-06-25 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
KR20220004899A (ko) 2020-07-03 2022-01-12 삼성전자주식회사 반도체 장치 및 그 제조방법
US11729967B2 (en) 2020-07-08 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitor, memory device, and method
US11430893B2 (en) * 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
CN111952189A (zh) * 2020-08-21 2020-11-17 中国科学院上海微系统与信息技术研究所 基于空腔包围结构的环形栅场效应晶体管及制备方法
US11515162B2 (en) * 2020-08-31 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11764277B2 (en) * 2021-06-04 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US20230178653A1 (en) * 2021-12-04 2023-06-08 International Business Machines Corporation Gate all around semiconductor device with strained channels

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110031473A1 (en) * 2009-08-06 2011-02-10 International Business Machines Corporation Nanomesh SRAM Cell
US20120138886A1 (en) * 2010-12-01 2012-06-07 Kuhn Kelin J Silicon and silicon germanium nanowire structures
US20130161756A1 (en) * 2011-12-23 2013-06-27 Glenn A. Glass Nanowire transistor devices and forming techniques
US20140197377A1 (en) * 2011-12-23 2014-07-17 Seiyon Kim Cmos nanowire structure
US20140312432A1 (en) * 2012-08-24 2014-10-23 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with substrate isolation

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007242737A (ja) * 2006-03-06 2007-09-20 Toshiba Corp 半導体装置
WO2013095384A1 (en) * 2011-12-20 2013-06-27 Intel Corporation Semiconductor device with isolated body portion
US9818872B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10164012B2 (en) * 2015-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110031473A1 (en) * 2009-08-06 2011-02-10 International Business Machines Corporation Nanomesh SRAM Cell
US20120138886A1 (en) * 2010-12-01 2012-06-07 Kuhn Kelin J Silicon and silicon germanium nanowire structures
US20130161756A1 (en) * 2011-12-23 2013-06-27 Glenn A. Glass Nanowire transistor devices and forming techniques
US20140197377A1 (en) * 2011-12-23 2014-07-17 Seiyon Kim Cmos nanowire structure
US20140312432A1 (en) * 2012-08-24 2014-10-23 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with substrate isolation

Also Published As

Publication number Publication date
TW201732894A (zh) 2017-09-16
KR101926231B1 (ko) 2018-12-06
US20210265464A1 (en) 2021-08-26
US20190148490A1 (en) 2019-05-16
KR20170063353A (ko) 2017-06-08
US10164012B2 (en) 2018-12-25
CN106816381A (zh) 2017-06-09
US11004934B2 (en) 2021-05-11
CN106816381B (zh) 2020-03-31
US20170154958A1 (en) 2017-06-01

Similar Documents

Publication Publication Date Title
TWI647749B (zh) 半導體裝置及其製造方法
TWI726365B (zh) 半導體元件及其製造方法
TWI661490B (zh) 半導體裝置及其製造方法
TWI689103B (zh) 製造半導體裝置之方法及半導體裝置
KR102354010B1 (ko) 반도체 디바이스 및 그 제조 방법
US10867866B2 (en) Semiconductor device and manufacturing method thereof
TWI772699B (zh) 半導體元件的製造方法及其元件
KR102354012B1 (ko) 반도체 디바이스의 제조 방법 및 반도체 디바이스
US11282748B2 (en) Semiconductor device and method of forming the same
CN114664927A (zh) 制造半导体器件的方法和半导体器件
TWI746351B (zh) 半導體裝置與其製造方法
TW202027170A (zh) 半導體元件及其製造方法
TWI813995B (zh) 半導體裝置及其製造方法
TWI770748B (zh) 半導體裝置及其製造方法
TWI748210B (zh) 製造半導體裝置的方法與半導體裝置
KR102501422B1 (ko) 반도체 디바이스 및 그 제조 방법
TW202127511A (zh) 半導體裝置的形成方法
KR20230065123A (ko) 반도체 디바이스의 제조 방법 및 반도체 디바이스
TW202412113A (zh) 半導體裝置及其製造方法
CN114975272A (zh) 半导体元件及其制造方法