TWI576912B - 減少蝕刻腔室內污染的方法 - Google Patents

減少蝕刻腔室內污染的方法 Download PDF

Info

Publication number
TWI576912B
TWI576912B TW102104021A TW102104021A TWI576912B TW I576912 B TWI576912 B TW I576912B TW 102104021 A TW102104021 A TW 102104021A TW 102104021 A TW102104021 A TW 102104021A TW I576912 B TWI576912 B TW I576912B
Authority
TW
Taiwan
Prior art keywords
metal
chamber
residue
state
etching
Prior art date
Application number
TW102104021A
Other languages
English (en)
Other versions
TW201344785A (zh
Inventor
喬伊迪普 古哈
聖基特 森特
陣內物霖
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201344785A publication Critical patent/TW201344785A/zh
Application granted granted Critical
Publication of TWI576912B publication Critical patent/TWI576912B/zh

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Hall/Mr Elements (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

減少蝕刻腔室內污染的方法
本發明關於一種在半導體晶圓上形成半導體裝置的方法。更具體來說,本發明關於維護用於蝕刻含金屬層以製造磁性隨機存取記憶體(MRAM,Magnetic Random Access Memory)之蝕刻腔室。
形成半導體裝置時,蝕刻含金屬層。此蝕刻提供蝕刻腔室內的含金屬之污染物。
為達成前述內容及符合本發明之目的,提供一種減少蝕刻腔室內之污染的方法。將具有含金屬層之基板置於蝕刻腔室內。蝕刻含金屬層,產生非揮發性金屬殘餘物之沉積物於蝕刻腔室的表面,其中金屬殘餘物之沉積物的部份金屬殘餘物係處於第一狀態。將基板自蝕刻腔室內移除。腔室之調節係藉由將第一狀態之金屬殘餘物轉換為第二狀態之金屬殘餘物,其中第二狀態之金屬殘餘物比第一狀態之金屬殘餘物具有較強的蝕刻腔室表面附著力。
在本發明之另一表現形式中,提供一種減少在具有功率窗及與其相鄰之法拉第屏蔽的蝕刻腔室內之污染的方法。將具有含金屬層之基板置於蝕刻腔室內。蝕刻金屬層,產生非揮發性金屬殘餘物之沉積物於包含法拉第屏蔽之蝕刻腔室的表面,其中金屬殘餘物包含金屬氫化物或金屬氮化物,其中蝕刻包含提供含金屬層內金屬之化學反應,及濺鍍含金屬層內之已受化學反應的金屬。將基板自蝕刻腔室內移除。調節腔室係藉由將 包含金屬氫化物或金屬氮化物之金屬殘餘物轉換為自然狀態之金屬,其中自然狀態之金屬比金屬氫化物或金屬氮化物具有較強的蝕刻腔室表面附著力,包含提供氧化反應以將金屬氮化物或金屬氫化物轉換為金屬氧化物,以及提供還原反應以將金屬氧化物轉換為自然狀態金屬。
本發明之這些及其它特徵將於以下的本發明之詳細說明並結合下列圖式予以詳細描述。
104‧‧‧步驟
108‧‧‧步驟
112‧‧‧步驟
116‧‧‧步驟
120‧‧‧步驟
200‧‧‧堆疊
204‧‧‧基板
208‧‧‧CoFe蝕刻層
212‧‧‧遮罩
216‧‧‧層
220‧‧‧層
300‧‧‧電漿處理系統
302‧‧‧電漿反應器
304‧‧‧電漿處理腔室
306‧‧‧電漿電源供應器
308‧‧‧匹配網路
310‧‧‧變壓器耦合電漿線圈
312‧‧‧功率窗
314‧‧‧電漿
316‧‧‧晶圓偏壓電源供應器
318‧‧‧匹配網路
320‧‧‧電極
324‧‧‧控制器
330‧‧‧氣體源/氣體供應機構
332‧‧‧第一成份氣體源
334‧‧‧第二成份氣體源
336‧‧‧選擇性額外成份氣體源
340‧‧‧氣體入口
342‧‧‧壓力控制閥
344‧‧‧幫浦
350‧‧‧腔壁
352‧‧‧脈波控制器
360‧‧‧法拉第屏蔽
400‧‧‧電腦系統
402‧‧‧處理器
404‧‧‧電子顯示裝置
406‧‧‧主記憶體
408‧‧‧儲存裝置
410‧‧‧可卸除式儲存裝置
412‧‧‧使用者介面裝置
414‧‧‧通信介面
416‧‧‧通信基礎架構
504‧‧‧步驟
508‧‧‧步驟
本發明係藉由例示而非限制之方式顯示於隨附圖式中之圖形,且其中相似的參考數字表示相似的元素,且其中:圖1為本發明的實施例之流程圖。
圖2為用於形成MRAM之堆疊的橫剖面示意圖。
圖3為可用於本發明之實施例之電漿處理腔室的示意圖。
圖4為可用於實施本發明之電腦系統的示意圖。
圖5為調節腔室的步驟之更詳細流程圖。
本發明現將參照如隨附圖示中呈現之其若干較佳實施方式加以詳述。在以下敍述中,提出許多具體細節以提供對本發明之深入了解。然而對熟悉本技藝者將顯而易見,本發明可在缺少這些具體細節的部份或所有者的情況下實施。在其它情況下,已為人所熟知之程序步驟及/或結構將不再詳述,以不非必要地妨礙本發明。
磁性隨機存取記憶體(MRAM,Magnetic Random Access Memory)近幾年來已然崛起成為通用的記憶體,且堪稱取代了DRAM、Flash、及SRAM記憶體。MRAM堆疊由例如Co/Fe/Pd/Pt/Ru/Ta/Ti/Ni/Mg/Mn等材料及其合金所組成。蝕刻這些材料的障礙是當電漿物種與這些金屬表面反應時所形成的蝕刻副產物之非揮發性。非揮發性蝕刻副產物意指這些蝕刻副產物物種的蒸汽壓很低,以致於他們無法在操作晶圓溫度(10-250℃)及壓力(1-50mTorr)下進入氣相。
由於蝕刻副產物之非揮發性,一旦這些產物被濺鍍出晶圓表面時,會附著於包括變壓器耦合電漿(TCP,transformer coupled plasma)窗口、頂端、及襯套等腔壁上之視線區域。形成半導體裝置時,蝕刻腔室內的金屬層可被蝕刻。經發現,大量的金屬蝕刻副產物沉積在介電質TCP窗口上,會干擾自TCP線圈、通過介電質窗口至電漿的射頻功率耦合,並妨礙/降低MRAM晶圓的蝕刻。經發現,與電漿密度成正比、且對於受控偏壓電壓操作模式而言是通過TCP介電質窗口的射頻耦合之度量的偏壓功率,在MRAM材料晶圓循環通過TCP反應器時降低。
蝕刻腔室可以沉積模式操作,此意指腔壁上之蝕刻副產物在經過每一次晶圓蝕刻後未受清除並可堆積於腔壁上。已發展出一種以NH3為基礎的處理以蝕刻MRAM材料。在對鈷鐵(CoFe)使用以氨為基礎的處理之馬拉松式循環中,發現以13.56MHz之射頻、在使用CoFe空白晶圓的金屬循環十小時後,觀察到具有黑色粉墨之形式的鬆散殘餘物。此殘餘物影響腔室正常功能之處理時間且可造成處理失敗及提前濕式清潔(硬體替換)。此殘餘物之形成可損害例如靜電吸盤、襯套、腔壁等零件、以及一般任何暴露於電漿之硬體的壽命,且對維護腔室之使用者的人身安全有害。本發明之實施例減少此殘餘物的剝落而不影響處理功能性,殘餘物之剝落會成硬體及處理操作制度之偏離。
圖1為本發明實施例之高階流程圖。在本實施例中,將具有含金屬層之基板置於蝕刻腔室中(步驟104)。蝕刻含金屬層(步驟108)。將基板自蝕刻腔室內移除(步驟112)。若要處理另一基板(步驟116),則進行蝕刻腔室之調節,其係藉由將第一狀態之金屬殘餘物轉換為第二狀態,其中第二狀態之金屬殘餘物比第一狀態之金屬殘餘物具有較強的表面附著力(步驟120)。此處理持續至複數之基板已受處理。當完成基板時,停止循環處理。如腔室清潔等其它程序,可接著進行。
實施例
在本發明的較佳實施例中,將具有CoFe層的基板置於TCP電漿蝕刻腔室內(步驟104)。圖2為具有置於遮罩212下方之CoFe蝕刻層208的基板204的堆疊200之橫剖面示意圖。在本範例中,一或更多層216、 220可置於基板204及CoFe蝕刻層208之間,或CoFe蝕刻層208及遮罩212之間。雖然在製造MRAM時,遮罩係置於CoFe蝕刻層208上方,在發明處理的測試時,係將空白CoFe蝕刻層置於基板上方,而不放置遮罩。
圖3示意地呈現可用於本發明之一實施例中之電漿處理系統300的範例。電漿處理系統300包含其中具有由腔壁350定義之電漿處理腔室304的電漿反應器302。受匹配網路308調整之電漿電源供應器306供應電力至靠近功率窗312的TCP線圈310,使其做為電極供應功率至電漿處理腔室304以於電漿處理腔室304內形成電漿314。TCP線圈(上部功率源)310可配置為於電漿處理腔室304內產生均勻的擴散輪廓。例如,TCP線圈310可設置為在電漿314內產生環狀功率分佈。功率窗312係設置成將TCP線圈310與電漿處理腔室304分開,同時使能量得以自TCP線圈310通至電漿腔室304。法拉第屏蔽360係位於功率窗312及電漿314之間。法拉第屏蔽係描述於美國專利第7,223,321號,其係特別併入於此做為參考。受匹配網路318調整之晶圓偏壓電源供應器316供電至電極320以設定由電極320支撐之矽基板204上的偏壓,使得本實施例中之電極320亦為基板支座。脈波控制器352使偏壓產生脈波。脈波控制器352可位於匹配網路318及基板支座間、或偏壓電源供應器316及匹配網路318間,或控制器324及偏壓電源供應器316間,或是在其它配置中使偏壓產生脈波。控制器324為電漿電源供應器306及晶圓偏壓電源供應器316設定點。
電漿電源供應器306及晶圓偏壓電源供應器316可配置為於如13.56MHz、27MHz、2MHz、400kHz、或是其組合的特定射頻下操作。為了達到期望的處理效能,電漿電源供應器306及晶圓偏壓電源供應器316可調整至適當大小以供應一範圍之功率。例如本發明之一實施例中,電漿電源供應器306可供應介於300至10000瓦之功率,且晶圓偏壓電源供應器316可供應介於10至2000V之偏壓。此外,TCP線圈310及/或電極320可由二或更多次線圈或次電極所組成,該二或更多次線圈或次電極可由單一電源供應器供電或由數個電源供應器供電。
如圖3所示,電漿處理系統300更包含氣體源/氣體供應機構330。氣體源包含第一成份氣體源332、第二成份氣體源334、以及選擇 性額外成份氣體源336。不同成份氣體將討論如下。氣體源332、334及336係透過氣體入口340與電漿處理腔室304流體連接。氣體入口可位於電漿處理腔室304內任何有利位置,並可以任何形式注入氣體。然而,較佳地,氣體入口可配置為能夠產生「可調式」氣體注入輪廓,其容許獨立調整流入電漿處理腔室304內不同區域之各別氣體。處理氣體及副產物係透過壓力控制閥342以及幫浦344而自電漿處理腔室304內移除,壓力控制閥342為壓力調節器,幫浦344亦用於維持電漿處理腔室304內的特定壓力且亦提供氣體出口。氣體源/氣體供應機構330係由控制器324所控制。Lam Research Corporation之Kiyo系統可用於實施本發明之實施例。
圖4為適合實施本發明實施例中使用的控制器324之電腦系統400的高階方塊圖,該系統可能有許多實體形式,範圍涵蓋積體電路、印刷電路板,和小型手持裝置以至於大型超級電腦。電腦系統400包含一或更多處理器402,並進一步可包含電子顯示裝置404(用以顯示圖形、文字以及其它資料)、主記憶體406(如隨機存取記憶體(RAM))、儲存裝置408(如硬碟機)、可卸除式儲存裝置410(如光碟機)、使用者介面裝置412(如鍵盤、觸控螢幕、小型鍵盤、滑鼠或其它指向裝置等等),以及通信介面414(如無線網路介面)。通信介面414使軟體及資料能透過連結於電腦系統400與外部裝置之間傳輸。該系統亦可包含與前述裝置/模組相連接之通信基礎架構416(如通信匯流排、交越條(cross-over bar)或網路)。
透過通信介面414所傳輸之資訊,可為例如電子、電磁、光學之訊號形式或其它能透過通信連結所傳輸而被通信介面414所接收之訊號形式,該通信連結可使用電線或電纜、光纖、電話線、行動電話連結、射頻連結、及/或其它通信管道加以實施。利用此通信介面,一或更多處理器402在執行前述步驟時可接收來自網路之資訊,或可輸出資訊至網路。再者,本發明之方法實施例可完全依靠處理器執行,或是可透過如網際網路之網路伴隨分擔部份處理的遠端處理器而執行。
用語「非暫時性電腦可讀取媒體」(non-transient computer readable medium)一般用於指稱如主記憶體、輔助記憶體、可移式儲存器的媒體、以及如硬碟、快閃記憶體、磁碟機記憶體、CD-ROM及其它形式的 永久記憶體的儲存裝置,且不應解釋為涵蓋暫時性之標的,例如載波或是訊號。電腦碼的範例包含例如由編譯器產生之機器碼、以及含有較高階編碼、使用直譯器由電腦所執行之檔案。電腦可讀取媒體亦可為由體現於載波且代表由處理器可執行之一連串指令之電腦資料信號所傳送的電腦碼。
蝕刻CoFe層(步驟108)。較佳地,CoFe層蝕刻先與金屬起化學反應,例如形成金屬氫化物或金屬氮化物,並接著濺鍍經化學反應後之金屬。因此,例如金屬氫化物或金屬氮化物之經化學反應後的金屬殘餘物沉積於腔室周圍從基板的視線處。此金屬氫化物及金屬氮化物往往會剝落並污染基板。
用來蝕刻CoFe層的蝕刻處理的一範例提供2mTorr之壓力。使100sccmNH3的蝕刻氣體流入腔室中,同時提供120℃之溫度。提供1500瓦的射頻功率以將蝕刻氣體形成電漿。提供1000伏特的偏壓以提供濺鍍。
將基板自蝕刻腔室內移除(步驟112)。若要處理另一基板(步驟116),則藉由將第一狀態之金屬殘餘物轉換為第二狀態以進行腔室之調節(步驟120),其中第二狀態之金屬殘餘物比第一狀態之金屬殘餘物具有較強的蝕刻腔室表面附著力。圖5為本發明用於調節腔室(步驟120)之實施例的更詳細流程圖。在此將第一狀態之金屬殘餘物轉換為第二狀態的實施例中,首先提供氧化反應(步驟504)。在此步驟期間,金屬氮化物及金屬氫化物被轉換為金屬氧化物。接著提供還原反應(步驟508)以將金屬氧化物轉換為天然狀態之金屬(步驟508)。
在提供氧化反應(步驟504)的配方之特定範例中,將蝕刻腔室內之壓力設定為大於5mTorr,且將70sccm的O2及大於30sccm的Cl2流入蝕刻腔室內。提供13.56MHz、大於500瓦的TCP功率。另一個配方範例係將20sccm的CO及80sccm的三氟化氮(NF3)流入蝕刻腔室內。
在其它實施例中,氧化步驟可流入含氧之氣體,例如O2或CO。含氧之氣體必須擁有充份的氧以氧化含有金屬之殘餘物。
在本發明之其它實施例中,第一狀態為金屬氧化物。在此實施例中,僅有一個步驟用於將金屬氧化物還原為元素金屬。在其它實施例 中,第二狀態並非元素金屬。在一實施例中,金屬為天然狀態。天然狀態之金屬為元素金屬或是不同元素金屬之合金其中任何一者,因此金屬並非不具有反應性之離子。天然狀態之金屬的範例可是金屬合金或是腔壁上之鈷、鐵、釕、鈀之混合疊層,以致於他們形成具有良好附著強度之良好金屬膜。舉例來說,天然狀態之金屬可為CoFe合金。在此實施例中,金屬將是像純粹獨立金屬之元素狀態或是像CoPd膜或是FeTi膜等之混合狀態。
在其它實施例中,可使用例如電容式耦合蝕刻腔室等其它蝕刻腔室。
在本發明另一實施例中,金屬殘餘物為氮化鐵。氧化處理將氮化鐵轉換為氧化鐵。氫還原反應將氧化鐵轉換為元素鐵。在不同實施例中,不同方法可用於提供還原反應。例如可將金屬氧化物加熱以趕出氧,以提供天然狀態之金屬。在另一實施例中,提供輻射照射以將金屬氧化物轉換為天然狀態之金屬。
在另一實施例中,氧化反應及氫還原反應係在單一處理中進行。在另一實施例中,將另一基板置於腔室內,並當基板在蝕刻腔室內時進行調節。
元素金屬經發現附著於腔壁之情形優於金屬氫化物及金屬氮化物。此外,經發現,後續沉積物附著於元素金屬之情形優於金屬氫化物及金屬氮化物。
此外,本發明之實施例中發現,改變金屬殘餘物使蝕刻處理更穩定。在本發明之實施例中,此穩定的蝕刻處理並未出現處理偏移且無鬆散粒子形成。
濕式清潔替換新的或乾淨的零件。不清潔之零件接著送去接受化學處理或酸性清潔。元素金屬並未減少功率窗之傳輸,因為法拉第屏蔽360係置於腔室中功率窗312之正下方。此屏蔽360接地且其中含有細縫。該屏蔽具有兩種目的:第一為屏蔽阻止非揮發性之副產物到達TCP窗,第二為屏蔽容許電磁場通過並造成電漿。由於此屏蔽接地,因此所有的金屬殘餘物皆沉積其上。本發明之實施例預防金屬殘餘物之沉積物剝落或形成會落在晶圓上之鬆散粒子。
本發明雖已透過數個較佳實施例加以說明,仍有許多落於本發明範疇內之變更、修改及各種置換均等物。亦應注意仍有許多實行本發明之方法及設備之替代性方式。因此以下隨附請求項應被解釋為包含所有落於本發明之真正精神及範疇的此變更、修改及各種置換均等物。
104‧‧‧步驟
108‧‧‧步驟
112‧‧‧步驟
116‧‧‧步驟
120‧‧‧步驟

Claims (18)

  1. 一種減少蝕刻腔室內污染的方法,包含:將具有含金屬層之基板置於該蝕刻腔室內;蝕刻該含金屬層,產生非揮發性金屬殘餘物之沉積物於該蝕刻腔室之表面,其中該金屬殘餘物之沉積物的部份金屬殘餘物係為第一狀態;將該基板自該蝕刻腔室內移除;以及將第一狀態之金屬殘餘物轉換為第二狀態之金屬殘餘物以調節該腔室,其中第二狀態之金屬殘餘物比第一狀態之金屬殘餘物具有較強的蝕刻腔室表面附著力,其中該第一狀態之金屬殘餘物為金屬氮化物或金屬氫化物其中至少一者且其中第二狀態之金屬殘餘物為一天然狀態之金屬。
  2. 如申請專利範圍第1項之減少蝕刻腔室內污染的方法,其中該調節該腔室,包含:提供一氧化反應以將該金屬氮化物或金屬氫化物其中至少一者轉換為一金屬氧化物;以及提供一還原反應以將該金屬氧化物轉換為天然狀態之金屬。
  3. 如申請專利範圍第2項之減少蝕刻腔室內污染的方法,其中該提供一還原反應為提供氫還原、加熱還原、或輻射照射還原其中至少一者。
  4. 如申請專利範圍第3項之減少蝕刻腔室內污染的方法,其中該蝕刻該含金屬層,包含:提供該含金屬層中之該金屬一化學反應;以及濺鍍該含金屬層中之經化學反應後之該金屬。
  5. 如申請專利範圍第4項之減少蝕刻腔室內污染的方法,其中該調節該腔室係以無晶圓方式進行。
  6. 如申請專利範圍第5項之減少蝕刻腔室內污染的方法,其中該天然狀態之金屬為一元素金屬或一至少兩種元素金屬之合金。
  7. 如申請專利範圍第6項之減少蝕刻腔室內污染的方法,其中該腔室具有一功率窗及一法拉第屏蔽,該法拉第屏蔽減少沉積於該功率窗上之殘餘物。
  8. 如申請專利範圍第7項之減少蝕刻腔室內污染的方法,其中該法拉第屏蔽接地,以致於沉積在該法拉第屏蔽上之殘餘物多於該功率窗上之殘餘物,且其中該調節該腔室係將沉積於該法拉第屏蔽上之金屬殘餘物轉換為天然狀態金屬。
  9. 如申請專利範圍第1項之減少蝕刻腔室內污染的方法,其中該蝕刻該含金屬層,包含:提供該含金屬層中之該金屬一化學反應;以及濺鍍該含金屬層中之經化學反應後的金屬。
  10. 如申請專利範圍第1項之減少蝕刻腔室內污染的方法,其中該調節該腔室係以無晶圓方式進行。
  11. 如申請專利範圍第1項之減少蝕刻腔室內污染的方法,其中該腔室具有一功率窗及一法拉第屏蔽,該法拉第屏蔽減少沉積於該功率窗上之殘餘物。
  12. 一種減少蝕刻腔室內污染的方法,包含:將具有含金屬層之基板置於該蝕刻腔室內;蝕刻該含金屬層,產生非揮發性金屬殘餘物之沉積物於該蝕刻腔室之表面,其中該金屬殘餘物之沉積物的部份金屬殘餘物係為第一狀態;將該基板自該蝕刻腔室內移除;以及將第一狀態之金屬殘餘物轉換為第二狀態之金屬殘餘物以調節該腔室,其中第二狀態之金屬殘餘物比第一狀態之金屬殘餘物具有較強的蝕刻腔室表面附著力, 其中該腔室具有一功率窗及一法拉第屏蔽,該法拉第屏蔽減少沉積於該功率窗上之殘餘物,其中該法拉第屏蔽接地,以致於沉積在該法拉第屏蔽上之殘餘物多於該功率窗上之殘餘物,且其中該調節該腔室係將沉積於該法拉第屏蔽上之金屬殘餘物轉換為天然狀態金屬。
  13. 一種減少蝕刻腔室內污染的方法,包含:將具有含金屬層之基板置於該蝕刻腔室內;蝕刻該含金屬層,產生非揮發性金屬殘餘物之沉積物於該蝕刻腔室之表面,其中該金屬殘餘物之沉積物的部份金屬殘餘物係為第一狀態;將該基板自該蝕刻腔室內移除;以及將第一狀態之金屬殘餘物轉換為第二狀態之金屬殘餘物以調節該腔室,其中第二狀態之金屬殘餘物比第一狀態之金屬殘餘物具有較強的蝕刻腔室表面附著力,其中該調節該腔室包含提供一氧化反應以將該金屬殘餘物轉換為金屬氧化物,以及提供一還原反應以將該金屬氧化物轉換為天然狀態之金屬。
  14. 如申請專利範圍第13項之減少蝕刻腔室內污染的方法,其中該天然狀態之金屬為一元素金屬或一至少兩種元素金屬之合金的其中任何一者。
  15. 如申請專利範圍第14項之減少蝕刻腔室內污染的方法,其中該提供一還原反應為提供氫還原、加熱還原、或輻射照射還原其中至少一者。
  16. 一種減少在具有功率窗及與功率窗相鄰之法拉第屏蔽的蝕刻腔室內之污染的方法,包含:將一具有一含金屬層之基板置於該蝕刻腔室內;蝕刻該含金屬層,產生非揮發性金屬殘餘物之沉積物於該含有該法拉第屏蔽之蝕刻腔室之表面,其中該金屬殘餘物包含一金屬氫化物或金屬氮化物,其中該蝕刻包含:提供該含金屬層中之該金屬一化學反應;以及 濺鍍該含金屬層中之經化學反應後之該金屬;將該基板自該蝕刻腔室內移除;以及將包含該金屬氫化物或金屬氮化物之金屬殘餘物轉換為一天然狀態之金屬以調節該腔室,其中該天然狀態之金屬比該金屬氫化物或金屬氮化物具有較佳之該蝕刻腔室表面附著力,包含:提供一氧化反應以將該金屬氮化物或金屬氫化物轉換為一金屬氧化物;以及提供一還原反應以將該金屬氧化物轉換為天然狀態之金屬。
  17. 如申請專利範圍第16項之減少在具有功率窗及與功率窗相鄰之法拉第屏蔽的蝕刻腔室內之污染物的方法,其中該提供一還原反應為提供氫還原、加熱還原、或輻射照射還原其中至少一者。
  18. 如申請專利範圍第17項之減少在具有功率窗及與功率窗相鄰之法拉第屏蔽的蝕刻腔室內之污染物的方法,其中該調節該腔室係以無晶圓方式進行。
TW102104021A 2012-02-03 2013-02-01 減少蝕刻腔室內污染的方法 TWI576912B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/365,955 US8784676B2 (en) 2012-02-03 2012-02-03 Waferless auto conditioning

Publications (2)

Publication Number Publication Date
TW201344785A TW201344785A (zh) 2013-11-01
TWI576912B true TWI576912B (zh) 2017-04-01

Family

ID=48903257

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102104021A TWI576912B (zh) 2012-02-03 2013-02-01 減少蝕刻腔室內污染的方法

Country Status (5)

Country Link
US (1) US8784676B2 (zh)
KR (1) KR20130090378A (zh)
CN (1) CN103247754B (zh)
SG (1) SG192374A1 (zh)
TW (1) TWI576912B (zh)

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6285213B2 (ja) 2014-03-03 2018-02-28 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法
US9257638B2 (en) * 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
CN111883411B (zh) * 2020-08-28 2023-02-03 上海华力微电子有限公司 改善通孔刻蚀残留的方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW541358B (en) * 2001-04-23 2003-07-11 United Microelectronics Corp Method for dry cleaning metal etching chamber
US20030205237A1 (en) * 2000-11-20 2003-11-06 Tokyo Electron Limited Method of cleaning processing chamber of semiconductor processing apparatus
TW201118200A (en) * 2009-08-27 2011-06-01 Applied Materials Inc Method of decontamination of process chamber after in-situ chamber clean
TWI381438B (zh) * 2004-12-24 2013-01-01 Univ Kyoto 半導體處理裝置之清潔方法及矽基板之蝕刻方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100825130B1 (ko) 2001-07-06 2008-04-24 어플라이드 머티어리얼스, 인코포레이티드 금속 에칭 공정 동안 플라즈마 에칭 챔버내에서 파티클을감소시키는 방법
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6776851B1 (en) 2001-07-11 2004-08-17 Lam Research Corporation In-situ cleaning of a polymer coated plasma processing chamber
US7223321B1 (en) 2002-08-30 2007-05-29 Lam Research Corporation Faraday shield disposed within an inductively coupled plasma etching apparatus
US20060019493A1 (en) * 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
US20060032516A1 (en) * 2004-07-30 2006-02-16 Infineon Technologies Ag Method for the recovery of ash rate following metal etching
JP4764028B2 (ja) * 2005-02-28 2011-08-31 株式会社日立ハイテクノロジーズ プラズマ処理方法
CN100392825C (zh) * 2005-05-18 2008-06-04 联华电子股份有限公司 一种去除晶片表面上蚀刻残留物的方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030205237A1 (en) * 2000-11-20 2003-11-06 Tokyo Electron Limited Method of cleaning processing chamber of semiconductor processing apparatus
TW541358B (en) * 2001-04-23 2003-07-11 United Microelectronics Corp Method for dry cleaning metal etching chamber
TWI381438B (zh) * 2004-12-24 2013-01-01 Univ Kyoto 半導體處理裝置之清潔方法及矽基板之蝕刻方法
TW201118200A (en) * 2009-08-27 2011-06-01 Applied Materials Inc Method of decontamination of process chamber after in-situ chamber clean

Also Published As

Publication number Publication date
KR20130090378A (ko) 2013-08-13
SG192374A1 (en) 2013-08-30
CN103247754B (zh) 2015-08-19
CN103247754A (zh) 2013-08-14
US8784676B2 (en) 2014-07-22
TW201344785A (zh) 2013-11-01
US20130203255A1 (en) 2013-08-08

Similar Documents

Publication Publication Date Title
TWI576912B (zh) 減少蝕刻腔室內污染的方法
TWI579914B (zh) 利用電漿進行非揮發性物質之分層蝕刻
KR20150112896A (ko) 비휘발성 금속 재료의 에칭 방법
CN105489483A (zh) 处理具有掩模的被处理体的方法
TW201639034A (zh) 被處理體之處理方法
US11127598B2 (en) Film etching method for etching film
CN104882360A (zh) 等离子体处理装置的清洁方法
CN106067417A (zh) 蚀刻有机膜的方法
TW201411720A (zh) 具有混合模式脈動之蝕刻
KR20140022738A (ko) 플라즈마 프로세싱에서의 결함 감소
CN109219866A (zh) 蚀刻方法
CN105914144A (zh) 蚀刻方法
CN112997282A (zh) 用于将蚀刻层蚀刻的方法
TW201442108A (zh) 在原處之金屬殘餘物清潔
JP6504827B2 (ja) エッチング方法
CN109417029B (zh) 对被处理体进行处理的方法
TWI809086B (zh) 蝕刻方法及電漿處理裝置
CN104103580A (zh) 等离子体处理方法和等离子体处理装置
CN111048389A (zh) 等离子体处理方法和等离子体处理装置
CN106158619A (zh) 被处理体的处理方法
US20130270227A1 (en) Layer-layer etch of non volatile materials
JP7190988B2 (ja) エッチング方法及び基板処理装置
CN105810581A (zh) 蚀刻方法
CN112530799A (zh) 蚀刻氧化硅膜的方法及等离子体处理装置
CN111819667A (zh) 等离子体处理方法和等离子体处理装置