TWI564424B - 氧化矽鍺薄膜的形成方法 - Google Patents

氧化矽鍺薄膜的形成方法 Download PDF

Info

Publication number
TWI564424B
TWI564424B TW102139582A TW102139582A TWI564424B TW I564424 B TWI564424 B TW I564424B TW 102139582 A TW102139582 A TW 102139582A TW 102139582 A TW102139582 A TW 102139582A TW I564424 B TWI564424 B TW I564424B
Authority
TW
Taiwan
Prior art keywords
cycle
oxygen
ruthenium
reactant
sub
Prior art date
Application number
TW102139582A
Other languages
English (en)
Other versions
TW201425633A (zh
Inventor
丁仁洙
洪恩起
崔丞佑
姜東錫
劉龍珉
蕭培中
Original Assignee
Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip控股公司 filed Critical Asm Ip控股公司
Publication of TW201425633A publication Critical patent/TW201425633A/zh
Application granted granted Critical
Publication of TWI564424B publication Critical patent/TWI564424B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

氧化矽鍺薄膜的形成方法 【相關申請案交叉參考】
此申請案主張2012年11月1日提申的美國臨時申請案號第61/721,113號的優先權,其揭露內容以全文參考的方式併入本文中。
本申請案大致關於包括矽及鍺的薄膜的原子層沈積。
已存在相較於習知熱氧化膜或CVD氧化膜來說具有可變範圍的濕蝕刻速率(wet etching rate;WER)的薄氧化膜的成長需求。此外,在高寬(aspect ratio)比為10:1或更高的元件結構上,上述膜理想地具有大於95%的共形階梯覆蓋性(conformal step-coverage)。
原子層沈積(atomic layer deposition;ALD)被認為是使得沈積膜具有上述所述特性的具有前景的方法。舉例來說,最近發 展出在低溫下藉由電漿增強原子層沈積(plasma enhanced atomic layer deposition;PEALD)的SiO2膜的沈積方法;然而,WER範圍是受限的。SiO2膜的WER維持在習知熱氧化物的WER的約20倍。此顯示SiO2膜的WER的限制。
因此,已研究除了SiO2以外可達成更高WER的材料。在一些研究中,GeO2膜被認為是未來的許多應用中取代SiO2的具有前景的候選者。然而,GeO2是相對不穩定的,部分是因為它易於被水及其他溶液所溶解。
本文揭露一種SiGeO膜的沈積方法。在一些實施例中,藉由原子層沈積型處理,以穩定地、可重複地、且可高度控制的方法沈積上述膜。舉例而言,對於各種半導體製造處理的使用來說,上述方法及膜是適合的。
使用如本文揭露的ALD處理,可進行一種氧化矽鍺薄膜的形成方法,所述氧化矽鍺薄膜形成在反應空間中的基板上。所述處理可包括至少一個完整氧化矽鍺沈積循環,其包括氧化鍺沈積子循環及氧化矽沈積子循環。所述氧化鍺沈積子循環可包括:以鍺反應物接觸基板;移除過量的鍺反應物;以及以第一氧反應物接觸基板。所述氧化矽沈積子循環可包括:以矽反應物接觸基板;移除過量的矽反應物;以及以第二氧反應物接觸基板。在一些實施例中,移除包括了使清潔氣體(purge gas)流過反應空間。
根據一些實施例,第一氧反應物及第二氧反應物的至少一者包括氧電漿。氧電漿可從流動氧源產生。在一些實施例中,在一個或更多子循環期間、在一個或更多完整氧化矽鍺沈積循環期間、或甚至在整個處理中,氧源可流經反應腔室。在一些實施例中,氧電漿可間歇地提供在氧化鍺子循環及/或氧化矽子循環中。舉例而言,可在流動氧源中於所需時間間隔中產生氧電漿。可使用氧氣做為一些實施例中的氧源。
根據一些實施例,可變動一個或多個完整氧化矽鍺沈積循環中的各類型的子循環數。在一些實施例中,所述氧化鍺沈積子循環與氧化矽沈積子循環的比可經變動以產生具有所需性質的膜,所述性質例如是濕蝕刻速率。舉例而言,在一些實施例中,所述氧化鍺沈積子循環與氧化矽沈積子循環的比可大於或等於約1:1。在其他實施例中,所述比可小於或等於約1:1。所述比可經選擇以使得氧化矽鍺薄膜的濕蝕刻速率比相對熱氧化物為3至400之間。在一些實施例中,氧化矽沈積子循環可在氧化鍺沈積子循環之前。氧化矽鍺膜可膜達成在高寬比為至少約20:1的三維結構上具有至少約95%的階梯覆蓋。氧化矽鍺膜可達成濕蝕刻速率比相對於熱氧化物小於約4或大於約20。在一些實施例中,沈積溫度於約450℃與約50℃之間。
適當的矽反應物可包括(SiH3)3N、(SiH3)2、(SiH3)2NMe、(SiH3)2Net、(SiH3)2N(iPr)、(SiH3)2N(tBu)、SiH3NEt2、SiH3N(iPr)2、SiH3N(tBu)2、SiH2(NEt2)2、SiH2(NMe2)2、SiH2(NHtBu)2、 SiH2(NHSiMe3)2、Si(OEt)4、SiCl4、Si2Cl6、SiH2Cl2、SiH(N(Me)2)3、SiH2[N(Et)(Me)]2、Si2(NHEt)6、Si(NHEt)4、以及Si3H8。適當的鍺反應物可包括Ge(NMe2)4、Ge(NEtMe)4;Ge(NEt2)4、iPr3GeCl、iPr3GeNMe2、iPr3GeNEtMe、以及iPr3GeNEt2。適當的氧反應物可包括O2、O3、NO、NO2、CO2、上述的一者或多者產生的電漿、或其組合。
在一些實施例中,鍺反應物為Ge[N(CH3)2]4,矽反應物為SiH2[N(C2H5)2]2,且第一氧反應物及第二氧反應物的至少一者包括氧電漿。
使用包括多個循環的ALD處理可進行一種氧化矽鍺薄膜的形成方法,所述氧化矽鍺薄膜形成在反應空間中的基板上。循環的至少一者可包括至少一個ALD氧化鍺沈積子循環及至少一個ALD氧化矽沈積子循環。所述氧化鍺沈積子循環可包括:以鍺反應物接觸基板;移除過量的鍺反應物;以及以第一氧反應物接觸基板。氧化矽沈積子循環可包括:以矽反應物接觸基板;移除過量的矽反應物;以及以第二氧反應物接觸基板。在一些實施例中,第一氧反應物及第二氧反應物的至少一者可為氧電漿。
在一些實施例中,至少一個鍺沈積子循環與至少一個矽沈積子循環的比可大於或等於約1:1。在一些實施例中,所述比可小於或等於約1:1。在一些實施例中,所述比可在約1:20與約20:1之間。
100、200、210、220、230、240、250、300、310、400、410、500、510、600、630、660、700、705、730、760‧‧‧循環
110、120、320、330、340、350、420、430、440、450、520、530、540、550、610、620、640、650、670、680、710、720、715、725、740、750、770、780‧‧‧子循環
圖1是大致說明根據一些實施例的SiGeO薄膜的形成方法的流程圖。
圖2是大致說明根據一些實施例的SiGeO薄膜的形成方法的流程圖。
圖3是大致說明根據一些實施例的SiGeO薄膜的形成方法的流程圖。
圖4是大致說明根據一些實施例的SiGeO薄膜的形成方法的流程圖。
圖5是大致說明根據一些實施例的SiGeO膜的形成方法的流程圖。
圖6A-C分別說明根據一些實施例沈積SiGeO膜的例示沈積程序。
圖7A-D分別說明根據一些實施例沈積SiGeO膜的例示沈積程序。
圖8說明各種SiGeO薄膜的濕蝕刻速率比,其中相對於GeO循環數調整SiO循環數。
圖9說明各種SiGeO薄膜的濕蝕刻速率比,其中變動用於電漿產生的RF時間。
圖10說明各種SiGeO薄膜的濕蝕刻速率比,其中相對於SiO循環數調整GeO循環數,且使用兩個不同的溫度來形成膜。
圖11說明相較於只使用SiO達成的最小濕蝕刻速率比的正常範圍而言藉由相對於SiO循環變動GeO循環達成的最小濕蝕刻速率比。
圖12說明相較於只使用SiO達成的最大濕蝕刻速率比的正常範圍而言,藉由相對於SiO循環變動GeO循環達成的最大濕蝕刻速率比。
圖13說明多個SiGeO膜中達成的Ge濃度,其中相對於SiO循環數調整GeO循環數。
圖14說明藉由調整GeO循環相對於SiO循環的比所形成的多個SiGeO膜的FT-IR分析。
圖15A-B分別說明在高寬比為2.5:1及10:1上使用本文揭露的方法所達成的階梯覆蓋。
本文揭露一種SiGeO薄膜的沈積方法。相較於習知的GeO2處理,在一些實施例中,本文揭露的處理可提供穩定的、可重複的、且可高度控制的膜。在一些實施例中,本文的膜不僅可展現之前未觀察到的相當高的WER,且本文的膜也可達成相當低的WER。本領域具有通常知識者將理解的是,本揭露的處理可用於多種應用。舉例而言,本揭露的處理可用於多種半導體應用中。在一些實施例中,使用本揭露的處理以形成膜,此膜可用作為犧牲層、圖案層、罩幕層、光微影中使用的層、被用來增加蝕刻選 擇性的層、可調蝕刻速率層、用於形成氣隙層(例如用於DRAM應用中)、側間隙壁、SiO蓋層及例如是Ge基板與高介電係數層之間的中間層。上述膜也可用於平面邏輯及NAND快閃元件中。根據一些實施例,SiGeO膜具有所需的蝕刻速率。舉例而言,當上述膜被沈積在三維結構上時,上述膜在垂直及水平部分可具有相對均勻的蝕刻速率。此些三維結構可包括(例如但不受限於)FinFET或其他類型的多閘極FET。
在一些實施例中,使用包括沈積氧化鍺層的至少一個循環及沈積氧化矽層的至少一個循環的處理來沈積薄膜層。在一些實施例中,上述循環的一者或兩者可為原子層沈積循環,且在一些實施例中,上述循環可為電漿增強原子層沈積(PEALD)型沈積循環。重複各循環以達成具有所需的厚度及組成的膜。可藉由變動使用於沈積各層的循環數以使得氧化鍺及氧化矽的量相對於彼此調整,且因此可調整Ge及Si的量。因此,SiGeO薄膜包括所需鍺及矽的量。在此方法中,可調整膜的性質(例如是蝕刻性質)。承上所述,本領域具有通常知識者將理解的是,此些SiGeO薄膜具有廣泛的各種用途。
交替沈積GeO2及SiO2層的處理可被描述為積層處理(laminating process),且所述膜可被稱為GeO2及SiO2積層。因此,本文揭露用於形成SiGeO薄膜的多種積層處理。
ALD可提供膜組成的精密控制。在一些實施例中,控制SiGeO薄膜的組成可影響上述膜的WER。此外,ALD可提供良好 的階梯覆蓋。因此,在一些實施例中,SiGeO薄膜沈積在三維結構上,三維結構例如是finFET元件構造中的鰭。可控制上述層的厚度及組成以產生具有所需特性的SiGeO膜。
為了方便及簡化,本文中的氧化矽的分子式通常表示為SiO2。然而,本領域具有通常知識者將理解的是,實際氧化矽的分子式可為SiOx,其中x變動於2左右,只要其形成一些Si-O鍵即可。通常形成具有+IV氧化態的Si的氧化矽,且可變動材料中的氧量。
相似地,為了方便及簡化,本文中的氧化鍺的分子式通常表示為GeO2。然而,本領域具有通常知識者將理解的是,實際氧化鍺的分子式可為GeOx,其中x變動於2左右,只要其形成一些Ge-O鍵即可。通常形成具有+IV氧化態的Ge的氧化鍺,且可變動材料中的氧量。
此外,本文中的氧化矽鍺的分子式通常表示為SiGeO。然而,本領域具有通常知識者將理解的是,膜的實際化學計量是變動的。此分子式也可被表示為SiGeOx,其中x變動於4左右,只要其形成一些Ge-O鍵及Si-O鍵即可。
ALD型處理是基於經控制的、自我限制的表面反應。藉由使反應物交替且依序地接觸基板來避免氣相反應。舉例而言,藉由在反應物脈衝之間從反應腔室移除過量的反應物及/或反應物副產物,在反應腔室中將蒸氣相反應物彼此分開。舉例而言,藉由使用真空或清潔氣體在反應物氣體的各脈衝後清潔反應空間, 可達成移除過量的反應物及/或反應物副產物。也可在各反應物氣體的脈衝之間、期間、之後連續使用清潔氣體。
本文中的方法允許SiGeO膜在基板表面的沈積。由於ALD型處理的性質,具有幾何上需求的應用亦是可能的。根據一些實施例,使用原子層沈積(ALD)型處理而在基板上形成SiGeO膜,基板例如是積體電路工件。基板表面可包括一個或多個三維結構。在一些實施例中,一個或多個結構的高寬比可為1:1至12:1或更大。
在反應腔室中配置基板或工件,且對基板或工件交替重複地進行表面反應。特定言之,藉由重複兩個或更多自我限制的ALD循環來形成SiGeO薄膜。至少一個ALD循環用於形成SiO2,而至少一個ALD循環用於形成GeO2。如下更詳細的討論,為了沈積所需組成及厚度的SiGeO膜,可以預定次數且在經選擇的比率下重複GeO2及SiO2沈積循環。在一些實施例中,ALD循環可產生的沈積少於SiO2或GeO2兩者的完整層。
較佳地說,用於形成SiGeO膜的各ALD循環包括至少兩個不同的階段。反應物在反應空間的提供及移除可被視為一個階段。對GeO2沈積循環來說,在第一階段中,提供包括鍺的第一反應物且第一反應物在基板表面上形成不多於約一個單層(monolayer)。本文中亦將此反應物稱為「鍺前驅物」或「鍺反應物」,且此反應物可例如是:烷氧化鍺,烷氧化鍺例如是乙氧化鍺(Ge(OEt)4);烷胺基鍺(germanium alkylamino)化合物,例如是四(二 甲基胺基)鍺(TDMAGe)。在第二階段中,提供包括氧的第二反應物(「氧反應物」或「氧前驅物」),且第二反應物可將經吸附的鍺轉變為氧化鍺。在一些實施例中,第二反應物包括反應物種。在一些實施例中,上述反應物種包括激發物種。在一些實施例中,上述反應物種包括氧電漿、氧原子、及/或氧自由基。因此,在一些實施例中,循環可被視為PEALD循環。在一些實施例中,上述反應物種包括未經激發的氧的物種(例如是臭氧),且此反應物種被使用於(例如)熱ALD處理中。在一些實施例中,上述反應物種包括由電漿放電製造的激發物種。在一些實施例中,反應物種可包括He或Ar電漿。在一些實施例中,使用於形成電漿的氣體可不斷地在整個處理中流動但只有間歇地被活化。舉例而言,氧氣可不斷地在整個ALD循環中流動,但在第二階段期間間歇地受到RF能量而形成電漿。如所需要地,可增加另外的階段且可移除階段,以調整最終膜的組成。
在SiO2沈積循環中,在第一階段中提供包括矽的第三反應物,且第三反應物在基板表面上形成不多於約一單層。本文所提到的上述反應物亦做為「矽前驅物」或「矽反應物」,且此反應物可例如是:烷胺矽化合物,例如是BDEAS(雙二乙基胺基矽烷;bis-diethylaminosilane)。在第二階段中,提供包括氧(「氧反應物」或「氧前驅物」)的第四反應物,且第四反應物可將經吸附的矽轉變為氧化矽。在一些實施例中,SiO2沈積循環中使用的氧反應物與GeO2沈積中使用的氧反應物相同,然而在其他實施例中,上述 氧反應物可為不同的。在一些實施例中,第四反應物包括反應物種。在一些實施例中,反應物種包括激發物種。在一些實施例中,反應物種包括氧電漿、氧原子、以/或氧自由基。因此,在一些實施例中,上述循環可被視為PEALD循環。在一些實施例中,上述反應物種包括未經激發的氧的物種(例如是臭氧),且此反應物種被使用於(例如)熱ALD處理中。在一些實施例中,上述反應物種包括由電漿放電製造的激發物種。在一些實施例中,反應物種可包括He或Ar電漿。在一些實施例中,使用於形成電漿的氣體可不斷地在整個處理中流動,但只有間歇地被活化。舉例而言,氧氣可不斷地在整個ALD循環中流動,但在第二階段期間間歇地受到RF能量而形成電漿。如所需要地,可增加另外的階段相且可移除階段,以調整最終膜的組成。
視特別的實施例的程序而定,詞彙「第一」、「第二」、「第三」、以及「第四」可被應用於任何特別的前驅物或反應物種。舉例而言,視實施例而定,第一反應物可為矽前驅物、鍺前驅物、氧反應物、及/或反應物種。相似地,視實施例而定,第二反應物可為矽前驅物、鍺前驅物、氧前驅物、及/或反應物種。第三反應物可為矽前驅物、鍺前驅物、氧前驅物、及/或反應物種。而第四反應物可為矽前驅物、鍺前驅物、氧前驅物、及/或反應物種。在一些實施例中,氧反應物為反應物種。
至少兩階段的組合可被視為子循環。因此,用於沈積SiGeO層的處理可包括至少一個氧化鍺沈積子循環及至少一個氧 化矽沈積子循環。在本文中這些子循環亦可被稱為GeO2循環及SiO2循環。至少兩個子循環的組合可被視為超級循環(super-cycle)或完整SiGeO循環。因此,第一階段及第二階段可包括第一子循環,且第三階段及第四階段可包括第二子循環。在完整SiGeO沈積循環中,此些兩個子循環可各自重複一次或多次。
在一些實施例中,在開始第二(不同的)子循環之前,第一子循環可重複任意次數。舉例而言,在開始SiO2子循環之前,GeO2子循環可重複1、2、3、4、5、10或更多次;或者,在開始GeO2子循環之前,SiO2子循環可重複1、2、3、4、5、10或更多次。在一些實施例中,在完成第一子循環之後,第二子循環可重複任意次數。舉例而言,在一個或多個SiO2子循環之後,GeO2子循環可重複1、2、3、4、5、10或更多次;或者,在一個或多個GeO2子循環之後,SiO2子循環可重複1、2、3、4、5、10或更多次。在一些實施例中,在第一子循環之前進行第二子循環。
可借助載氣(例如是Ar或He)提供一個或多個反應物。在一些實施例中,借助載氣提供矽前驅物及鍺前驅物及反應物種。在一些實施例中,可從載氣提供的反應物、或者從載氣自身產生反應物種(例如是氧反應物)。在一些實施例中,這些階段中的兩者可至少部分地重疊或結合。舉例而言,在部分地或完全地重疊的脈衝中可同時提供矽前驅物及氧反應物;及/或,在部分地或完全地重疊的脈衝中可同時提供鍺前驅物及氧反應物。此外,雖然被稱為第一子循環及第二子循環、以及被稱為第一、第二、第三及 第四反應物,但是順序是可變動的,且ALD循環可以子循環的任一者開始,且子循環可以任意反應物開始。亦即,除非另外特別說明,可以任意順序提供反應物,且處理可以任意反應物開始。
如下更詳細的討論,在一些實施例中,為了沈積SiGeO,一個或多個沈積循環以矽前驅物隨後氧反應物(例如是反應性氧物種)並接著鍺前驅物隨後氧反應物(例如是反應性氧物種)的提供開始。在其他實施例中,沈積可以氧反應物(例如是反應性氧物種)隨後矽前驅物或鍺前驅物的提供開始。
在一些實施例中,將需要在其上沈積的基板(例如是半導體工件)裝載至反應器中。反應器可為設備群集(cluster tool)中的部件,在設備群集中進行形成積體電路的多個不同的處理。在一些實施例中,利用流動型反應器。在一些實施例中,使用可高體積製造的單一晶圓ALD反應器。在其他實施例中,使用包括多個基板的批次反應器。對其中使用批次ALD反應器的實施例而言,基板的數量較佳於10至200的範圍內,更佳於50至150的範圍內,且最佳於100至130的範圍內。
例示性單一晶圓反應器(具體上設計為增強ALD處理)是商業上可購得的,其來自:ASM America,Inc.(Phoenix,AZ),商品名Pulsar® 2000及Pulsar®3000;以及ASM Japan K.K(Tokyo,Japan),商品名Eagle® XP及XP8。例示性批次ALD反應器(具體上設計為增強ALD處理)是商業上可購得的,其來自ASM Europe B.V(Almere,Netherlands),商品名A4ALDTM及A412TM
根據一些實施例,藉由控制膜中的矽及鍺的相對濃度及/或藉由在膜形成期間控制任意數目的其他處理參數來達成SiGeO膜的所需WER。在一些情況下,較佳描述任意特定矽鍺膜的WER為其濕蝕刻速率比(WERR),濕蝕刻速率比是在相同條件下將膜的WER除以熱氧化物的WER的量(亦即,WERR=樣本濕蝕刻速率[nm/min]/熱SiO2濕蝕刻速率[nm/min])。
在一些實施例中,可藉由相對於GeO2子循環增加SiO2子循環數來降低WERR。或者,可藉由相對於GeO2子循環減少SiO2子循環數來增加矽鍺膜的WERR。在一些實施例中,增加SiO2子循環數實際上可藉由減少GeO2子循環數來達成。相似地,減少SiO2子循環數可藉由增加GeO2子循環數來達成。
在一些實施例中,在使用本文揭露的方法所形成的SiGeO膜中達成約3至4的WERR。在一些實施例中,在本揭露的SiGeO膜中達成約3或小於約3的WERR。根據一些實施例,約2的WERR是可能的。在根據本揭露沈積的一些SiGeO膜中,WERR高達約120,且在一些膜中,高達約400。
在一些實施例中,可藉由延長在沈積處理期間在反應空間中產生電漿的時間量來達成較低的WERR。或者,可藉由縮短在沈積處理期間在反應空間中產生電漿的時間長度來達成來達成較高的WERR。
在一些實施例中,若有需要時,工件的經暴露表面可經預處理以提供反應位置,以與第一階段的ALD處理反應。在一些 實施例中,單獨的預處理步驟是不需要的。在一些實施例中,基板經預處理以提供所需的表面終止(surface termination)。在一些實施例,以電漿預處理基板。
在反應物脈衝之間典型地清潔反應腔室。在一些實施例中,使用惰性氣體做為清潔氣體。隨著清潔步驟,各反應物的流量及時間是可調的,此允許控制膜的組成。
承上所述,在一些實施例中,在各沈積循環期間、或在整個ALD處理基間,連續地提供氣體至反應腔室。在一些實施例中,在反應腔室或反應腔室的上游,藉由在氣體中產生電漿來提供反應物種。在一些實施例中,氣體是氧或包括氧。在其他實施例中,氣體可為氮、氦、或氬或者包括氮、氦、或氬。在氣體中與在氣體中產生的電漿中可提供氧反應物,以產生反應性的氧。流動氣體亦可作用為用於第一、第二、第三、或第四前驅物的一者或多者的清潔氣體。舉例而言,流動的氧可作用為用於第一矽前驅物的清潔氣體,也可作用為產生用於形成SiO2的反應性的氧物種。流動的氧亦可作用為第一鍺前驅物的清潔氣體,也可作用為用於形成GeO2的反應性的氧物種。在一些實施例中,氮、氦、或氬可作用為清潔氣體,或作用為清潔氣體的一部分。
在一些實施例中,為了得到所需特徵的膜,可在ALD處理期間的一個或多個沈積子循環中變動沈積參數(例如流動速率、流動時間、清潔時間、溫度、壓力、RF能量(若有使用時))及/或前驅物本身。
詞彙「脈衝」可被理解為包括將反應物(或清潔氣體或另一氣體)進料至反應腔室中持續預定的時間量。詞彙「脈衝」不限制脈衝的長度或持續時間,且脈衝可為任意長的時間。
沈積溫度較佳為約650℃或更低。在一些實施例中,沈積溫度為約50℃至約450℃,或約50℃至約400°。在一些實施例中,沈積溫度為約200℃、300℃、或400℃。在一些實施例中,沈積溫度可為從約150至約400℃或從約200至約400℃。
承上所述,在藉由ALD沈積鍺或矽層之前,可對基板進行處理。舉例而言,基板可經鈍化化學品處理,以防止在開始任何ALD程序之前的氧化。在一些實施例中,在開始任何ALD程序之前,基板經處理以形成界面層。
氧化鍺子循環
在一些實施例中,在氧化鍺子循環中首先提供鍺前驅物。在最初的表面終止之後,若有必要或需要時,供應第一鍺前驅物脈衝至工件。根據一些實施例,第一前驅物脈衝包括載氣流及與關注的工件表面反應的揮發性鍺物種。例示性鍺前驅物包括:烷氧化鍺,例如是乙氧化鍺(Ge(OEt)4);以及烷胺基鍺化合物,例如是四(二甲基胺基)鍺(TDMAGe)。因此,鍺前驅物吸附在工件表面上。第一前驅物脈衝自我飽和(self-saturate)工件表面,因此任何第一前驅物脈衝的過量成分不再與由此處理形成的分子層反應。
較佳以氣體的形式供應第一鍺前驅物脈衝。鍺前驅物氣體被認為是「揮發性」,「揮發性」對本描述的目的來說,是物種在處理條件下展現足夠的蒸氣壓以將物種以足夠飽和經暴露表面的濃度傳輸至工件。
在一些實施例中,鍺前驅物脈衝是約0.05至約5.0秒,約0.1至約3秒或約0.2至約1.0秒。
在用於將分子單層吸附在基板表面上的足夠時間後,接著從反應空間移除過量的第一前驅物。在一些實施例中,藉由中止第一化學流同時繼續流動載氣或清潔氣體持續足夠的時間以從反應空間擴散或清潔過量的反應物及反應物副產物(如果有的話)來清潔過量的第一前驅物。在一些實施例中,借助於氧氣(或另一清潔氣體)來清潔過量的第一前驅物,氧氣(或另一清潔氣體)在整個ALD循環中流動。
在一些實施例中,清潔第一前驅物持續約0.1至約10秒,約0.3至約5秒或約0.3至約1秒。鍺前驅物的提供及移除可被為是ALD循環的第一階段或鍺階段。
在第二階段相中,經吸附的第一反應物與氧反應物反應以形成氧化鍺。氧反應物可為(例如)水、臭氧、氧電漿、氧自由基、氧原子、H2O2、N2O、NO、NO2、CO2、氮氧化物NOx(其中x是約0.5至約3)、或已知其他在ALD處理中使用的氧反應物。在一些實施例中,提供反應物種(例如是氧電漿)至工件。在一些實施例中,在各ALD循環期間,氧源(例如是氧、O2)可連續流動至反應 腔室。在其他實施例中,間歇地提供氧源(例如是氧)。可由反應腔室本身中或反應腔室上游的氧源中產生電漿來形成氧電漿,例如是藉由使氧源流經遠端的電漿產生器。
在一些實施例中,氧反應物或氧源連續地流動,從而與鍺反應物脈衝重疊。在一些實施例中,氧反應物或氧源經脈衝並且至少部分地與鍺反應物脈衝重疊。在一些實施例中,一個或多個反應物在反應空間中可分解或至少部分地分解。
典型地說,提供氧反應物(例如氧電漿)持續約0.1至約10秒。在一些實施例中,提供氧反應物(例如氧電漿)持續約0.1至約10秒,0.5至約5秒或0.5至約2.0秒。然而,視反應器的類型、基板的類型及基板的表面積而定,清潔時間甚至可高於10秒。在一些實施例中,清潔時間可為分鐘的級別。本領域具有通常知識者基於特定的環境可易於決定優選的脈衝時間。
在一些實施例中,可藉由施加約10W至約1500W、較佳為約30W至約1000W、更佳為約50W至約500W的RF能量來產生氧電漿。可對氧或其他氧源施加RF能量,所述氧或其他氧源僅在氧電漿脈衝期間流動、在子循環或甚至整個SiGeO沈積處理期間連續地流經反應腔室、及/或流經遠端的電漿產生器。因此,在一些實施例中,電漿是臨場(in situ)產生的,然而在其他實例中,電漿是遠端產生並被傳輸至反應空間的。
在使先前經吸附的分子單層與氧反應物(例如是氧電漿脈衝)完全反應的足夠的時間區間後,從反應空間移除任何過量的反 應物及反應副產物。如同移除第一反應物,此步驟可包括中止產生反應物種或中止提供反應物而繼續流動載氣(或者在一些實施例中為氧源氣體)持續一段時間期間,此時間期間足以使過量的反應物(例如是反應性的氧物種)、以及揮發性反應副產物從反應空間擴散出或被清潔。在一些實施例中,可使用獨立的清潔氣體。在一些實施例中,清潔可為約0.1至10秒,約0.1至約4秒或約0.1至約0.5秒。此外,氧反應物的提供及移除表示氧化鍺原子層沈積循環中的第二階段,且可被認為是氧化作用階段或鍺氧化作用階段。
兩階段一起表示一個氧化鍺ALD循環,氧化鍺ALD循環重複以形成一個或多個氧化鍺層。承上所述,雖然被稱作形成氧化鍺層,但在一些實施例中,例如是因為防止吸附至所有可得結合位置的立體相互作用,可在各循環中形成少於一個完整分子層。在其他實施例中,可為在各沈積循環中形成多於一個完整單層的反應條件。
雖然本文通常提到ALD循環是以鍺階段開始的,可預期的是,在其他實施例中,循環可以氧化作用階段開始。本領域具有通常知識者將理解的是,第一前驅物階段通常與先前循環中的最後階段所留下的結果反應。因此,若氧化作用階段為第一ALD循環中的第一階段,雖然沒有反應物可在先前被吸附在基板表面上或存在於反應空間中,但在後續循環中,氧化作用階段將有效地接在鍺相之後。
可優選地在連接至設備群集的反應器或反應空間中進行本文揭露的氧化鍺ALD處理。在設備群集中,因為各反應空間用於一個類型的處理,故各模組中的反應空間的溫度可維持固定,其相較於在各運作(run)前將基板加熱至處理溫度的反應器而言改善了產量。
根據一些實施例,藉由包括多個脈衝循環的ALD型處理來形成氧化鍺薄膜,各循環包括:脈衝經蒸氣化的第一Ge前驅物至反應腔室中以在基板上形成Ge前驅物的至多分子單層,清潔反應腔室以移除過量的Ge前驅物及反應副產物(若有的話),在基板上提供第二反應物的脈衝,清潔反應腔室以移除過量的第二反應物及在基板的第一表面上的Ge前驅物層與第二反應物的反應中所形成的任何氣體的副產物,且重複脈衝及清潔步驟直到已經形成所需厚度的氧化鍺薄膜為止。
根據一些實施例,藉由包括多個脈衝循環的ALD型處理來形成氧化鍺薄膜,各循環包括:使氧源氣體連續地流經包括基板的反應腔室,脈衝經蒸氣化的第一Ge前驅物至反應腔室中以在基板上形成Ge前驅物的至多分子單層, 清潔反應腔室以移除過量的Ge前驅物及反應副產物(若有的話),使用能量源(例如是RF電源)能量化流動氧源氣體持續一段時間段以產生反應性的氧物種,反應性的氧物種與基板上的鍺反應以形成氧化鍺,清潔反應腔室以移除過量的反應物及在基板的第一表面上的Ge前驅物層與反應性的氧物種的反應中所形成的任何氣體的副產物,且重複脈衝及清潔步驟直到已經形成所需厚度的氧化鍺薄膜為止。
在一些實施例中,利用具有連續流動氧源的上述程序,所述氧源亦可作用為清潔氣體。在一些實施例中,使用另外的氣體作為清潔氣體。在一些實施例中,在清潔鍺前驅物之後,氧源氣體不連續地流動但被脈衝至反應腔室中且被能量化。
在一些實施例中,從Ge前驅物及氧反應物(如水、臭氧、氧電漿、氧自由基、或氧原子)的交替且依序的脈衝來沈積氧化鍺(較佳為GeO2)。在一些實施例中,氧反應物不是水。在一些實施例中,Ge前驅物較佳包括Ge(OEt)4或TDMAGe。
在ALD型處理中所採用的Ge前驅物在標準狀況(室溫及大氣壓力)下可為固體的、液體的、或氣體的材料,限制條件是Ge前驅物在被導入反應腔室且與基板表面接觸前為蒸氣相。
舉例而言,對單一晶圓ALD反應器中的300mm晶圓來 說,Ge前驅物可經脈衝持續約0.05秒至約10秒,更佳持續約0.1秒至約5秒,且最佳持續約0.3秒至約3.0秒。含氧前驅物(例如氧電漿)較佳經脈衝持續約0.05秒至約10秒,更佳持續0.1秒至約5秒,最佳持續約0.2秒至約3.0秒。然而,在一些情況下,脈衝時間可為分鐘的級別。本領域具有通常知識者基於特定的環境可易於決定優選的脈衝時間。
承上所述,在一些實施例中,Ge前驅物為Ge(OEt)4或TDMAGe。以下描述在一些實施例中可使用的其他可能的鍺前驅物。在一些實施例中,Ge前驅物為Ge(OMe)4。在一些實施例中,Ge前驅物不為鹵化物。在一些實施例中,Ge前驅物可包括至少一個配位基上(但不在所有配位基上)的鹵素。在一些實施例中,Ge前驅物包括至少一個胺或烷基胺配位基,其例如是以下式(2)至式(6)及式(8)及式(9)中表示的彼等者。
氧源可為含氧氣體脈衝,且可為氧及非活性氣體(例如是氮或氬)的混合物。在一些實施例中,氧反應物可為分子含氧氣體脈衝。氧源氣體的較佳氧含量為約10%至約25%。因此,氧源的一者可為空氣。在一些實施例中,氧反應物為分子氧。在一些實施例中,氧反應物包括經活化或經激發的氧物種。在一些實施例中,氧反應物包括臭氧。氧反應物可為純的臭氧或臭氧、分子氧、以及另一氣體(舉例而言,例如是氮或氬的非活性氣體)的混合物。可藉由臭氧產生器產生臭氧,且臭氧最佳借助於一些種類的惰性氣體(例如氮)或借助於氧而被導入至反應空間中。在一些實施例 中,臭氧經提供為濃度約5體積%至約40體積%,較佳為約15體積%至約25體積%。在其他實施例中,氧反應物為氧電漿。
在一些實施例中,臭氧或臭氧及另一氣體的混合物經脈衝至反應腔室。在其他實施例中,例如經由電弧(arc)導入含氧氣體而在反應器內側形成臭氧。
在其他實施例中,在反應器中形成含氧電漿。在一些實施例中,可在基板的頂部或非常接近基板的地方臨場形成電漿。在其他實施例中,在遠端電漿產生器中在反應腔室的上游形成電漿,且電漿產物被導向至反應腔室而接觸基板。如本領域具有通常知識者將理解的是,在遠端電漿的情況下,可優選到基板的路徑,以最大化電中性物種及最小化到達基板之前的離子殘存物(ion survival)。
承上所述,在一些實施例中,氧源連續地流經反應器,且藉由使用RF能量或一些其他的電漿產生器能量化氧源來間歇地產生電漿。在一些實施例中,氧源僅間歇地經脈衝通過反應空間,且同時藉由電漿產生器能量化氧源,電漿產生器可位於反應空間中或上游。再者,在一些實施例中,在關閉產生器以清潔反應空間的任何過量的電漿或反應副產物之後,氧源間歇地經脈衝及藉由電漿產生器活化,且氧源也連續地流動持續一段時間區間。
在一些實施例中,在任何清潔步驟之前提供鍺前驅物及氧反應物。因此,在一些實施例中,提供鍺前驅物的脈衝、提供氧反應物的脈衝、以及從反應空間清潔任何未經反應的鍺及氧前 驅物。可使用鍺前驅物或氧反應物或兩者一起開始依序地提供鍺前驅物及氧反應物。在一些實施例中,同時提供鍺前驅物及氧反應物。氧前驅物與鍺前驅物的比可經選擇以得到經沈積薄膜中的氧化鍺的所需組成。
在一些實施例,氧反應物是除了水之外的氧反應物。因此,在一些實施例中,在用於沈積GeO2的任何ALD循環中不提供水。
在開始所述膜的沈積之前,典型地將基板加熱至如上所討論的適當沈積溫度。可視多種因素來變動較佳的沈積溫度,所述因素例如是(但不受限於)反應物前驅物、壓力、流動速率、反應器的配置、以及包括將要沈積在基板上的材料的性質的基板組成。
氧化矽子循環
在一些實施例中,在氧化矽子循環中首先提供矽前驅物。在最初的表面終止之後,若有必要或需要時,供應矽前驅物脈衝至工件。根據一些實施例,矽前驅物脈衝包括載氣流及與關注的工件表面及/或任何之前所沈積的層反應的揮發性矽物種(例如是像BDEAS的烷胺基矽化合物)。因此,矽前驅物吸附在工件表面及/或任何之前所沈積的層上。矽前驅物脈衝自我飽和工件表面,因此任何矽前驅物脈衝的過量成分不再與由此處理形成的分子層反應。
較佳以氣體的形式供應矽前驅物脈衝。矽前驅物氣體被 認為是「揮發性」,「揮發性」對本描述的目的來說,是物種在處理條件下展現足夠的蒸氣壓以將物種以足夠飽和經暴露表面的濃度傳輸至工件。
在一些實施例中,矽前驅物脈衝是約0.05至約5.0秒,約0.1至約3秒或約0.2至約1.0秒。
在用於將分子單層吸附在基板表面上的足夠時間後,接著從反應空間移除過量的矽前驅物。在一些實施例中,藉由中止矽化學流同時繼續流動載氣或清潔氣體持續足夠的時間以從反應空間擴散或清潔過量的反應物及反應物副產物(如果有的話)來清潔過量的矽前驅物。在一些實施例中,借助於氧氣(或另一清潔氣體)來清潔過量的矽前驅物,氧氣(或另一清潔氣體)在整個ALD循環中流動。
在一些實施例中,清潔第三或矽前驅物持續約0.1至約10秒,約0.3至約5秒或約0.3至約1秒。矽前驅物的提供及移除可被視為ALD循環的第三階段或矽階段。
在氧化矽子循環的第二階段中,經吸附的矽反應物與氧反應物反應以形成氧化矽。氧反應物可為(例如)水、臭氧、氧電漿、氧自由基、氧原子、H2O2、N2O、NO、NO2、CO2、氮氧化物NOx(其中x是約0.5至約3)、或已知其他在ALD處理中使用的氧反應物。在一些實施例中,提供反應物種(例如是氧電漿)至工件。在一些實施例中,在各氧化矽子循環期間(或甚至是整個SiGeO沈積處理中),氧源(例如是氧、O2)可連續流動至反應腔室。在其他實施例 中,間歇地提供氧源。可由反應腔室或反應腔室上游的氧源中產生電漿來形成氧電漿,例如是藉由使氧源流經遠端的電漿產生器。
在一些實施例中,氧反應物或氧源連續地流動,從而與矽反應物脈衝重疊。在一些實施例中,氧反應物或氧源經脈衝並且至少部分地與矽反應物脈衝重疊。
典型地說,提供氧反應物(例如氧電漿)持續約0.1至約10秒。在一些實施例中,提供氧反應物(例如氧電漿)持續約0.1至約10秒,0.5至約5秒或0.5至約2.0秒。然而,視反應器的類型、基板的類型及基板的表面積而定,清潔時間甚至可高於10秒。在一些實施例中,清潔時間可為分鐘的級別。本領域具有通常知識者基於特定的環境可易於決定優選的脈衝時間。
在一些實施例中,可施加約10W至約1000W,較佳為約30W至約500W,更佳為約50W至約300W的RF能量。可對氧或另一氧源施加RF能量,所述氧或另一氧源在氧電漿脈衝期間流動、連續地流經反應腔室、及/或流經遠端的電漿產生器。因此,在一些實施例中,電漿是臨場產生的,然而在其他實例中,電漿是遠端產生。
在使先前經吸附的分子單層與氧反應物(例如是氧電漿脈衝)完全飽和及反應的足夠的時間區間後,從反應空間移除任何過量的反應物及反應副產物。如同移除矽反應物,此步驟可包括中止產生反應物種或中止提供反應物而繼續流動載氣(在一些實施例中為氧源氣體)持續一段時間期間,此時間期間足以使過量的反 應物(例如是反應性的氧物種)、以及揮發性反應副產物從反應空間擴散出或被清潔。在一些實施例中,可使用獨立的清潔氣體。在一些實施例中,清潔可為約0.1至10秒,約0.1至約4秒或約0.1至約0.5秒。此外,氧反應物(例如是氧電漿)的提供及移除表示SiO2原子層沈積子循環中的第二階段。
承上所述,雖然被稱作形成氧化矽層,在一些實施例中,例如是因為防止吸附至所有可得結合位置的立體相互作用,可在一些循環中形成少於一個完整分子層。在其他實施例中,可為在各沈積循環中形成多於一個完整單層的反應條件。
重複SiO2子循環以形成氧化矽層。雖然本文通常提到子循環是以矽階段開始的,可預期的是,在其他實施例中,循環可以氧化作用階段開始。本領域具有通常知識者將理解的是,ALD處理的第一前驅物階段通常與先前循環中的最後階段所留下的結果反應。因此,若氧化作用階段為第一氧化矽子循環中的第一階段,雖然沒有矽反應物可在先前被吸附在基板表面上或存在於反應空間中,但在後續子循環中,氧化作用階段將有效地接在矽階段之後。
根據一些實施例,藉由包括多個脈衝循環的ALD型處理來形成氧化矽薄膜,各循環包括:脈衝經蒸氣化的第一Si前驅物至反應腔室中以在基板上形成Si前驅物的至多分子單層,清潔反應腔室以移除過量的Si前驅物及反應副產物(若 有的話),在基板上提供第二氧反應物的脈衝,清潔反應腔室以移除過量的第二反應物及在基板的第一表面上的Si前驅物層與第二反應物的反應中所形成的任何氣體的副產物,且重複脈衝及清潔步驟直到已經形成所需厚度的氧化矽薄膜為止。
根據一些實施例,藉由包括多個脈衝循環的ALD型處理來形成氧化矽薄膜,各循環包括:使氧源氣體連續地流經包括基板的反應腔室,脈衝經蒸氣化的第一Si前驅物至反應腔室中以在基板上形成Si前驅物的至多分子單層,清潔反應腔室以移除過量的Si前驅物及反應副產物(若有的話),使用能量源(例如是RF電源)能量化流動的氧持續一段時間段以產生反應性的氧物種,反應性的氧物種與經沈積的矽反應以形成氧化矽,清潔反應腔室以移除過量的反應物及在基板的第一表面上的Si前驅物層與反應性的氧物種的反應中所形成的任何氣體的副產物,且重複脈衝及清潔步驟直到已經形成所需厚度的氧化矽薄膜為止。
在一些實施例中,利用具有連續流動氧源的上述程序,所述氧源亦可作用為清潔氣體。在一些實施例中,使用另外的氣體做為清潔氣體。在一些實施例中,在清潔矽前驅物之後,氧源並不連續地流動但是經脈衝至反應腔室中且被能量化。
承上所述,各ALD循環的各脈衝或各階段較佳是自我限制的。在各階段中供應過量的反應物以飽和易感的(susceptible)結構表面。表面飽和確保反應物佔據所有可得的反應位置(舉例而言,受到實質上尺寸或「立體位阻(steric hindrance)」的限制),且因此確保優秀的階梯覆蓋。在一些實施例中,可減少一個或多個反應物的脈衝時間,以使得無法達成完全飽和且在基板表面上吸附少於一個分子層。
在ALD型處理中所採用的Si前驅物在標準狀況(室溫及大氣壓力)下可為固體的、液體的、或氣體的材料,限制條件是Si前驅物在被導入反應腔室且與基板表面接觸前為蒸氣相。以下提供一些例示性的矽前驅物。
舉例而言,對單一晶圓ALD反應器中的300mm晶圓來說,Si前驅物可經脈衝持續約0.05秒至約10秒,更佳持續約0.1秒至約5秒,且最佳持續約0.3秒至約3.0秒。含氧前驅物(例如氧電漿)較佳經脈衝持續約0.05秒至約10秒,更佳持續0.1秒至約5秒,最佳持續約0.2秒至約3.0秒。然而,在一些情況下,脈衝時間可為分鐘的級別。本領域具有通常知識者基於特定的環境可易於決定優選的脈衝時間。
氧源可為含氧氣體脈衝,且可為氧及非活性氣體(例如是氮或氬)的混合物。在一些實施例中,氧反應物可為分子含氧氣體脈衝。氧源氣體的較佳氧含量為約10%至約25%。因此,氧源的一者可為空氣。在一些實施例中,氧反應物為分子氧。在一些實施例中,氧反應物包括經活化或經激發的氧物種。在一些實施例中,氧反應物包括臭氧。氧反應物可為純的臭氧或臭氧、分子氧、以及另一氣體(舉例而言,例如是氮或氬的非活性氣體)的混合物。可藉由臭氧產生器產生臭氧,且臭氧較佳借助於一些種類的惰性氣體(例如氮)或借助於氧而被導入至反應空間中。在一些實施例中,臭氧經提供為濃度約5體積%至約40體積%,較佳為約15體積%至約25體積%。在其他實施例中,氧反應物為氧電漿。
在一些實施例中,臭氧或臭氧及另一氣體的混合物經脈衝至反應腔室。在其他實施例中,例如經由電弧導入含氧氣體,在反應器內側形成臭氧。
在其他實施例中,在反應器中形成含氧電漿。在一些實施例中,可在基板的頂部或非常接近基板的地方臨場形成電漿。在其他實施例中,在遠端電漿產生器中在反應腔室的上游形成電漿,且電漿產物被導向至反應腔室而接觸基板。如本領域具有通常知識者將理解的是,在遠端電漿的情況下,可優選到基板的路徑,以最大化電中性物種及最小化到達基板之前的離子殘存物。
承上所述,在一些實施例中,以包括鍺階段且接著為氧化作用階段的一個或多個氧化鍺子循環開始ALD處理,且依次地 為包括矽階段及矽氧化作用階段的一個或多個氧化矽子循環。然而,在其他實施例中,首先為包括矽階段及矽氧化作用階段的一個或多個氧化矽子循環,接著是包括鍺階段及鍺氧化作用階段的一個或多個氧化鍺子循環。舉例而言,在氧氣中氧電漿可臨場產生,所述氧氣連續地流經整個ALD循環或經間歇地提供及活化;或者,氧電漿可遠端地產生且被傳輸至反應空間。
在一些實施例中,在任何清潔步驟之前提供矽前驅及氧反應物。因此,在一些實施例中,提供矽前驅物的脈衝、提供氧前驅物的脈衝、以及從反應空間清潔任何未經反應的矽及氧前驅物。可使用矽或氧反應物或兩者一起開始依序地提供矽前驅物及氧前驅物。在一些實施例中,同時提供矽前驅物及氧前驅物。氧前驅物對矽前驅物的比可經選擇以得到經沈積薄膜中的氧化矽的所需組成。
藉由ALD沈積的混合金屬氧化物
本文揭露的SiGeO薄膜可被描述為混合金屬膜,其中矽及鍺被稱為第一金屬及第二金屬、或者第二金屬及第一金屬。想得到關於形成混合金屬膜的更多資訊、此些膜的優點、以及所需處理參數,請參照美國公開案第2012/0302055號,其全部的內容以參考方式併入。
如上所討論,在一些實施例中,藉由包括多個完整氧化矽鍺ALD循環(又稱為超循環)的原子層沈積(ALD)型處理來沈積 氧化矽鍺。各完整氧化矽鍺ALD循環包括用於形成高達單層氧化矽的第一沈積子循環、以及用於形成高達單層氧化鍺的第二沈積子循環。變動各完整氧化矽鍺ALD循環中的第一沈積子循環數及第二沈積子循環數以達成SiGeO膜的所需組成。此外,變動完整氧化矽鍺ALD循環數以沈積所需厚度的SiGeO膜。
可將鍺含量表示為膜中整體金屬含量的比例或百分比,例如是鍺/(矽+鍺)。舉例而言,在包括Ge及Si的混合金屬氧化物膜中,可將Ge含量表示為Ge/(Ge+Si)。在一些實施例中,鍺為混合金屬氧化物中的全部金屬的約0-10%、0-20%或約0-50%。
在一些實施例,在相同的溫度進行第一沈積子循環及第二沈積子循環。在一些實施例中,在相同的反應器中進行第一沈積子循環及第二沈積子循環。
以經選擇的比例提供第一沈積子循環及第二沈積子循環以沈積具有所需鍺矽比的氧化矽鍺膜。舉例而言,在一些實施例中,完整氧化矽鍺ALD處理中的氧化矽沈積子循環與氧化鍺沈積子循環的比例可為約1:20、約1:10、約1:6、約1:5、約1:4、約1:2、或者約1:1。在一些實施例中,各完整氧化矽鍺ALD循環中的氧化鍺沈積子循環與氧化矽沈積子循環的比例可為約1:20、約1:10、約1:6、約1:5、約1:4、約1:2、或者約1:1。在一些實施例中,在ALD處理中所進行的完整氧化矽鍺ALD循環的全部者中的氧化矽沈積子循環對氧化鍺沈積子循環的比例是相同的。在其他實施例中,可在不同的完整ALD循環中變 動氧化矽沈積子循環與氧化鍺沈積子循環的特定的比例。在一些實施例中,至少一完整循環可包括只沈積SiO2或只沈積GeO2。因此,在至少部分的膜中達成純的SiO2或純的GeO2是可能的。本領域具有通常知識者可選擇特定的比例,以在氧化矽鍺中提供所需量的鍺或矽。
在一些實施例,在各SiGeO循環中可重複氧化鍺子循環及氧化矽子循環中的一者或兩者兩次或更多次。舉例而言,在SiGeO循環中,可重複氧化矽子循環一次至十次,且可重複氧化鍺子循環一次至十次。可將氧化矽子循環數稱為a,而將氧化鍺子循環數稱為b。在一些實施例中,各SiGeO循環中的a為1至20或更多,且b是1至20或更多。在一些實施例中,各SiGeO循環中的a為1至10且b為1至10。舉例而言,在一個SiGeO循環中的氧化矽子循環與氧化鍺子循環的比例可為3:5。作為另一實例,在一個SiGeO循環中的氧化矽子循環與氧化鍺子循環的比可為5:3。
接著,在一些實施例中,ALD處理將包括多於氧化鍺沈積子循環的氧化矽沈積子循環。因此,所形成的氧化矽鍺將包括多於鍺的矽。在一些實施例中,氧化矽鍺中的全部的矽及鍺的至少80%、至少85%、至少90%、至少95%、至少97%、至少98%、至少99%或至少99.5%為矽。在一些實施例中,氧化矽鍺中的全部的矽及鍺的約30-80%、或約40-60%為鍺。
接著,在一些實施例中,ALD處理將包括多於氧化矽沈 積子循環的氧化鍺沈積子循環。因此,所形成的氧化矽鍺將包括多於矽的鍺。在一些實施例中,全部的矽及鍺的至少80%、至少85%、至少90%、至少95%、至少97%、至少98%、至少99%或至少99.5%為鍺。在一些實施例中,全部的矽及鍺的約30-80%、或約40-60%為矽。
圖1是大致說明根據一些實施例可被用來沈積SiGeO薄膜的完整SiGeO ALD沈積循環的流程圖。在一些實施例中,在一個或多個循環或子循環中沈積少於完整單層的膜。根據一實施例,藉由包括沈積循環100的ALD型處理在基板上形成SiGeO薄膜,沈積循環100具有至少一個氧化鍺沈積子循環110(或者,第一沈積子循環110)、以及至少一個氧化矽沈積子循環120(或者,第二沈積子循環120)。
至少一個氧化鍺沈積子循環110包括:以經蒸氣化的鍺化合物接觸基板以使得鍺化合物吸附在基板表面上;清潔反應空間的過量鍺反應物及反應副產物;以及提供氧反應物(例如是反應性的氧物種)至反應腔室,從而將經吸附的鍺化合物轉變為氧化鍺。
在一些實施例中,氧化鍺沈積子循環110連續地重複1、2、3、4、5、10、20、50、100或更多次。
各氧化矽沈積子循環120包括:以經蒸氣化的矽化合物接觸基板以使得矽化合物吸附在 基板表面上;清潔反應空間的過量的矽反應物及反應副產物;以及提供氧反應物(例如是反應性的氧物種)至反應腔室,從而將經吸附的矽化合物及氧化合物轉變為氧化矽。
在一些實施例中,氧化矽沈積子循環120連續地重複1、2、3、4、5、10、20、50、100或更多次。
在完整ALD循環100中,第一沈積子循環110及第二沈積子循環120重複預定次數,且重複完整ALD循環100,以形成所需厚度的混合金屬氧化物或SiGeO,其包括所需濃度的鍺或矽。
在一些實施例中,各循環100中的第一沈積子循環110及第二沈積子循環120的重複次數是相同的。在其他實施例中,在一個或多個完整ALD循環100中變動第一沈積子循環110及第二沈積子循環120的次數。可調整各完整ALD循環100中的第一沈積子循環110及第二沈積子循環120的次數、及第一沈積子循環110及第二沈積子循環120的全部次數、以及ALD循環100的全部次數,以達成所需厚度及組成的SiGeO薄膜的沈積。
在一些實施例中,第一氧反應物及第二氧反應物是相同的,然而在其他實施例中,第一氧反應物及第二氧反應物是不同的。在一些實施例中,所述氧反應物包括氧電漿及另一反應性的氧物種。
雖然被說明為以第一沈積子循環110開始,但各完整ALD循環100可以第一沈積子循環或第二沈積子循環開始及結束。舉 例而言,氧化矽鍺的成長可以使用氧化矽沈積子循環的氧化矽沈積開始,且可以使用氧化鍺沈積子循環的氧化鍺沈積結束。在一些實施例中,可沈積氧化鍺與氧化矽的交替層。
第一沈積子循環及第二沈積子循環的每一者典型地包括矽前驅物或鍺前驅物及氧反應物的交替脈衝。氧反應物脈衝可為(例如)含氧電漿。在一些實施例中,在反應器中形成氧電漿。在一些實施例中,可在基板的頂部或非常接近基板的地方臨場形成電漿。在其他實施例中,在遠端電漿產生器中在反應腔室的上游形成電漿,且電漿產物被導向至反應腔室而接觸基板。如本領域具有通常知識者將理解的是,在遠端電漿的情況下,可優選到基板的路徑以最大化電中性物種及最小化到達基板之前的離子殘存物。
雖然一些實施例被揭露為利用電漿,本領域具有通常知識者將理解的是,也可考慮非電漿處理且非電漿處理可易於被併入本揭露的方法中。舉例而言,在一些實施例中,子循環110包括依序地、同時地、或重疊地脈衝鍺源及氧反應物至反應空間中。可不使用電漿進行此子循環。相似地,在一些實施例中,子循環120包括依序地、同時地、或重疊地脈衝矽源及氧源至反應空間中。可不使用電漿進行此子循環。
再者,在一些實施例中,可對氧化矽層、氧化鍺層、或SiGeO膜進行電漿處理。亦即,在一個或多個氧化矽子循環後、一個或多個氧化鍺子循環後、或者一個或多個完整SiGeO循環 後,可提供電漿處理。在一些實施例中,電漿處理被應用至經沈積的SiGeO膜。
承上所述,在開始膜的沈積之前,將基板典型地加熱至適合的成長溫度。較佳地說,成長溫度小於約500℃,更佳為小於約450℃。在一些實施例中,所述溫度是約50℃至約450℃。在一些實施例中,所述溫度是約50℃、約200℃、約300℃、或約400℃。可視多種因素來變動較佳的沈積溫度,所述因素例如是(但不受限於)反應物前驅物、壓力、流動速率、反應器的配置、以及包括將要沈積在基板上的材料的性質的基板組成。本領域具有通常知識者可基於特定環境選擇特定的成長溫度。
處理時間是部分地視將要製造的層的厚度、膜的組成、各個沈積子循環的成長速率來決定的。
圖2是大致說明根據一些實施例可被用來沈積SiGeO薄膜的完整SiGeO ALD沈積循環的流程圖。根據一實施例,藉由包括至少一個完整SiGeO沈積循環200及至少一個完整SiGeO沈積循環210的ALD型處理在基板上形成SiGeO薄膜。沈積循環200可進行一次或可重複任意次數,沈積循環210亦可進行僅一次或重被任意次數。沈積循環200及沈積循環210的進行次數可為相同的或不同的。此外,在循環200及210的每一者中,可重複沈積循環220、230、240及250一次、兩次或更多次。
沈積循環200包括一個或多個氧化鍺沈積子循環220及一個或多個氧化矽沈積子循環230。子循環220及230可在所需的 比例下重複,以得到具有所需性質的膜。氧化鍺沈積子循環220包括:以經蒸氣化的鍺化合物接觸基板,以使得鍺化合物吸附在基板表面上;提供氧反應物至反應空間,從而將所吸附的鍺化合物轉變為氧化鍺。
在一些實施例中,所述氧反應物包括氧電漿。在一些實施例中,沒有電漿產生。在一些實施例中,氧源可在整個循環連續地流動,伴隨著在適當的時間形成的氧電漿,以將所吸附的鍺化合物轉變氧化鍺。
氧化矽沈積子循環230包括:以經蒸氣化的矽化合物接觸基板以使得矽化合物吸附在基板表面上;提供氧反應物至反應空間,從而將所吸附的矽化合物轉變為氧化矽。
在一些實施例中,所述氧反應物包括氧電漿。在一些實施例中,沒有電漿產生。在一些實施例中,氧源可在整個循環連續地流動,伴隨著在適當的時間形成的氧電漿,以將矽化合物及氧前驅物轉變氧化矽。
就像可獨立於變動沈積循環210的次數而變動沈積循環200的次數一樣,在進行氧化矽沈積子循環230之前可進行任意次數的氧化鍺沈積子循環220,且可獨立於進行氧化鍺沈積子循環 220的次數而變動進行氧化矽沈積子循環230的次數。
相似於沈積循環200,沈積循環210包括氧化鍺沈積子循環240及氧化矽沈積子循環250。如同氧化鍺沈積子循環220,可以所需的比例進行子循環240及250。亦即,在進行氧化矽沈積子循環250之前,子循環240可重複任意次數。此外,可獨立於進行子循環240的次數而變動子循環250。
圖3是大致說明根據一些實施例可被用來沈積SiGeO薄膜的SiGeO ALD沈積循環的流程圖。根據一實施例,藉由包括至少一個完整SiGeO沈積循環300及至少一個完整SiO2/GeO2沈積循環310的ALD型處理在基板上形成SiGeO薄膜。除了沈積循環300將子循環的順序相反之外,圖3所說明的處理與圖2所說明的處理非常相似,在圖3的子循環順序中,氧化矽沈積子循環320在氧化鍺子循環330之前。在進行本身可重複任意次數的子循環330之前,可重複子循環320任意次數。SiGeO沈積循環310與循環210相似,其中氧化鍺沈積子循環340在氧化矽沈積子循環350之前。
圖4是大致說明根據一些實施例可被用來沈積SiGeO薄膜的SiGeO ALD沈積循環的流程圖。根據一實施例,藉由包括至少一個完整SiGeO沈積循環400及至少一個完整SiGeO沈積循環410的ALD型處理在基板上形成SiGeO薄膜。除了沈積循環410將子循環的順序相反之外,圖4所說明的處理與圖3所說明的處理非常相似,在圖4的子循環順序中,氧化矽沈積子循環440在 氧化鍺子循環450之前。在進行本身可重複任意次數的子循環450之前,可重複子循環440任意次數。SiGeO沈積循環400與循環300相似,其中氧化矽沈積子循環420在氧化鍺沈積子循環430之前。
圖5是大致說明根據一些實施例可被用來沈積SiGeO薄膜的SiGeO ALD沈積循環的流程圖。根據一實施例,藉由包括至少一個完整SiGeO沈積循環500及至少一個完整SiGeO沈積循環510的ALD型處理在基板上形成SiGeO薄膜。除了沈積循環510將子循環的順序相反之外,圖5所說明的處理與圖3所說明的處理非常相似,在圖5的子循環順序中,氧化矽沈積子循環540在氧化鍺子循環550之前。在進行本身可重複任意次數的子循環550之前,可重複子循環540任意次數。SiO2/GeO2沈積循環500與循環300相似,其中氧化矽沈積子循環520在氧化鍺沈積子循環530之前。
承上所述,在一些實施例中,基板可同時與多個反應物接觸,然而在其他實施例中,這些反應物被分開地或重疊地提供。在一些實施例中,允許一個或多個反應物在反應空間中分解或至少部分地分解。
重複各種接觸步驟直到得到所需厚度的薄膜為止。在各接觸步驟之後,可從反應空間清潔過量的反應物。
例示性反應程序
圖6A是大致說明根據一些實施例用於形成SiGeO薄膜的SiGeO ALD循環的實施例中的多個反應物的脈衝程序的圖表。根據此些實施例,藉由包括多個循環600的ALD型處理在基板上形成SiGeO薄膜,各循環600包括氧化鍺沈積子循環610及氧化矽沈積子循環620,其各自在已進行任意次數的另一者之前或之後可重複任意次數。
氧化鍺沈積子循環610包括以下程序:在循環600的期間,氧源(「氧前驅物」)及清潔氣體兩者流經反應空間;在時間T1時,脈衝鍺前驅物至反應空間中;在時間T2時,中止鍺前驅物流動至反應空間中;在時間T2與T3之間,藉由氧前驅物及清潔氣體兩者的流動從反應空間移除過量的鍺前驅物及反應副產物;在時間T3時,打開電漿產生器;在時間T4時,關閉電漿產生器;以及在時間T4與T5之間,藉由氧前驅物及清潔氣體兩者的流動從反應空間移除由電漿產生器製造的過量的反應物種及反應副產物。
在進行子循環620之前,可重複此子循環610的程序任意次數。
氧化矽沈積子循環620包括以下程序:在時間T5時,脈衝矽前驅物至反應空間中; 在時間T6時,中止矽前驅物流動至反應空間中;在時間T6與T7之間,藉由氧前驅物及清潔氣體兩者的流動從反應空間移除過量的矽前驅物及反應副產物;在時間T7時,打開電漿產生器;在時間T8時,關閉電漿產生器;以及在時間T8之後,藉由氧前驅物及清潔氣體兩者的流動從反應空間移除由電漿產生器製造的過量的反應物種及反應副產物。
在完成循環600之前,可重複此子循環620的程序任意次數。重複循環600直到在基板表面上達成所需厚度及組成的薄膜為止。可變動在循環600中重複子循環610及子循環620的次數。
圖6B是大致說明根據一些實施例用於形成SiGeO薄膜的SiGeO ALD循環中的多個反應物的脈衝程序的圖表。根據此些實施例,藉由包括多個循環630的ALD型處理在基板上形成SiGeO薄膜,各循環630包括鍺沈積子循環640及氧化矽沈積子循環650,其各自在已進行任意次數的另一者之前或之後可重複任意次數。
鍺沈積子循環640包括以下程序:在循環630的期間,氧前驅物及清潔氣體兩者流經反應空間;在時間T1時,脈衝鍺前驅物至反應空間中; 在時間T2時,中止鍺前驅物流動至反應空間中;在時間T2與T3之間,藉由氧前驅物及清潔氣體兩者的流動從反應空間移除過量的鍺前驅物及反應副產物。
在進行子循環650之前,可重複此子循環640的程序任意次數。
氧化矽沈積子循環650包括以下程序:在時間T3時,脈衝矽前驅物至反應空間中;在時間T4時,中止矽前驅物流動至反應空間中;在時間T4與T5之間,藉由氧前驅物及清潔氣體兩者的流動從反應空間移除過量的矽前驅物及反應副產物;在時間T5時,打開電漿產生器;在時間T6時,關閉電漿產生器;以及在時間T6之後,藉由氧前驅物及清潔氣體兩者的流動從反應空間移除由電漿產生器製造的過量的反應物種及反應副產物。
在完成循環630之前,可重複子循環650的程序任意次數。重複循環630直到在基板表面上達成所需厚度及組成的薄膜為止。在一個或多個循環630中,可變動重複子循環650及子循環640的次數。
圖6C是大致說明根據一些實施例用於形成SiGeO薄膜的SiGeO ALD循環中的多個反應物的脈衝程序的圖表。根據此些實施例,藉由包括多個循環660的ALD型處理在基板上形成SiGeO 薄膜,各循環660包括鍺沈積子循環670及氧化矽沈積子循環680,其各自在已進行任意次數的另一者之前或之後可重複任意次數。
鍺沈積子循環670包括以下程序:在循環670的期間,氧前驅物及清潔氣體兩者流經反應空間;在時間T1時,脈衝鍺前驅物至反應空間中;在時間T2時,中止鍺前驅物流動至反應空間中;在時間T2與T3之間,藉由氧前驅物及清潔氣體兩者的流動從反應空間移除過量的鍺前驅物及反應副產物。
在進行子循環680之前,可重複此子循環670的程序任意次數。
氧化矽沈積子循環680包括以下程序:在時間T3時,脈衝矽前驅物至反應空間中;在時間T4時,中止矽前驅物流動至反應空間中;在時間T4與T5之間,藉由氧前驅物及清潔氣體兩者的流動從反應空間移除過量的矽前驅物及反應副產物。
在完成循環660之前,可重複子循環680的程序任意次數。重複循環660直到在基板表面上達成所需厚度及組成的薄膜為止。可變動在一個或多個循環660中重複子循環670及子循環680的次數。
圖7A是大致說明根據一些實施例用於形成SiGeO薄膜 的SiGeO ALD循環中的多個反應物的脈衝程序的圖表。根據此些實施例,藉由包括多個循環700的ALD型處理在基板上形成SiGeO薄膜,各循環700包括氧化鍺沈積子循環710及氧化矽沈積子循環720,其各自在已進行任意次數的另一者之前或之後可重複任意次數。在一個或多個循環700中,可變動各子循環的重複次數。
氧化鍺沈積子循環710包括以下程序:在時間T1時,脈衝鍺前驅物至反應空間中;在時間T2時,中止鍺前驅物流動至反應空間中,且清潔氣體流經反應空間以從反應空間移除過量的鍺前驅物及反應物副產物;在時間T3時,中止清潔氣體的流動,且氧源(「氧前驅物」)流經反應空間且打開電漿產生器,以從氧前驅物產生產生氧自由基;以及在時間T4時,中止氧前驅物的流動且關閉電漿產生器,且清潔氣體流經反應空間以從反應空間移除過量的反應物種及反應物副產物。
在進行子循環720之前,可重複此子循環710的程序任意次數。
氧化矽沈積子循環720包括以下程序:在時間T5時,脈衝矽前驅物至反應空間中;在時間T6時,中止矽前驅物流動至反應空間中,且清潔氣體流經反應空間以從反應空間移除過量的矽前驅物及反應物副 產物;在時間T7時,中止清潔氣體的流動,且氧前驅物流經反應空間且打開電漿產生器,以從氧前驅物產生氧自由基;以及在時間T8時,中止氧前驅物的流動且關閉電漿產生器,且清潔氣體流經反應空間以從反應空間移除過量的反應物種及反應物副產物。
在完成循環700之前,可重複此子循環720的程序任意次數。重複循環700直到在基板表面上達成所需厚度及組成的薄膜為止。
圖7B是大致說明根據一些實施例用於形成SiGeO薄膜的SiGeO ALD循環中的多個反應物的脈衝程序的圖表。根據此些實施例,藉由包括多個循環705的ALD型處理在基板上形成SiGeO薄膜,各循環705包括氧鍺沈積子循環715及氧化矽沈積子循環725,其各自在已進行任意次數的另一者之前或之後可重複任意次數。在一個或多個循環705中,可變動子循環715及725的次數。
氧化鍺沈積子循環715包括以下程序:在時間T1時,脈衝鍺前驅物至反應空間中;在時間T2時,中止鍺前驅物流動至反應空間中,且清潔氣體流經反應空間以從反應空間移除過量的鍺前驅物及反應物副產物;在時間T3時,中止清潔氣體的流動,且氧前驅物流經反應空間並與所沈積的鍺前驅物反應;以及 在時間T4時,中止氧前驅物的流動,且清潔氣體流經反應空間以從反應空間移除過量的氧前驅物及反應副產物。
在進行子循環725之前,可重複此子循環715的程序任意次數。
氧化矽沈積子循環725包括以下程序:在時間T5時,脈衝矽前驅物至反應空間中;在時間T6時,中止矽前驅物流動至反應空間中,且清潔氣體流經反應空間以從反應空間移除過量的矽前驅物及反應物副產物;在時間T7時,中止清潔氣體的流動,且氧前驅物流經反應空間並與所沈積的矽前驅物反應;以及在時間T8時,中止氧前驅物的流動,且清潔氣體流經反應空間以從反應空間移除過量的氧前驅物及反應物副產物.
在完成循環705之前,可重複子循環725的程序任意次數。重複循環705直到在基板表面上達成所需厚度及組成的薄膜為止。
圖7C是大致說明根據一些實施例用於形成SiGeO薄膜的SiGeO ALD循環中的多個反應物的脈衝程序的圖表。根據此些實施例,藉由包括多個循環730的ALD型處理在基板上形成SiGeO薄膜,各循環730包括氧化鍺沈積子循環740及氧化矽沈積子循環750,其各自在已進行任意次數的另一者之前或之後可重複任意次數。可變動在各循環730中重複子循環740及750的次數。
氧化鍺沈積子循環740包括以下程序:在循環730的期間,清潔氣體流經反應器空間;在時間T1時,脈衝鍺前驅物至反應空間中;在時間T2時,中止鍺前驅物流動至反應空間中,且藉由連續流動清潔氣體從反應空間移除過量的鍺前驅物及反應物副產物;在時間T3時,氧源(「氧前驅物」)流經反應空間且打開電漿產生器,以從氧前驅物產生氧自由基;以及在時間T4時,中止氧前驅物的流動且關閉電漿產生器,且藉由連續流動清潔氣體從反應空間移除過量的反應物種及反應物副產物。
在進行子循環750之前,可重複此子循環740的程序任意次數。
氧化矽沈積子循環750包括以下程序:在時間T5時,脈衝鍺前驅物至反應空間中;在時間T6時,中止鍺前驅物流動至反應空間中,且藉由連續續動清潔氣體從反應空間移除過量的鍺前驅物及反應物副產物;在時間T7時,氧前驅物流經反應空間且打開電漿產生器,以從氧前驅物產生氧自由基;以及在時間T8時,中止氧前驅物的流動且關閉電漿產生器,且藉由連續流動清潔氣體從反應空間移除過量的反應物種及反應 物副產物。
在完成循環730之前,可重複子循環750的程序任意次數。重複循環730直到在基板表面上達成所需厚度及組成的薄膜為止。
圖7D是大致說明根據一些實施例用於形成SiGeO薄膜的SiGeO ALD循環中的多個反應物的脈衝程序的圖表。根據此些實施例,藉由包括多個循環760的ALD型處理在基板上形成SiGeO薄膜,各循環760包括氧化鍺沈積子循環770及氧化矽沈積子循環780,其各自在已進行任意次數的另一者之前或之後可重複任意次數。可變動在一個或多個循環760中實行子循環770及780的次數。
氧化鍺沈積子循環770包括以下程序:在循環790的期間,氧源(「氧前驅物」)流經反應器空間;在時間T1時,脈衝鍺前驅物至反應空間中;在時間T2時,中止鍺前驅物流動至反應空間中,且藉由連續流動氧反應物氣體從反應空間移除過量的鍺前驅物及反應物副產物;在時間T3時,打開電漿產生器,以從氧源氣體產生氧自由基,氧源氣體連續流經反應空間;以及在時間T4時,關閉電漿產生器,且藉由連續流動氧源氣體從反應空間移除過量的反應物種及反應物副產物。
在進行子循環780之前,可重複此子循環770的程序任 意次數。
氧化矽沈積子循環780包括以下程序:在整個循環790的期間,氧源氣體流經反應器空間;在時間T5時,脈衝矽前驅物至反應空間中;在時間T6時,中止矽前驅物流動至反應空間中,且藉由連續流動氧反應物氣體從反應空間移除過量的矽前驅物及反應物副產物;在時間T7時,打開電漿產生器,以從氧源氣體產生氧自由基,氧源氣體連續流經反應空間;以及在時間T7時,關閉電漿產生器,且藉由連續流動氧源氣體從反應空間移除過量的反應物種及反應物副產物。
在完成循環760之前,可重複子循環780的程序任意次數。重複循環760直到在基板表面上達成所需厚度及組成的薄膜為止。
承上所示,在一些實施例中,氧源氣體可連續在整個循環中流動,伴隨著間歇地形成的氧電漿,以分別將所吸附的矽化合物或鍺化合物轉變為氧化矽及氧化鍺。在一些實施例中,遠端地產生氧電漿,且提供氧電漿至反應空間。
承上所討論,沈積處理典型地包括多個ALD沈積循環。在一些實施例中,在每個沈積循環中提供氧反應物。然而,在其他實施例中,可只在部分的沈積循環中提供氧反應物。
承上所討論,氧化矽沈積子循環與氧化鍺子循環的比例 可經選擇以控制藉由PEALD處理所沈積的最終膜的組成。舉例而言,對於低的鍺密度來說,鍺子循環與矽子循環的比例可為1:20的級別。對較高的鍺濃度來說,所述比例的範圍可高達至約1:1或更高,更高的範圍例如是2:1、4:1、6:1、10:1、15:1、20:1等等。亦可藉由控制基板暴露在各反應物的時間決定所產生的薄膜的組成。
藉由控制鍺前驅物循環與矽前驅物循環的比例,鍺濃度可被控制於約0鍺原子至約2.43×1022/cm3鍺原子的密度範圍。舉例而言,可藉由SIMS(二次離子探針質譜;secondary-ion-probe mass spectrometry)來量測密度。
此外,在沈積處理期間,藉由改變鍺前驅物循環與矽前驅物循環的比例,可在所述膜的整個厚度中變動鍺密度。舉例而言,可靠近基板表面提供高的鍺密度(低的矽前驅物循環與鍺前驅物循環的比例),靠近基板表面例如是靠近矽表面(相應於SiGeO表面的底部),而遠離基板的頂表面處的鍺密度可為低的(較高的矽前驅物循環與鍺前驅物循環的比例)。在其他實施例中,高密度的鍺可提供於頂表面(靠近基板表面具有低密度)。
調整子循環的比例的方式繪示於圖2中,圖2表示至少兩個循環(循環200及循環210),兩個循環各包括至少兩個子循環。在靠近基板表面需要較高密度的鍺的地方,循環200可包括高於氧化矽沈積子循環230次數的氧化鍺沈積子循環220次數。可重複循環200(具有較高的鍺含量)所需的次數,隨後再開始循環 210。與循環200相反的是,循環210可包括相對少於氧化矽沈積子循環250的氧化鍺沈積子循環240。接著,重複循環210所需的次數。在完成循環210之後,可開始一個或多個另外的循環。以此方法在所產生的薄膜中達成鍺或矽的梯度濃度。
沈積溫度維持在反應物的熱分解溫度以下,但在足夠高的程度以避免反應物凝結及提供用於所需表面反應的活化能。當然,用於任何已知的ALD反應的適合溫度窗將視所涉及的表面結果及反應物物種而定。
可在寬範圍的壓力條件下進行沈積處理,但是較佳在低壓下操作處理。反應腔室中的壓力典型地為約0.1Pa至50000Pa或更高。然而,在一些情況下,如本領域具有通常知識者可易於決定的,壓力將比上述範圍更高或更低。單一晶圓反應器中的壓力較佳維持在約50Pa與1000Pa之間,較佳為約100Pa與600Pa之間,且更佳為約150Pa及500Pa之間。在一些實施例中,批次ALD反應器中的壓力較佳維持在約0.1Pa與70Pa之間,更佳為約4Pa與約25Pa之間。
反應物源溫度(例如是矽源溫度或鍺源溫度)較佳設定在上述沈積溫度或基板溫度以下。這是基於若在基板溫度下源化學蒸氣的分壓超過凝結限(condensation limit)則會使得薄膜的經控制的層與層成長受到損害的事實。
在一些實施例中,矽源溫度及鍺源溫度為約20℃至約150℃或約20℃至約100℃。在一些實施例中,矽源溫度及鍺源溫 度為約20℃或約70℃。舉例而言,在一些單一晶圓處理中,矽源及鍺源可為約室溫至約100℃之間。矽源及鍺源可為約相同的溫度或不同的溫度。
在一些實施例中,薄膜(例如包括SiGeO的薄膜)的成長速率較佳為約0.8至約2.0Å/循環。在其他實施例中,成長速率為約1.0至約1.5Å/循環。在一些實施例中,氧化鍺子循環的成長速率為約0.2至0.6Å/循環之間,而氧化矽子循環的成長速率為約0.4至0.8Å/循環之間。在一些實施例中,氧化鍺子循環的成長速率及氧化矽子循環的成長速率分別為0.4及0.6Å/循環。
在一些實施例中,經沈積的薄膜(例如是SiGeO薄膜)是沈積在三維結構上,且階梯覆蓋大於約80%、大於約90%、大於約95%,或約100%的階梯覆蓋。
在一些實施例中,薄膜(例如是SiGeO膜)經沈積而厚度為5nm或更低、或者10nm或更低。然而,在一些情形下,可沈積厚度更高的SiGeO膜,其厚度例如是10nm或更高、30nm或更高、50nm或更高或甚至100nm或更高。本領域具有通常知識者可基於特定的環境選擇特定的厚度。
濕蝕刻速率
根據一些實施例,可沈積具有多種WER的矽鍺膜。當在0.5%的稀釋氫氟酸(dHF)中使用毯式濕蝕刻(blanket wet etching)時,矽鍺膜的濕蝕刻速率(WER)值可介於4nm/min至1000nm/min 之間。當在0.1%的稀釋氫氟酸中使用毯式濕蝕刻時,矽鍺膜的WER值可介於1nm/min至700nm/min之間。一些實施例的相對於熱氧化物的WER(亦即,WERR)為介於約2至450之間。
在具有較高的鍺濃度的一些實施例中,達成較高的WERR。可藉由相對於氧化矽子循環次數增加氧化鍺子循數次數來達成上述結果。舉例而言,一些SiGeO膜的WERR可大於約20、40、60、80、100等等。
在具有較低的鍺濃度的一些實施例中,達成較低的WERR。可藉由相對於氧化鍺子循環次數增加氧化矽子循數次數來達成上述結果。舉例而言,一些SiGeO膜的WERR可小於約10、6、5、4、或3。
在一些實施例中,氧化矽鍺膜的沈積方法包括:將基板承載至反應空間中,所述基板包括至少一個三維特徵;沈積氧化矽及氧化鍺的交替層以形成氧化矽鍺薄膜。在一些實施例中,在三維特徵上,所述膜的階梯覆蓋大於約50%。在一些實施例中,在0.1%的DHF水溶液中,氧化矽鍺膜的WER介於約3nm/min至約700nm/min之間。在一些實施例中,三維結構側壁上的氧化矽鍺膜的蝕刻速率與三維結構頂表面上的蝕刻速率的比例介於約2至約450之間。在一些實施例中,階梯覆蓋至少為約80%、90%、或95%。
矽源材料
通常來說,源材料(例如是矽源材料及鍺源材料)較佳經選擇以具有足夠的蒸氣壓、在基板溫度下具有足夠的熱穩定性、以及具有足夠的化合物反應性以實現ALD沈積。「足夠的蒸氣壓」典型地以氣相供應足夠的源化學分子至基板表面,從而在表面上以所需速率產生自我飽和反應。「足夠的熱穩定性」典型地是指源化學本身並不會經由熱分解而在表面上形成擾亂成長凝結相(growth-disturbing condensable phase)、或者在基板表面上留下有害等級的雜質。換句話說,將溫度維持在被選擇的反應物蒸氣的凝結限以上及分解限制以下。目的之一是避免基板上的分子未經控制的凝結。「足夠的反應性」典型地造成足夠短的脈衝自我飽和,以允許商業上可接受的生產時間(throughput time)。進一步的選擇標準(selection criteria)包括高純度化學品的可得性以及化學品的處理容易性。
在一些實施例中,矽前驅物為氨基矽烷(aminosilane)或胺基矽烷(aminesilane)。
在一些實施例中,矽前驅物包括氨基矽烷,其中矽鍵結至一個氮原子及三個氫原子。舉例而言,矽前驅物可包括二烷基胺基矽烷((R2N)Si-H3)。
在一些實施例中,矽前驅物包括矽胺(silicon amine),其中矽鍵結至兩個氮原子及兩個氫原子。舉例而言,矽前驅物可包括雙(二烷基胺基)矽烷((R2N)2Si-H2)。在一些實施例中,矽前驅物包括BDEAS(雙(二乙基氨基)矽烷;bis(diethylamino)silane)。
在一些實施例中,矽前驅物包括矽胺,其中矽鍵結至三個氮原子及一個氫原子。舉例而言,矽前驅物可包括三(二烷基胺基)矽烷((R2N)3Si-H1)。
在一些實施例中,矽前驅物包括矽胺,其中矽鍵結至四個氮原子。舉例而言,矽前驅物可包括四(二烷基胺)矽烷((R2N)4Si)。
在一些實施例中,所使用的有機化合物具有Si-Si鍵結及直接附加至矽(至一個或多個矽)的NHx基團、或附加至矽上所附加的碳鏈的NHx基團。在一些實施例中矽前驅物可包括氨基二矽烷,其例如是六(乙基氨基)二矽烷。在一些實施例中,矽化合物可具有以下式子:RIII 3-x(RIIRIN)xSi-Si(N-RIRII)yRIII 3-y,其中x選自1至3;y選自1至3;RI選自由氫、烷基、以及經取代烷基組成的群組;RII選自由烷基、以及經取代烷基組成的群組;且RIII選自由氫、氫氧基(-OH)、氨基(-NH2)、烷氧基、烷基、以及經取代烷基組成的群組;且其中各x、y、RIII、RII及RI可獨立於彼此被選擇。
在一些實施例,矽化合物是六(單烷基氨基)二矽烷:(RII-NH)3Si-Si(NH-RII)3
在其他實施例中,矽化合物是(CH3-O)3Si-Si(O-CH3)3
在一些實施例中,矽源是選自以下:(SiH3)3N;(SiH3)2;(SiH3)2NMe;(SiH3)2NEt;(SiH3)2N(iPr);(SiH3)2N(tBu);SiH3NEt2;SiH3N(iPr)2;SiH3N(tBu)2;SiH2(NEt2)2;SiH2(NMe2)2;SiH2(NHtBu)2;SiH2(NHSiMe3)2;Si(OEt)4;SiCl4;Si2Cl6;SiH2Cl2;SiH(N(Me)2)3;SiH2[N(Et)(Me)]2;Si2(NHEt)6;Si(NHEt)4;以及Si3H8
鍺源材料
在ALD處理中可使用數個不同的Ge前驅物。在一些實施例中,Ge前驅物是四價(亦即,Ge具有+IV的氧化態)。在一些實施例中,Ge前驅物不是二價(亦即,Ge不具有+II的氧化態)。在一些實施例中,Ge前驅物可包括至少一個烷氧化物(alkoxide)配位基。在一些實施例中,Ge前驅物可包括至少一個胺或烷基胺配位基。在一些實施例中,Ge前驅物是金屬有機(metal-organic)化合物或有機金屬(organometallic)化合物。在一些實施例中,Ge前驅物包括至少一個鹵化物配位基。在一些實施例中,前驅物不包括鹵化物配位基。
一些實施例中,Ge前驅物在室溫下(例如約20℃)不是固體。
舉例而言,在一些實施例中,可使用以下式(1)至式(9)的Ge前驅物。
(1)GeOR4
其中R可獨立地選自由烷基及經取代烷基組成的群組。
(2)GeRxA4-x
其中x是1至4的整數;R是有機配位基且可獨立地選自由烷氧化物、烷基矽烷基、烷基、經取代烷基、烷基胺組成的群組;且A可獨立地選自由烷基、經取代烷基、烷氧化物、烷基矽烷基、烷基、烷基胺、鹵化物、以及氫組成的群組。
(3)Ge(OR)xA4-x
其中x是1至4的整數;R可獨立地選自由烷基及經取代烷基組成的群組;且A可獨立地選自由烷基、烷氧化物、烷基矽烷基、烷基經取代烷基、烷基胺、鹵化物、以及氫組成的群組。
(4)Ge(NRIRII)4
其中RI可獨立地選自由氫、烷基及經取代烷基組成的群組;且RII可獨立地選自由烷基及經取代烷基組成的群組。
(5)Ge(NRIRII)xA4-x
其中x是1至4的整數; RI可獨立地選自由氫、烷基及經取代烷基組成的群組;且RII可獨立地選自由烷基及經取代烷基組成的群組;A可獨立地選自由烷基、烷氧化物、烷基矽烷基、經取代烷基、烷基胺、鹵化物及氫組成的群組。
(6)Gen(NRIRII)2n+2
其中n是1至3的整數;RI可獨立地選自由氫、烷基及經取代烷基組成的群組;且RII可獨立地選自由烷基及經取代烷基組成的群組。
(7)Gen(OR)2n+2
其中n是1至3的整數;且其中R可獨立地選自由烷基及經取代烷基組成的群組。
(8)GenR2n+2
其中n是1至3的整數;且R是有機配位基,且可獨立地選自由烷氧化物、烷基矽烷基、烷基、經取代烷基、烷基胺組成的群組。
(9)A3-xRxGe-GeRyA3-y
其中x是1至3的整數;y是1至3的整數;R是有機配位基,且可獨立地選自由烷氧化物、烷基矽烷基、烷基、經取代烷基、烷基胺組成的群組;且A可獨立地選自由烷基、烷氧化物、烷基矽烷基、經取代烷基、烷基胺、鹵化物、以及氫組成的群組。
對上述所有式子來說,R的優選方案包括(但不受限於)甲基、乙基、丙基、異丙基、正丁基、異丁基、第三丁基,更佳是乙基及甲基。在一些實施例中,R的優選方案包括(但不受限於)C3-C10的烷基、烯基、及炔基及它們的經取代形式,更佳是C3-C6的烷基、烯基、及炔基及它們的經取代形式。
在一些實施例中,Ge前驅物包括一個或多個鹵化物。較佳的是前驅物包括1、2或3個鹵化物配位基。然而,承上所述,在一些實施例中,ALD處理中使用的Ge前驅物不包括鹵化物。
在一些實施例中,包括烷氧化物的Ge前驅物不與水組合使用在ALD處理中。在其他實施例中,胺/烷基胺(alkylamine)或含Ge-N鍵結的Ge前驅物可與水組合使用。較佳的烷基胺Ge前驅物包括(但不受限於)四(二甲基胺基)鍺(TDMAGe)、四(二乙基胺基)鍺(TDEAGe)、以及四(乙基甲基胺基)鍺(TEMAGe)。在一些實施例中,Ge前驅物為TDMAGe。在一些實施例中,所述前驅物為TDEAGe。在一些實施例中,所述前驅物為TEMAGe。
根據一些實施例,鍺前驅物選自由以下:TDMAGe、Ge(NMe2)4;TEMAGe、Ge(NEtMe)4;Ge(NEt2)4、iPr3GeCl、iPr3GeNMe2、iPr3GeNEtMe、以及iPr3G。
其他材料
在一些實施例中,氧反應物氣體可選自由以下:O2、O3、H2O、H2O2、N2O、NO、NO2、CO2、從上述的一者或多者產生的電漿或其組合。
承上所討論,在一些實施例中,使用氧電漿做為用於形成氧化矽及/或氧化鍺的反應性氧反應物物種。可在反應腔室中產生氧電漿,例如是從流動至反應腔室中的O2產生氧電漿。在一些實施例中,氧電漿是產生在鄰近基板的地方,例如在基板上方。在一些實施例中,氧電漿是產生在鄰近基板以外的地方。舉例而言,可遠端地、在反應腔室外側產生氧電漿。在一些實施例中,使用熱ALD及使用未經激發的氧的反應物種(其例如是臭氧或氮氧化物NOx,其中x為約0.5至約3)。
根據一些實施例,清潔氣體包括惰性氣體,其例如是以下的至少一者:Ar、He、N2、或其組合物。在一些實施例中,氧可作用為清潔氣體。氧清潔氣體可包括氧反應物,其在一些期間內被能量化,但在其他期間內不將其能量化以作為清潔氣體。
應用
雖然本領域具有通常知識者可應用本文所揭露的方法至任意數量的有效用途,但以下應用是具體地提及一些例示性情況而用來提供這些方法的易於得知優點。舉例而言,本文揭露的方法是有效地用於沈積SiGeO薄膜,此些SiGeO薄膜被使用做為:用於間隙填充、溝槽填充的內層特徵(lining feature);形成Ge通道上的高介電係數/Ge材料的中間層;做為蓋層的SiGeO中間層;或者廣範圍的選擇性蝕刻層。本文揭露的方法亦有效的用於形成犧牲層、圖案層、罩幕層、光微影中使用的層、被用來增加蝕刻選擇性的層、可調蝕刻速率層、用於形成間隙層的層等等。
不僅是對於具有水平的源極/汲極(S/D)及閘極表面的傳統橫向電晶體(lateral transistor)設計來說本文揭露的方法及材料可提供具有經增加的品質及經改善的蝕刻性質的膜,對於在非水平的(例如是垂直的)表面上及複雜的三維(3D)結構上的使用來說,本文揭露的方法及材料也可提供經改善的蝕刻性質。在特定實施例中,藉由所揭露的方法在積體電路製造期間將SiGeO膜沈積在三維結構上。三維電晶體可包括(例如)雙閘極場效電晶體(double-gate field effect transistor;DG FET)、以及其他類型的多閘極FET(包括FinFET)。舉例而言,本揭露的氧化矽鍺薄膜可使用於非平面的多閘極電晶體(例如是FinFET)中,其中除了閘極、源極區、以及汲極區的頂部以外,本揭露的氧化矽鍺薄膜可合意地將層形成於垂直壁上。
本揭露的SiGeO膜特別地適合於需要犧牲層的應用。原 因是這些膜的WER被認為是重要的因素。
相較於SiO或SiN膜來說,在不同的蝕刻液中SiGeO薄膜可展現特殊的蝕刻選擇性,所述蝕刻液例如是DHF、SC-1、SC-2、磷酸、及其他。此性質可有利於特定的半導體製造方案。此外,可調整SiGeO膜的組成,以達成較低的WERR。因此,視產生的膜中的矽及鍺的比例而定,可使用相同的材料來達成具有低的或高的WERR的膜。
雖然不完全地知道上述表現的原因,在不設定任何特殊假說的情形下,假想地說是當使用不同比例的SiO:GeO時,Si-O-Si、Si-O-Ge及Ge-O-Ge(及其他)的鍵結結構顯著地改變。
因為可達成廣範圍的WER,一些實施例SiGeO膜可有利地被使用於形成例如是DRAM應用的應用中所使用的氣隙層。因為藉由一些實施例可達成高的WER,沈積膜且再將膜選擇性地蝕刻以產生氣隙或其他有益的孔洞空間(void space)是可能的。或者,本文揭露的一些具有低WER的SiGeO膜可被用於需要將其他材料蝕刻掉的情形,其他材料例如是蝕刻速率低於傳統熱氧化物的材料。在些情形下,某種材料可藉由以下方式而被保護:首先沈積SiGeO的膜,蝕刻周圍的材料,以及接著移除SiGeO膜。
實例
根據一些實施例,如上所述,藉由交替沈積GeO2層及SiO2層來形成SiGeO薄膜。在一些實施例中,四-二甲基胺基鍺被 用來做為鍺源,且雙-二乙基氨基矽烷被用來做為矽源。在許多實施例中,PEALD處理包括四個處理步驟:(1)材料氣體的進料時間;(2)清潔時間;(3)RF時間;以及(4)RF電漿引燃(ignition)後清潔。各處理步驟可經控制為在約0.05秒內或甚至更快。
以下非限制性實例說明特定實施例。使用氧氣做為用於產生反應性的氧物種的源氣體。藉由分別相對於源容器(source canister)加熱而將用於處理的源溫度設定為室溫至80℃的設定點。在以下說明的實例中,使用約50℃或約60℃的源溫度。
實例1
以下表1及圖8顯示根據圖6A中說明的處理程序所進行的實驗結果。在50℃的基板溫度與400W的RF電漿電源下進行上述實驗。n個GeO的子循環具有0.5-2.0-1.0-2.0秒(Ge源進料-清潔-O2電漿-清潔)的處理步驟時間,而用於SiO的m個子循環具有0.2-0.4-0.5-2.0秒(Si源進料-清潔-O2電漿-清潔)的處理步驟時間。n=10,而m為可變動的。圖8表示基於SiO子循環次數的WERR結果。
在室溫下使用1:100的DHF溶液來評估濕蝕刻測試。藉由以下式子來計算濕蝕刻速率比(WERR):WERR=樣本濕蝕刻速率[nm/min]/熱SiO2濕蝕刻速率[nm/min]
如從圖8可見之,觀察到各單位循環的WERR隨著SiO子循環的次數增加而減少。換句話說,發現不同濃度的SiO2達成不同的WERR。
實例2
以下表2及圖9顯示藉由變動產生電漿的時間(亦即,RF供電時間(RF-on time))所達成的實驗結果。再次,使用圖6A中說明的處理程序。如由實驗條件可見之,此條件中的單位處理是由唯一的Geo子循環及唯一的SiO子循環所構成的。
如從圖9可見之,WERR隨著RF供電時間增加而逐漸地減少。這些結果顯示可藉由控制根據本揭露所進行的處理程序中的RF供電時間得到所需的WER。
實例3
以下表3及圖10顯示在200℃及300℃下進行SiGeO積層處理的結果。根據圖10,SiO2的沈積子循環被固定為1循環且變動GeO2的子循環。再次,以圖6A說明的處理程序完成實驗。
如從圖10可見,藉由增加SiGeO膜中的GeO沈積子循環的次數,實驗的數據顯示可控制的高濕蝕刻速率。相較於實例1及實例2,不僅在低的基板溫度(例如50℃),也可在高的基板溫度 (例如200℃及300℃)達成可控制的極高WERR。
實例4
圖11表示用於形成SiGeO的處理的可控制性。藉由分別調整用於SiO及GeO的沈積子循環的比例,可達成相對低的WERR。用於形成SiO膜的習知方法(例如是PECVD及PEALD)在高的基板溫度下造成約4至10的WERR,其被認為是PECVD的SiO膜及PEALD的SiO膜的理論極限。此極限表示於圖11中。
表4包含被用來製造數個根據本揭露的SiGeO膜的處理條件。這些膜顯示較低的GeO子循環與SiO子循環比例造成約3至4的WERR。可假定是相較於Si-O-Si而言,SiGeO膜在Si-O、Ge-O、以及Si-O-Ge上具有較強的鍵結峰(bonding peak)。
實例5
圖12顯示根據本揭露與以下表5所示的反應條件所製造的多個SiGeO膜的WERR結果。圖12表示一些SiGeO薄膜的WERR可被穩定地調整於約3至約120。根據一些實施例,所達成的最高的WERR為約400。相反地說,使用習知方法製造的SiO2膜在低的基板溫度時展現約20的最大的WERR。因此,可見得在任意數量的半導體製造應用中,經由本揭露方法可達成的廣範圍的WERR可為非常理想的。
實例6
圖13表示不同的SiGeO膜中的Ge濃度,其中SiO與GeO子循環的比例是變動的,且使用以下表6中列出的處理條件。
圖14表示使用本文揭露方法所形成的多個SiGeO薄膜的FT-IR分析。使用以下SiO2與GeO2子循環的比例來得到SiGeO膜:1:2、1:4、以及1:5。藉由比較SiO2的波長,可見隨著增加GeO子循環的次數,波長向右邊偏移。
上述種類的鍵結結構在之前並未見於習知的SiO2膜中,且波長的偏移可能歸因於當Ge原子置入SiO2晶格結構(造成較高的WERR)的Si-O的弱鍵結能。
圖15A-圖15B說明在不同高寬比結構中達成的階梯覆蓋。使用圖6A中說明的程序來沈積這些圖式中所表示的膜,其中對於每個SiO子循環進行五次GeO子循環。圖15A是具有AR=2.5的結構的影像,而圖15B具有AR=12的結構的影像。在具有AR=2.5的結構及具有AR>12的結構中觀察到100%的階梯覆蓋。此結果顯示可將此處理應用至高AR的處理,高AR的處理例如是氣隙或下一代的DRAM。
本領域具有通常知識者將理解的是不違背本發明精神可做出數個或多種改良。可以任意適合的方法結合所描述的特徵、結構、表徵及前驅物。因此,將清楚地理解本發明的形成僅為說明性的且不傾向於限制本發明的範疇。所有的改良及變化傾向於落入本發明範疇,如所附的申請專利範圍所定義。
100‧‧‧循環
110、120‧‧‧子循環

Claims (26)

  1. 一種氧化矽鍺薄膜的形成方法,所述氧化矽鍺薄膜是藉由至少一個完整循環的原子層沈積(ALD)處理而形成在反應空間中的基板上,其中一個所述完整循環包括:氧化鍺沈積子循環,包括:以鍺反應物接觸所述基板;移除過量的所述鍺反應物;以及以第一氧反應物接觸所述基板;以及氧化矽沈積子循環,包括:以矽反應物接觸所述基板;移除過量的所述矽反應物;以及以第二氧反應物接觸所述基板。
  2. 如申請專利範圍第1項所述的氧化矽鍺薄膜的形成方法,其中所述第一氧反應物及所述第二氧反應物的至少一者包括氧電漿。
  3. 如申請專利範圍第2項所述的氧化矽鍺薄膜的形成方法,其中所述氧電漿是從流動的氧源產生。
  4. 如申請專利範圍第3項所述的氧化矽鍺薄膜的形成方法,其中所述氧源在整個所述原子層沈積處理中流動。
  5. 如申請專利範圍第3項所述的氧化矽鍺薄膜的形成方法,其中所述氧源間歇地經提供在所述氧化鍺沈積子循環及所述氧化矽沈積子循環中。
  6. 如申請專利範圍第3項所述的氧化矽鍺薄膜的形成方法,其中所述氧源包括氧氣。
  7. 如申請專利範圍第1項所述的氧化矽鍺薄膜的形成方法,其中對至少一個所述完整循環來說,所述氧化鍺沈積子循環與氧化矽沈積子循環的比例大於或等於1:1。
  8. 如申請專利範圍第1項所述的氧化矽鍺薄膜的形成方法,其中對至少一個所述完整循環來說,所述氧化鍺沈積子循環與氧化矽沈積子循環的比例小於或等於1:1。
  9. 如申請專利範圍第1項所述的氧化矽鍺薄膜的形成方法,其中所述氧化鍺沈積子循環與所述氧化矽沈積子循環的比例經選擇以使得所述氧化矽鍺薄膜的濕蝕刻速率比相對於熱氧化物為3至400之間。
  10. 如申請專利範圍第1項所述的氧化矽鍺薄膜的形成方法,其中對至少一個所述完整循環來說,所述氧化矽沈積子循環在所述氧化鍺沈積子循環之前。
  11. 如申請專利範圍第1項所述的氧化矽鍺薄膜的形成方法,其中所述氧化矽鍺薄膜在高寬比為至少20:1的三維結構上具有至少95%的階梯覆蓋。
  12. 如申請專利範圍第1項所述的氧化矽鍺薄膜的形成方法,其中所述氧化矽鍺薄膜的相對於熱氧化物的濕蝕刻速率比小於4。
  13. 如申請專利範圍第1項所述的氧化矽鍺薄膜的形成方 法,其中所述氧化矽鍺薄膜達成的相對於熱氧化物的濕蝕刻速率比大於20。
  14. 如申請專利範圍第1項所述的氧化矽鍺薄膜的形成方法,其中沈積溫度於450℃至50℃之間。
  15. 如申請專利範圍第1項所述的氧化矽鍺薄膜的形成方法,其中所述矽反應物是選自由(SiH3)3N、(SiH3)2、(SiH3)2NMe、(SiH3)2Net、(SiH3)2N(iPr)、(SiH3)2N(tBu)、SiH3NEt2、SiH3N(iPr)2、SiH3N(tBu)2、SiH2(NEt2)2、SiH2(NMe2)2、SiH2(NHtBu)2、SiH2(NHSiMe3)2、Si(OEt)4、SiCl4、Si2Cl6、SiH2Cl2、SiH(N(Me)2)3、SiH2[N(Et)(Me)]2、Si2(NHEt)6、Si(NHEt)4、以及Si3H8組成的群組中。
  16. 如申請專利範圍第1項所述的氧化矽鍺薄膜的形成方法,其中所述鍺反應物是選自由Ge(NMe2)4、Ge(NEtMe)4、Ge(NEt2)4、iPr3GeCl、iPr3GeNMe2、iPr3GeNEtMe、以及iPr3GeNEt2組成的群組中。
  17. 如申請專利範圍第1項所述的氧化矽鍺薄膜的形成方法,其中所述第一氧反應物及所述第二氧反應物的至少一者是選自下列:O2、O3、NO、NO2、CO2、從上述的一者或多者產生的電漿、或其組合。
  18. 如申請專利範圍第1項所述的氧化矽鍺薄膜的形成方法,其中移除的步驟包括使清潔氣體流動經過反應空間。
  19. 如申請專利範圍第1項所述的氧化矽鍺薄膜的形成方 法,其中所述鍺反應物為Ge[N(CH3)2]4,所述矽反應物為SiH2[N(C2H5)2]2,且所述第一氧反應物及所述第二氧反應物的至少一者包括氧電漿。
  20. 一種氧化矽鍺薄膜的形成方法,所述氧化矽鍺薄膜是藉由進行多個原子層沈積(ALD)循環而形成在反應空間中的基板上,其中至少一個ALD循環包括:至少一個ALD氧化鍺沈積子循環;以及至少一個ALD氧化矽沈積子循環。
  21. 如申請專利範圍第20項所述的氧化矽鍺薄膜的形成方法,其中所述至少一個ALD氧化鍺沈積子循環包括:以鍺反應物接觸所述基板;移除過量的所述鍺反應物;以及以第一氧反應物接觸所述基板。
  22. 如申請專利範圍第20項所述的氧化矽鍺薄膜的形成方法,其中所述至少一個ALD氧化矽沈積子循環包括:以矽反應物接觸所述基板;移除過量的所述矽反應物;以及以第二氧反應物接觸所述基板。
  23. 如申請專利範圍第20項所述的氧化矽鍺薄膜的形成方法,其中所述至少一個ALD氧化鍺沈積子循環與所述至少一個ALD氧化矽沈積子循環的比例大於或等於1:1。
  24. 如申請專利範圍第20項所述的氧化矽鍺薄膜的形成方 法,其中所述至少一個ALD氧化鍺沈積子循環與所述至少一個ALD氧化矽沈積子循環的比例小於或等於1:1。
  25. 如申請專利範圍第20項所述的氧化矽鍺薄膜的形成方法,其中所述至少一個ALD氧化鍺沈積子循環與所述至少一個ALD氧化矽沈積子循環的比例於1:20至20:1之間。
  26. 如申請專利範圍第20項所述的氧化矽鍺薄膜的形成方法,其中在所述至少一個ALD氧化鍺沈積子循環及所述至少一個ALD氧化矽沈積子循環的至少一者中使用氧電漿。
TW102139582A 2012-11-01 2013-10-31 氧化矽鍺薄膜的形成方法 TWI564424B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201261721113P 2012-11-01 2012-11-01

Publications (2)

Publication Number Publication Date
TW201425633A TW201425633A (zh) 2014-07-01
TWI564424B true TWI564424B (zh) 2017-01-01

Family

ID=50547645

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102139582A TWI564424B (zh) 2012-11-01 2013-10-31 氧化矽鍺薄膜的形成方法

Country Status (3)

Country Link
US (1) US9330899B2 (zh)
KR (1) KR101882905B1 (zh)
TW (1) TWI564424B (zh)

Families Citing this family (361)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
JP5963456B2 (ja) * 2011-02-18 2016-08-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及び基板処理方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9006064B2 (en) * 2013-03-11 2015-04-14 International Business Machines Corporation Multi-plasma nitridation process for a gate dielectric
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9245742B2 (en) 2013-12-18 2016-01-26 Asm Ip Holding B.V. Sulfur-containing thin films
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9721955B2 (en) 2014-04-25 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device having an oxide feature
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102026965B1 (ko) * 2014-10-24 2019-09-30 주식회사 원익아이피에스 박막의 형성 장치 및 방법
KR101993725B1 (ko) * 2014-11-25 2019-06-27 주식회사 원익아이피에스 플라즈마 원자층 증착법에 의한 박막 형성방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9472573B2 (en) 2014-12-30 2016-10-18 International Business Machines Corporation Silicon-germanium fin formation
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102323248B1 (ko) * 2015-03-25 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
US9828672B2 (en) * 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
WO2016160778A1 (en) * 2015-03-30 2016-10-06 Tokyo Electron Limited Method for atomic layer etching
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
FI127415B (en) 2015-04-16 2018-05-31 Turun Yliopisto Preparation of foreign oxide in a semiconductor
US9711350B2 (en) * 2015-06-03 2017-07-18 Asm Ip Holding B.V. Methods for semiconductor passivation by nitridation
US9741815B2 (en) 2015-06-16 2017-08-22 Asm Ip Holding B.V. Metal selenide and metal telluride thin films for semiconductor device applications
US9711396B2 (en) 2015-06-16 2017-07-18 Asm Ip Holding B.V. Method for forming metal chalcogenide thin films on a semiconductor device
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
TWI740848B (zh) * 2015-10-16 2021-10-01 荷蘭商Asm智慧財產控股公司 實施原子層沉積以得閘極介電質
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102629466B1 (ko) * 2016-09-21 2024-01-26 에스케이하이닉스 주식회사 반도체 장치의 제조 방법
US9741822B1 (en) 2016-09-26 2017-08-22 International Business Machines Corporation Simplified gate stack process to improve dual channel CMOS performance
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101884555B1 (ko) * 2017-02-07 2018-08-01 연세대학교 산학협력단 플라즈마 강화 원자층 증착을 이용한 금속 산화물막 증착 방법
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US10665511B2 (en) 2018-06-07 2020-05-26 International Business Machines Corporation Self-limiting liners for increasing contact trench volume in N-type and P-type transistors
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) * 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN113166178A (zh) * 2018-11-15 2021-07-23 Up化学株式会社 硅前体化合物、制备方法及使用其来形成含硅膜的方法
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US10832962B1 (en) 2019-05-22 2020-11-10 International Business Machines Corporation Formation of an air gap spacer using sacrificial spacer layer
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) * 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20220002869A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Vapor phase photoresists deposition
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US20220186365A1 (en) * 2020-12-11 2022-06-16 Applied Materials, Inc. Super-conformal germanium oxide films
US11781218B2 (en) * 2020-12-11 2023-10-10 Applied Materials, Inc. Defect free germanium oxide gap fill
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023249825A1 (en) * 2022-06-22 2023-12-28 Applied Materials, Inc. Atomic layer deposition using novel oxygen-containing precursors

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090074652A1 (en) * 2007-09-17 2009-03-19 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Tellurium precursors for gst deposition
US20120009802A1 (en) * 2010-04-15 2012-01-12 Adrien Lavoie Plasma activated conformal dielectric film deposition

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2914213B2 (ja) 1995-03-28 1999-06-28 日本電気株式会社 半導体装置及びその製造方法
US6475865B1 (en) 1997-08-21 2002-11-05 United Microelectronics Corp. Method of fabricating semiconductor device
US6749687B1 (en) 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
FR2783254B1 (fr) 1998-09-10 2000-11-10 France Telecom Procede d'obtention d'une couche de germanium monocristallin sur un substrat de silicium monocristallin,et produits obtenus
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6620743B2 (en) 2001-03-26 2003-09-16 Asm America, Inc. Stable, oxide-free silicon surface preparation
US7108748B2 (en) 2001-05-30 2006-09-19 Asm America, Inc. Low temperature load and bake
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030080394A1 (en) 2001-10-31 2003-05-01 Babcock Jeffrey A. Control of dopant diffusion from polysilicon emitters in bipolar integrated circuits
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7238595B2 (en) 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7329593B2 (en) 2004-02-27 2008-02-12 Asm America, Inc. Germanium deposition
US7312165B2 (en) 2004-05-05 2007-12-25 Jursich Gregory M Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7704896B2 (en) 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
US20070155138A1 (en) 2005-05-24 2007-07-05 Pierre Tomasini Apparatus and method for depositing silicon germanium films
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
US7749879B2 (en) * 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8809152B2 (en) * 2011-11-18 2014-08-19 International Business Machines Corporation Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for CMOS devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090074652A1 (en) * 2007-09-17 2009-03-19 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Tellurium precursors for gst deposition
US20120009802A1 (en) * 2010-04-15 2012-01-12 Adrien Lavoie Plasma activated conformal dielectric film deposition

Also Published As

Publication number Publication date
KR20140058357A (ko) 2014-05-14
KR101882905B1 (ko) 2018-08-27
TW201425633A (zh) 2014-07-01
US20140120738A1 (en) 2014-05-01
US9330899B2 (en) 2016-05-03

Similar Documents

Publication Publication Date Title
TWI564424B (zh) 氧化矽鍺薄膜的形成方法
KR102176030B1 (ko) 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
KR102588666B1 (ko) 기판 상의 구조물 형성 방법
KR102192161B1 (ko) GeO2의 원자층 증착
TWI606136B (zh) 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
TWI728941B (zh) 在反應空間中的基板的表面上形成氮化矽薄膜之方法
TWI426547B (zh) 用於批次原子層沈積反應器之處理製程
JP5307513B2 (ja) Ald法又はcvd法による金属含有膜の調製
JP2017531920A (ja) 高温酸化ケイ素原子層堆積技術
JP2018528610A (ja) プラズマ原子層蒸着法を用いたシリコン窒化薄膜の製造方法
TW201816166A (zh) 具碳,氧及氮成分控制之沉積SiCON的方法
KR20210109046A (ko) 질화규소를 증착하는 방법들
JP7164789B2 (ja) 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
TW201615879A (zh) 高溫二氧化矽原子層沉積技術