TWI550698B - 半導體處理的方法 - Google Patents

半導體處理的方法 Download PDF

Info

Publication number
TWI550698B
TWI550698B TW101118340A TW101118340A TWI550698B TW I550698 B TWI550698 B TW I550698B TW 101118340 A TW101118340 A TW 101118340A TW 101118340 A TW101118340 A TW 101118340A TW I550698 B TWI550698 B TW I550698B
Authority
TW
Taiwan
Prior art keywords
layer
plasma
hydrogen
depositing
gas
Prior art date
Application number
TW101118340A
Other languages
English (en)
Other versions
TW201303982A (zh
Inventor
弗拉基米爾 馬高特森
詹姆 威廉 梅茲
謝琦
Original Assignee
Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip控股公司 filed Critical Asm Ip控股公司
Publication of TW201303982A publication Critical patent/TW201303982A/zh
Application granted granted Critical
Publication of TWI550698B publication Critical patent/TWI550698B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Description

半導體處理的方法
本揭示是有關於半導體處理的領域,且更特別是有關於導電結構(例如金屬閘電極)之沈積,所述導電結構包括在電晶體中之閘極堆疊中的金屬閘電極。
由於不斷有增加計算能力(computing power)及減少積體電路尺寸的需求,故亦不斷有減少用以形成積體電路之電子元件尺寸的需求。舉例而言,電晶體尺寸持續微型化,以便(例如)增加積體電路中電晶體的密度而來增加計算能力。隨著減少電晶體的尺寸,同樣地也減少其組成構件的尺寸,所述組成構件例如是使電晶體之閘電極與電晶體之通道區分隔的閘介電層。通常,較薄的閘介電層需要使用較高介電常數(高-k)的材料,以防止穿過閘介電層之非期望的漏電流(current leakage)。然而,當這些高-k材料與一些傳統矽基(silicon-based)閘電極材料一起使用時,有這些高-k材料的電晶體可能會具有不良的性能特性。因此,亟需適合與電子元件(例如電晶體)中之高-k閘介電材料一起使用的導電電極(例如導電閘電極)。
根據一些實施例,提供一種半導體處理的方法。所述製程包括在基板上形成閘電極。形成閘電極包括在反應腔室中提供基板,所述基板具有閘介電質。使包括過渡金屬化合物的第一層沈積在閘介電層上,於沈積期間基板未暴 露於電漿或電漿產生之自由基。接著,使第一層暴露於含氫氣體。隨後,沈積包括過渡金屬化合物的第二層。
根據一些其他實施例,提供一種半導體處理的方法。所述製程包括沈積金屬電極。沈積金屬電極包括在閘介電層上沈積金屬材料。用於沈積金屬材料的前驅物不是以電漿來活化(plasma-activated)。使金屬材料暴露於激發含氫物種。接著,在金屬材料暴露於激發含氫物種之後,在所述金屬材料上沈積額外的金屬材料。
根據一些實施例,藉由沈積具有導電材料之第一層、使第一層暴露於含氫氣體(其包括呈類似氣體狀態的含氫物種)、以及在第一層上方沈積具有導電材料之第二層,以在基板上形成導電結構。在一些實施例中,於介電層上形成導電結構。舉例而言,導電材料可以是作為電晶體之一部分的閘電極,其形成在例如高-k閘介電質之閘介電質上。第一層可使用非電漿製程或非自由基製程來沈積,所述非電漿製程或非自由基製程中基板未暴露於電漿或電漿產生之自由基(意即,由電漿所產生的自由基)。接著,使第一層暴露於含氫物種。在一些實施例中,含氫物種可為激發含氫物種,所述激發含氫物種可為含氫電漿的一部分。在一些實施例中,含氫物種包括含氫自由基,其可在遠端電漿產生器中產生,接著流入包含有第一層的反應腔室中。
有利地,當在電晶體中使用導電材料以形成金屬閘極,其閘極堆疊中之閘電極的功函數可為約4.85eV或大於4.85eV,或是約5eV或大於5eV。在一些實施例中,第一層的厚度小於約5nm、小於約4nm、小於約3nm或約1nm到3nm。較佳地,第一層厚於形成第一層之材料的單層(monolayer)。
在一些實施例中,除了藉由非電漿製程來形成第一層外,第二層亦可藉由非電漿製程而形成,所述非電漿製程中基板未暴露於電漿或電漿產生之自由基。在一些其他實施例中,藉由使基板暴露於電漿或自由基,以形成第二層。
形成導電結構的導電材料可為金屬材料,例如過渡金屬化合物。過渡金屬可為耐火金屬(refractory metal),在沒有限制的情況下,例如是鈦、鉭、鈮、鉬、鉿、鋯及鎢。在一些實施例中,導電材料可為藉由對金屬進行氮化而形成的氮化物。在一些實施例中,可將其他元素(例如碳)引入導電材料,使得導電材料可成為金屬碳化物或金屬碳氮化物。此類材料的非限制性實例包括氮化鉭(tantalum nitride)、碳化鉭(tantalum carbide)、碳氮化鉭(tantalum carbonitride)、氮化鈦(titanium nitride)、碳化鈦(titanium carbide)及碳氮化鈦(titanium carbonitride)。材料的其他非限制性實例包括氮化鈮(niobium nitride)、碳化鈮(niobium carbide)、碳氮化鈮(niobium carbonitride)、氮化鉬(molybdenum nitride)、碳化鉬(molybdenum carbide)、碳氮化鉬(molybdenum carbonitride)、氮化鉿 (hafnium nitride)、氮化鋯(zirconium nitride)、鎢(tungsten及氮化鎢(tungsten nitride)。
在沈積第二層之前,亦可使第一層暴露於各種其他化學物種,例如氧。在一些實施例中,具有導電材料之一或多個其他層可沈積在第一層與第二層之間。
在一些實施例中,金屬閘極或金屬閘電極可由具有實質上類似組成的第一層及第二層所形成,其中第一層及第二層形成具有金屬材料的單一層,其可為具有金屬材料的均勻層。在一些其他實施例中,第一層及第二層可由不同的金屬材料所形成,所述不同的金屬材料包括不同的過渡金屬化合物。不同的過渡金屬化合物可包括不同的過渡金屬及/或可包括其他元素(所述元素在所述層之間不同)。在一些其他實施例中,第一層及第二層中的一者或兩者可為疊層(laminate layer),其由不同材料的子層(sublayer)所形成。舉例而言,疊層可由不同過渡金屬化合物的子層所形成。在一些實施例中,第一層及第二層可由類似的疊層所形成或由不同的疊層所形成。
應理解高介電常數(高-k,HiK)金屬閘極(MG)互補金屬氧化物半導體(CMOS)技術對傳統的多晶矽氮氧化物(poly-silicon oxynitride,poly-SiON)電晶體元件提供了可大量生產積體電路的替代方案。高-k介電層可用以形成電晶體的閘介電層,而金屬材料(例如說明書中所提及的過渡金屬化合物)可用以形成電晶體的閘電極。可使用各種HiK-MG整合流程將上述高-k介電質及閘電極併入 電晶體元件,所述HiK-MG整合流程中的兩者包括所謂的「先形成閘極(gate-first)」流程以及「後形成閘極(gate-last)」流程。後者的方法亦可稱為替換性金屬閘極(Replaceable Metal Gate,RMG),且其具有兩個次方法:「先形成HiK(HiK-first)」以及「後形成HiK(HiK-last)」。
在圖1a到圖1c中繪示各種HiK-MG製造流程。所有HiK-MG製造流程的共同點為沈積在HiK介電層之頂部上的金屬電極。如下文較詳細的描述,流程之間的一個差異為發生高熱預算(High Thermal Budget)步驟(使基板暴露於升高溫度下的製程步驟)的時序(timing)。進行通常為快速熱退火(Rapid Thermal Anneal,RTA)的高溫退火來形成源極區及汲極區。在「先形成閘極」製造流程中,先沈積金屬電極,並接著經受高溫退火步驟,然而在「後形成閘極」流程中,於高溫退火步驟之後才沈積金屬電極。兩個製造流程之間的另一差異為,就「後形成閘極」流程而言,其針對高度金屬閘極共形性(high metal gate conformality)的要求相對較高,這是由於在此流程中,金屬電極層沈積在具有高深寬比(aspect ratio)之相對狹窄的溝渠中(圖1b)。
現在將更詳細的描述圖1a到圖1c。各個製造流程中之各個繪示結構顯示出在製造流程中之不同時間點下的電晶體剖面圖(時間由左向右進行)。最左邊的結構為最早形成的繪示結構,而最右邊的結構為最後形成的繪示結構。
圖1a繪示「先形成閘極」製造流程。在所繪示的第一位置中,提供具有上覆閘極堆疊的基板10,所述閘極堆疊由二氧化矽介面層20、高-k介電層30、用於微調功函數的介電蓋(dielectric cap)40、金屬電極層50以及多晶矽層60形成。接著,在所繪示的第二位置中,形成側壁間隙壁70及源極/汲極區80。以元件符號90來表示用以活化及形成源極/汲極區的快速熱退火。
圖1b繪示「後形成閘極」製造流程,其中先形成高-k介電質。在圖1b之所繪示的第一位置中,提供具有上覆堆疊的基板10,所述上覆堆疊具有二氧化矽介面層20、高-k介電層30、蝕刻終止層52及多晶矽層62。接著,在圖1b之所繪示的第二位置中,形成側壁間隙壁70及源極/汲極區80。可由快速熱退火來形成源極/汲極區,所述快速熱退火以元件符號90表示。在圖1b之所繪示的第三位置中,將多晶矽層62移除而留下溝渠64。在圖1b之所繪示的第四位置中,於溝渠64中沈積金屬電極54,並沈積金屬填層(metal fill)56以填充溝渠剩餘的體積。
圖1c繪示「後形成閘極」製造流程,其中最後才形成高-k介電質。在圖1c的第一位置中,提供具有上覆堆疊的基板10,所述上覆堆疊具有二氧化矽介面層20及多晶矽層66。在圖1c的第二位置中,形成側壁間隙壁70及源極/汲極區80。可由快速熱退火來形成源極/汲極區,所述快速熱退火以元件符號90表示。在圖1c的第三位置中,將多晶矽層66移除,而留下溝渠68。在圖1c的第四位置 中,於溝渠中沈積高-k介電層30、於高-k介電層30上方沈積金屬電極層54、以及沈積金屬填層56以填充溝渠剩餘的體積。
參照圖1a到圖1c,藉由各種製程可形成二氧化矽介面層20,所述製程包括沈積及/或已存在之矽層與氧物種的反應。舉例而言,根據所使用的製造流程及二氧化矽介面層的所要特性,二氧化矽介面層20可為化學氧化物、CVD氧化物或熱氧化物。
為了達到操作CMOS所需的目標臨界電壓(threshold voltages,Vt),需要具有適當有效功函數(Effective Work Functions,EWF)的金屬閘極。例如,對於平面式高效能(High Performance,HP)CMOS邏輯元件而言,NMOS以及PMOS的EWF目標可各自為4.2eV及5.1eV。由於上述功函數數值各自鄰近於Si的導電帶邊界及價帶邊界,故其經常稱為「能帶邊界(band-edge)」。據信目前沒有單一PMOS能帶邊界金屬材料的已知實例,特別是可符合使用「後形成閘極」流程而形成的電晶體元件之共形性需求的金屬材料。
氮化鈦(titanium nitride,TiN)為HiK-MG元件之常用金屬材料的一個實例。在單晶圓反應器或批式反應器中,在基於TiCl4-NH3之原子層沈積(atomic layer deposition,ALD)氮化鈦(於ALD中,使用TiCl4作為Ti前驅物及使用NH3作為N前驅物來沈積TiN)中可共形地沈積於RMG元件之窄溝渠中。然而,已發現在不管沈 積方法及/或製程條件的情況下,TiN的EWF數值(通常為4.7eV到4.75eV)小於低臨界電壓(low-Vt)之高效能元件所要的數值(5.1eV)。Hinkle等人於ECS Transactions,35(2)285-295(2011)中已證實藉由在N2中有殘餘O2或10% O2的含氧氛圍(oxygen-containing ambient)下進行退火以及藉由沈積W的頂金屬層(披覆層),可使TiN薄膜的EWF增加至高於5eV的數值。已報導使用積極型退火(aggressive anneal)(藉由使用10% O2濃度的氛圍及/或以450℃或500℃的退火溫度)來增加EWF。然而,因為TiN容易氧化,故據信在N2中有10% O2且溫度為450℃下的積極型退火可能會使整個TiN層氧化,此為不想要的現象。
有利地,本說明書中所描述的一些實施例可在不需將整個金屬層暴露於溫度為450℃或大於450℃的顯著氧化條件下,使用金屬電極或金屬電極之下層金屬層來微調閘極堆疊的EWF。在一些實施例中,此類的微調可在溫度約450℃或小於450℃、約420℃或小於420℃、或約400℃或小於400℃下進行。
在一些實施例中,使具有金屬材料(例如,過渡金屬化合物)的第一層沈積在基板上,於沈積期間基板未暴露於激發物種(例如電漿或自由基)。在一些實施例中,第一層的厚度小於約5nm、小於約4nm、小於約3nm或約為1nm到3nm。在一些實施例中,厚度為約2nm。接著,使上述第一層進行處理。所述處理包括使薄金屬層暴露於 含氫氣體,更佳為暴露於激發含氫物種(例如含氫自由基或含氫電漿),所述含氫氣體可與或可不與惰性氣體(例如He或Ar)結合使用。可在所要的溫度及電漿功率下經歷所要的時間進行激發物種的暴露。在一些實施例中,電漿功率可以4秒或小於4秒的脈衝方式施加。激發物種可以是在與基板之相同反應腔室中形成的電漿的一部分,或激發物種可遠端地產生並流入反應腔室中。在一些實施例中,含氫氣體可例如是氫或氨。隨後,在具有金屬材料之第一層上方沈積具有金屬材料(例如,第二過渡金屬化合物)之第二層。在相同溫度或不同溫度下,這些三個步驟可在相同反應器中或在不同反應器中進行。
在暴露於激發物種之前及/或之後,可進行基板對含氧氣體的暴露,或是可在未暴露於含氧氣體的情況下完成處理。視情況,在所要的條件且經歷所要的時間下,於反應腔室中以受控制的方式進行對含氧氣體的暴露。在一些實施例中,含氧氣體可為氧氣及氮氣的混合物。在一些實施例中,含氧氣體可包括一些量的水氣。可在用以沈積金屬材料的沈積腔室中原位(in-situ)進行對含氧氣體的暴露。或者,藉由將基板從反應腔室卸載並使基板暴露於乾淨的室內空氣(所謂的「空斷(air break)」步驟),可使基板暴露於含氧氣體。
具有金屬材料之第一層可藉由物理氣相沈積法(physical vapor deposition,PVD)、化學氣相沈積法(chemical vapor deposition,CVD)、脈衝式CVD或脈衝 式原子層沈積法(atomic layer deposition,ALD)來沈積。具有金屬材料之第二層可藉由PVD、CVD、脈衝式CVD或脈衝式ALD(包括電漿加強CVD或電漿加強ALD)來沈積。在一些實施例中,藉由ALD沈積金屬層來連到高階梯覆蓋率(step coverage)。前驅物可以短暫分開之脈衝方式交替並依序地流入反應腔室中。在一些實施例中,每一沈積循環形成約一單層或小於一單層的化合物,一個循環由連續之各前驅物的一個脈衝(例如,一個鈦前驅物脈衝及一個氮前驅物脈衝)構成。舉例而言,可使用TiCl4及NH3作為前驅物以短暫分開之脈衝方式來形成TiN。如說明書中所提及,在一些實施例中,金屬材料可包括氮化鉭、碳化鉭、氮碳化鉭(tantalum nitrocarbide)、碳化鈦、碳氮化鈦、氮化鈮、碳化鈮、氮碳化鈮(niobium nitrocarbide)、氮化鉬、碳化鉬、氮碳化鉬(molybdenum nitrocarbide)、氮化鉿、氮化鋯、鎢及氮化鎢。在一些實施例中,第一層及第二層可由單一材料形成。在一些其他實施例中,第一層及第二層中的一者或兩者可為由許多子層所形成的疊層。
研究作為實例之各種金屬層的沈積且在圖2及圖4中詳細說明用於形成這些層的沈積順序。在進行示於圖2及圖4中的處理之後,使所有樣品於形成氣體(forming gas)(4% H2於N2中)中以420℃接受退火30分鐘。針對ALD,在ASM International’s EmerALD®之單晶圓反應器系統中,以還原氛圍(reducing ambient)執行沈積及處理, 其中也提供有允許於反應腔室中產生電漿的電漿選項。EmerALD®單晶圓反應器系統由荷蘭阿爾梅勒的ASM International N.V購得。圖2、圖4及圖6提供用於TiN沈積的各種細節。在一些其他實施例中,例如氮化鉭、碳化鉭、碳氮化鉭、碳化鈦、碳氮化鈦、氮化鈮、碳化鈮、碳氮化鈮、氮化鉬、碳化鉬、碳氮化鉬、氮化鉿、氮化鋯、鎢及氮化鎢的金屬材料可與TiN一起形成或取代TiN而形成,使用類似的製程步驟及條件來達到類似的結果。
發現依據本說明書所揭露之實施例的沈積可提供增加的EWF。由MIS結構的電容量-電壓(C-V)量測得到有效功函數(EWF)數值及等效氧化層厚度(equivalent oxide thickness,EOT)數值。在矽基板上之2nm的HfO2層及1nm的界面SiO2層的頂部上方沈積金屬電極。參照圖2,在390℃下執行所有的TiN沈積,且在第一TiN層沈積與膜處理步驟之間,以及在處理步驟與第二TiN層沈積步驟之間均施行空斷。單一10nm厚之ALD TiN層通常產生約4.7eV到4.75eV的功函數(1A)。然而,依據本說明書所揭露之實施例的沈積使較高的EWF產生。舉例而言,2nm之ALD TiN層、接著進行一空斷、H2/Ar電漿處理(32個脈衝,每個脈衝2秒)、第二空斷、及10nm之ALD TiN層展示出極高之4.96eV的EWF(1F)。
可把EWF的增加歸因於對激發氫物種的暴露。參照圖3,已發現,藉由在下ALD TiN層與上ALD TiN層之間以32個循環的電漿加強ALD(PEALD)來成長薄TaCN 層,可得到更高之4.98eV(1C)或5.04eV(1B)的EWF。以熱ALD TaCN層來取代PEALD TaCN層,將導致較低的功函數(1D及1E),其與單一10nm厚之ALD TiN層的功函數接近。這些結果指出,通過PEALD TaCN而得到較高的EWF可歸因於將下(第一)TiN層暴露於含Ar/H2的電漿,所述電漿在PEALD TaCN的沈積期間被點燃。也發現,將電漿脈衝的時間由2秒增加至3秒或4秒,使得因增加了有效氧化層厚度(effective oxide thickness,EOT)而增加了EWF。最後,觀察到,僅在2nm之第一TiN層與較厚的第二TiN層之間施行空斷,而沒有施行電漿處理步驟時(1G),無法導致EWF增加。
亦發現到,使用與上文所描述用於TiN層之製程類似的製程時,可增加TiN/TaCN雙層及TaC層的功函數。藉由熱活化製程(無電漿所產生的自由基的情況下)沈積TiN/TaCN雙層及TaC層,接著以含氫氣體對所述層進行處理,所述處理包括將所述層暴露於氫自由基或暴露於含氫電漿。藉由將所述層暴露於熱活化含氫氣體亦可增加功函數。
在其他實驗中(其結果呈現於圖4中),也對以下參數的影響進行研究:○施行空斷的次數及其位置的影響:■在Ar/H2電漿處理之前及之後;■在Ar/H2電漿處理之前;■在Ar/H2電漿處理之後;以及 ■無空斷;○TiN沈積溫度(325℃對390℃)的影響;以及○在Ar/H2電漿處理期間之晶圓溫度(325℃對390℃)的影響。
以32個脈衝、每個脈衝2秒(32pulses of 2s each)的脈衝法(pulse-wise)施加Ar/H2電漿。
如可在圖5中觀察到,在用於TiN薄膜之325℃沈積溫度導致有系統地產生較高的EOT數值。發現到,有利的EWF/EOT消長關係(trade-off)可通過以下的順序(圖4:2C)來達成:○在390℃下,成長第一TiN層及第二TiN層兩者;○在390℃下,進行Ar/H2電漿處理;以及○兩次空斷(在Ar/H2電漿處理之前及之後)。
將理解,圖2及圖4中以類似條件進行處理的樣品(圖2之1F對圖4之2B),其一些結果之間存在有差異。這些變異可能歸因於圖2的實驗與圖4的實驗之間的時滯(time lapse)。此外,圖4實驗中的空斷時間(1天到2天)短於圖2實驗中的空斷時間(1個禮拜到2個禮拜)。然而,對於各組的實驗而言,具有對Ar/H2電漿之暴露的所有順序皆提供了增加的EWF,其皆高於無上述暴露的那些組(圖2:1A及1G;圖4:2A及2E)的參考值。
將詳述在圖2及圖4中的實驗之中所使用的各種額外製程條件概括在圖6中。將理解,TBTDET為三(二乙基氨基)叔丁醯胺鉭(TertiaryButylimido Tris(DiEthylamino)Tantalum),Ta[N(C2H5)2]3[=NC(CH3)3]。據信在不同溫度下以及較少空斷或無空斷時,可藉由調整各種處理參數來達成所要的高EWF。可變化之製程參數的一些實例包括,但不限於:1)變化下TiN層的厚度;2)變化電漿功率及時間,包括僅有熱的處理(沒有電漿);以及3)變化反應器中O2、N2及H2的含量。在上文所討論的實驗中,由EWF/EOT趨勢線觀察到:在較高EOT數值下觀察到較高EWF。另一方面,對於一些應用而言,需要在低的EOT數值下得到高的EWF。據信上文所提及之參數的變異可允許高的EWF與低的EOT之間呈有利的消長關係。
雖然不願受理論的限制,但據信空斷結合對含氫氣體的暴露也可影響EWF。舉例而言,據信在一空斷、接著H2/Ar電漿處理、接著另一空斷的方式,可改變含金屬材料堆疊的晶粒尺寸/型態及/或O含量及N含量,從而可使堆疊(例如,TiN堆疊)的EWF增加。另外,在將第一金屬層暴露於含氫氣體或含氫電漿的步驟期間,空斷可導致存在含氧雜質或含氫雜質(例如O2或H2O)。這些雜質在達成所要的堆疊性質方面可發揮作用。
舉例而言,雖然不願受理論的限制,但據信有一些EWF的增加可能是因為在第一金屬層與隨後的金屬層之間的界面上形成了H-O偶極(dipoles)。可提供低濃度的氧,而低濃度的氧可來自存在於反應器中及/或第一金屬層中的殘餘氧,或可在氫自由基或電漿處理之前、期間或之 後,有意地將第一金屬層暴露於氧下而產生低濃度的氧。氧可來自於氫處理之前及/或之後所進行的空斷。較佳地,將氧濃度維持在足夠低的程度上,以限制金屬層的氧化,此氧化可導致EOT增加,這種情形是不希望發生的。
在圖7中,其顯示出在電漿處理期間之峰對峰電壓值(peak-to-peak Voltage,Vpp)是一個更具決定性的因子,且比起電漿功率,Vpp與EWF的關連性更高:較高的Vpp得到較高的EWF。在圖8中顯示出在390℃下沈積TiN層的進一步結果。沈積厚度為2nm的第一TiN薄膜,接著,以如圖8中所示的Vpp及脈衝時間來施行32個脈衝的電漿暴露,然後,沈積厚度為10nm的第二TiN薄膜。在氫電漿處理之前及之後施行空斷。在0.5Torr下,以氫/Ar混合物施行氫電漿處理。由圖8可觀察到,較高的Vpp及/或較長的脈衝時間導致較高的EWF。在一些實施例中,Vpp可為約110V或大於110V、約130V或大於130V、約160V或大於160V、或是約200V或大於200V。在一些實施例中,暴露於激發氫物種的時間可為約2秒或大於2秒、約4秒或大於4秒、或是約為2秒到4秒。作為參考,在未暴露於電漿下而形成的TiN(如上文所提及)具有較低之約4.7或4.73的EWF。
再次參照圖1b到圖1c,將理解,在一些實施例中,由沈積第一層及第二層而形成的金屬材料可用作電極54。因此,在一些實施例中,可能會在由介電材料所形成 的間隙壁界定的窄溝渠中進行第一層及第二層的沈積,且隨後可在由閘電極54界定的開口中沈積金屬填層。
以下,參照圖9a到圖12來提供CMOS製造流程中沈積金屬閘極堆疊的一些實例。所有類似的影線(hatching)及陰影(shading)皆意指類似的層。製造流程包括沈積具有高功函數的PMOS金屬閘極,以及沈積具有低功函數的NMOS金屬閘極。將理解,以下所討論的材料僅是實例,故也可使用其他的材料。舉例而言,可使用如說明書中所討論的各種其他金屬材料來代替TiN。
實例1
將參照圖9a到圖9d討論實例1。閘介電質可包括HfO2。PMOS金屬電極藉由沈積(由下到上)2nm TiN層、1nm TaCN層、4nm TiN層、5nm TiAl層以及一層填充金屬而形成。NMOS金屬電極包括(由下到上)2nm TiN層、1nm TaCN層、5nm TiAl層以及一層填充金屬。針對NMOS,TiAl提供了低的功函數。然而,在PMOS堆疊中,TiAl層與HfO2/金屬電極之介面相隔很遠,且TiAl層對HfO2/金屬電極之介面的PMOS堆疊功函數的影響有限。在PMOS堆疊中,以Ar/H*(其中H*表示激發含氫物種,例如電漿中的氫自由基)進行的處理可在沈積TaCN層之前施行(圖9a及圖9b),可在沈積TaCN期間施行(如果使用電漿加強沈積製程來形成TaCN層)(圖9c),或是可在沈積TaCN層之後施行(圖9d)。
圖9a的堆疊可用下列的製造流程來製造: 1.沈積第一TiN層;2.在含氫氣體中處理第一TiN層;3.藉由熱製程沈積TaCN層,以及沈積第二TiN層;4.進行微影及蝕刻,以從NMOS元件移除第二TiN層,蝕刻終止於TaCN層上;以及5.沈積TiAl層及填充金屬。
圖9b的堆疊可用下列的製造流程來製造:1.沈積TiN層;2.進行微影及蝕刻,以在NMOS元件上形成保護層(例如,光阻層或SiO2層);3.在含氫氣體中處理TiN層;4.移除保護層;5.藉由熱製程沈積TaCN層,以及沈積第二TiN層;6.進行微影及蝕刻,以從NMOS元件移除第二TiN層,蝕刻終止於TaCN層上;以及7.沈積TiAl層及填充金屬。
圖9c的堆疊可用下列的製造流程來製造:1.沈積第一TiN層;2.藉由含氫電漿加強製程來沈積TaCN層;3.沈積第二TiN層;4.進行微影及蝕刻,以從NMOS元件移除第二TiN層,蝕刻終止於TaCN層上;以及5.沈積TiAl層及填充金屬。
圖9d的堆疊可用下列的製造流程來製造: 1.沈積第一TiN層及TaCN層;2.進行微影及蝕刻,以在NMOS元件上形成保護層(例如,光阻層或SiO2層);3.在含氫氣體中處理;4.移除保護層;5.沈積第二TiN層;6.進行微影及蝕刻,以從NMOS元件移除第二TiN層,蝕刻終止於TaCN層上;7.(進行選擇性蝕刻以削薄剩餘TaCN層的厚度);8.沈積TiAl層(nEWF)及填充金屬,並視情況地在沈積填充金屬之前,沈積薄阻障金屬層(例如,TiN層)。
實例2
將參照圖10討論實例2。閘介電質可包括HfO2。PMOS金屬電極藉由沈積2nm TiN層、以含氫氣體處理TiN層、沈積4nm TiN層以及沈積填充金屬而形成。NMOS金屬電極包括2nm第一TiN層、5nm TiAl層、4nm第二TiN層以及填充金屬層。圖10的堆疊可用下列的製造流程來製造:1.沈積第一TiN層及TiAl層;2.進行微影及蝕刻,以在NMOS元件上形成保護層(例如,光阻層或SiO2層);3.從PMOS元件移除TiAl層,終止蝕刻在TiN層上; 4.在含氫氣體中處理;5.移除保護層;以及6.沈積第二TiN層及填充金屬。
實例3
將參照圖11討論實例3。PMOS電極藉由沈積2nm TiN層、在含氫氣體中處理TiN層、沈積5nm TaC層以及沈積填充金屬層而形成。NMOS堆疊可包括5nm TaC層以及一層填充金屬。TaC也提供了適合NMOS元件的低功函數,且與TiAl相當。然而,TaC具有可藉由ALD來沈積的優勢,反之TiAl通常藉由PVD製程來形成。圖11的堆疊可用下列的製造流程來製造:1.沈積第一TiN層;2.在含氫氣體中處理;3.進行微影及蝕刻(視情況,用SiO2硬罩幕),以從NMOS元件移除TiN,蝕刻終止於HfO2;4.(如果適當,則視情況移除硬罩幕);5.沈積TaC層,以設定NMOS元件的EWF;以及6.沈積填充金屬。
實例4
將參照圖12討論實例4。PMOS金屬電極藉由沈積5nm TaC層、在含氫氣體中處理、以及沈積填充金屬層而形成。NMOS金屬電極包括5nm TaC層以及填充金屬,唯一的差異在於:針對NMOS金屬電極,省略了在含氫氣體中處理的步驟。一般認為,通過在含氫氣體中單獨處理TaC 層,可使TaC層的功函數充分地改變而達到適合PMOS元件及NMOS元件的功函數。圖12的堆疊可用下列的製造流程來製造:1.沈積TaC層(具有nEWF);2.進行微影及蝕刻,以保護層(例如,光阻層或SiO2層)來遮罩NMOS元件;3.在含氫氣體中處理以調整PMOS元件的EWF;4.移除NMOS元件的保護層;以及5.沈積填充金屬。
對於所有的實例1到實例4(示於圖9a到圖12)而言,在沈積填充金屬層之前,可額外地沈積例如2nm TiN層的薄阻障層。雖然不願受理論的限制,但據信上述的阻障層可保護這些功函數敏感層,使其免受來自填充金屬之雜質的內擴散(in-diffusion)。
本說明書中所描述的製程可用以同時調整PMOS元件及NMOS元件的有效功函數。另外,可形成具有不同功函數之不同類型的元件。將理解,對於電晶體元件而言,不同的功函數可提供不同的臨界電壓。因此,在一些實施例中,可能會形成一類具有低Vt(Vt為臨界電壓)的元件,其導致高速度及高功率消耗;一類具有中等Vt的元件,其導致中等速率及中等功率消耗;以及一類具有高Vt的元件,其導致低速率及低功率消耗,所有上述類型的元件藉由一製造流程形成在相同的基板上。依據本說明書中所討論的製程,可將不同類型的元件暴露於不同的氫處理,或 者,根據本說明書中所討論的製程,可針對各類型元件形成不同堆疊。舉例而言,可同時地沈積各類型元件的電極層,且當將一或多個類型的元件進行含氫氣體的暴露處理時,可使用保護層來保護一或多個其他類型的元件(如本說明書所討論)。隨後,可保護已暴露的元件,並可將保護層從一或多個其他類型元件移除以進行含氫氣體處理。此製程可重覆進行至所有類型的元件都如所願暴露於含氫氣體為止。可變化各類型元件的暴露參數,從而使制定不同類型元件的不同臨界電壓成為可能。
在一些其他的實施方案中,使不同類型的元件的電極堆疊個別形成。在形成各個堆疊的期間,藉由提供對含氫氣體的暴露的不同條件,以提供不同的臨界電壓。
本領域具有通常知識者將理解,可在不背離本發明之範疇下,上述製程可進行各種省略、增加及修改,且所有上述的修改及變化意欲落入如後附申請專利範圍所定義之本發明的範疇內。
10‧‧‧基板
20‧‧‧二氧化矽介面層
30‧‧‧高-k介電層
40‧‧‧介電蓋
50‧‧‧金屬電極層
52‧‧‧蝕刻終止層
54‧‧‧金屬電極層
56‧‧‧金屬填層
60、62、66‧‧‧多晶矽層
64、68‧‧‧溝渠
70‧‧‧側壁間隙壁
80‧‧‧源極/汲極區
90‧‧‧快速熱退火
參照以下圖式來描述本發明的非限制性實施例及非詳盡性實施例,其中貫穿全文以相似的元件符號指代相似的部件。
圖1a到圖1c顯示用以形成金屬閘電極之各種製造流程的實例。
圖2顯示用以沈積導電材料之各種製程順序的實例。
圖3為以圖解呈現示於圖2中之順序的結果的實例。
圖4顯示用以沈積導電材料之製程順序的其他實例。
圖5為以圖解呈現示於圖4中之順序的結果的實例。
圖6顯示用於圖2及圖4之製程順序中的一些條件。
圖7為以圖解呈現有效功函數隨著用於產生激發含氫物種之峰對峰電壓值的變化而變化的實例。
圖8為以圖解呈現有效功函數隨著用於產生激發含氫物種之峰對峰電壓值的變化,以及隨著用於產生激發含氫物種之各脈衝之功率施加時間的變化而變化的實例。
圖9a到圖12顯示出閘電極堆疊之示意剖面圖的實例。

Claims (16)

  1. 一種半導體處理的方法,包括:在基板上形成閘電極,所述閘電極包括第一層以及第二層,其中形成所述閘電極包括:在反應腔室中提供所述基板,所述基板包括閘介電質;在所述閘介電質上沈積包括過渡金屬化合物的所述第一層,其中在未使所述基板暴露於電漿或電漿產生之自由基下,進行沈積所述第一層;藉由使所述第一層暴露於激發含氫物種以使所述閘電極的功函數增加,其中用於產生所述激發含氫物種的電漿的峰對峰電壓值為110V或大於110V;以及接著沈積包括過渡金屬化合物的所述第二層,其中,在沈積所述第一層以及接著沈積所述第二層之間,氧氣電漿並未流入所述反應腔室中且氧氣並未流入所述反應腔室中。
  2. 如申請專利範圍第1項所述之半導體處理的方法,其中使所述第一層暴露於所述激發含氫物種包括:a)將氣體流入所述反應腔室中,且用所述氣體於所述反應腔室中形成原位電漿,所述氣體由以下組成的族群中選出:含氫氣體以及含氫氣體加上至少一惰性載氣;或b)將氣體流入遠端電漿產生器中以形成遠端電漿,且將所述遠端電漿流入所述反應腔室中,所述氣體由以下組成的族群中選出:含氫氣體以及含氫氣體加上至少一惰性 載氣。
  3. 如申請專利範圍第1項所述之半導體處理的方法,其中所述閘電極及所述閘介電質構成閘極堆疊,且所述閘極堆疊中之所述閘電極的功函數為4.85eV或大於4.85eV。
  4. 如申請專利範圍第1項所述之半導體處理的方法,其中用於產生所述激發含氫物種的電漿功率為175W或大於175W。
  5. 如申請專利範圍第1項所述之半導體處理的方法,其中以2秒或大於2秒的脈衝施加用於產生所述激發含氫物種的電漿功率。
  6. 如申請專利範圍第1項所述之半導體處理的方法,其中使所述第一層暴露於所述激發含氫物種為在沈積所述第二層之前藉由電漿加強原子層沈積法部分沈積薄膜於所述第一層上。
  7. 如申請專利範圍第1項所述之半導體處理的方法,其中藉由電漿加強原子層沈積法沈積的所述薄膜為含鉭薄膜。
  8. 如申請專利範圍第1項所述之半導體處理的方法,其中藉由原子層沈積法沈積所述第一層。
  9. 如申請專利範圍第8項所述之半導體處理的方法,其中藉由電漿加強原子層沈積法沈積所述第二層。
  10. 如申請專利範圍第1項所述之半導體處理的方法,更包括在a)沈積所述第一層與使所述第一層暴露於 所述激發含氫物種之間、b)使所述第一層暴露於所述激發含氫物種與沈積所述第二層之間、或是c)在a)與b)兩者情況下,於所述反應腔室外使所述基板暴露於含氧氣體。
  11. 如申請專利範圍第10項所述之半導體處理的方法,其中使所述基板暴露於所述含氧氣體包括從所述反應腔室中卸載所述基板,並使所述基板暴露於乾淨的室內空氣。
  12. 如申請專利範圍第1項所述之半導體處理的方法,其中所述第一層及所述第二層中的一者或兩者為包括多個材料子層的疊層。
  13. 一種半導體處理的方法,包括:於包括介電層的基板上沈積金屬電極,其中沈積所述金屬電極包括:在所述介電層上沈積金屬材料,其中用於沈積所述金屬材料的前驅物不是以電漿來活化;藉由使所述金屬材料暴露於激發含氫物種以使所述金屬電極的功函數增加,其中用於產生所述激發含氫物種的電漿功率為175W或大於175W;以及在暴露所述金屬材料之後,接著使額外的金屬材料沈積在所述金屬材料上,其中,在沈積所述金屬電極以及接著沈積所述額外的金屬材料之間,氧氣電漿並未流入反應腔室中且氧氣並未流入所述反應腔室中。
  14. 如申請專利範圍第13項所述之半導體處理的方 法,其中使所述金屬材料暴露於所述激發含氫物種包括:a)將氣體流入包括所述基板的所述反應腔室中,且用所述氣體於所述反應腔室中形成原位電漿,所述氣體由以下組成的族群中選出:含氫氣體以及含氫氣體加上至少一惰性載氣;或b)將氣體流入遠端電漿產生器中以形成遠端電漿,且將所述遠端電漿流入包括所述基板的所述反應腔室中,所述氣體由以下組成的族群中選出:含氫氣體以及含氫氣體加上至少一惰性載氣。
  15. 如申請專利範圍第13項所述之半導體處理的方法,其中用於產生所述激發含氫物種的電漿的峰對峰電壓值為110V或大於110V,且以2秒或大於2秒的脈衝施加用於產生所述激發含氫物種的電漿功率。
  16. 如申請專利範圍第13項所述之半導體處理的方法,其中所述金屬電極構成閘極堆疊的一部分,且其中與在使所述金屬材料未暴露於所述激發含氫物種下進行相同製程時的功函數相比,所述閘極堆疊中之所述金屬電極的功函數增加至大於0.15eV。
TW101118340A 2011-06-01 2012-05-23 半導體處理的方法 TWI550698B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161492207P 2011-06-01 2011-06-01
US13/359,385 US9136180B2 (en) 2011-06-01 2012-01-26 Process for depositing electrode with high effective work function

Publications (2)

Publication Number Publication Date
TW201303982A TW201303982A (zh) 2013-01-16
TWI550698B true TWI550698B (zh) 2016-09-21

Family

ID=47261992

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101118340A TWI550698B (zh) 2011-06-01 2012-05-23 半導體處理的方法

Country Status (4)

Country Link
US (1) US9136180B2 (zh)
JP (1) JP6125765B2 (zh)
KR (1) KR101863354B1 (zh)
TW (1) TWI550698B (zh)

Families Citing this family (298)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8859368B2 (en) * 2012-09-04 2014-10-14 Globalfoundries Inc. Semiconductor device incorporating a multi-function layer into gate stacks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
EP2750167A1 (en) * 2012-12-31 2014-07-02 Imec Method for tuning the effective work function of a gate structure in a semiconductor device
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6149634B2 (ja) * 2013-09-17 2017-06-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015063840A1 (ja) * 2013-10-28 2015-05-07 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
WO2015068264A1 (ja) * 2013-11-08 2015-05-14 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP2953162A1 (en) 2014-06-06 2015-12-09 IMEC vzw Method for manufacturing a semiconductor device comprising transistors each having a different effective work function
KR102262887B1 (ko) 2014-07-21 2021-06-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9837504B2 (en) * 2015-10-28 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modifying capping layer in semiconductor structure
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
EP3439019B1 (en) * 2016-03-30 2022-07-06 Stanley Electric Co., Ltd. N-type electrode, method for manufacturing n-type electrode, and n-type laminated structure wherein n-type electrode is provided on n-type group iii nitride single crystal layer
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) * 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180059649A (ko) 2016-11-25 2018-06-05 삼성전자주식회사 반도체 장치의 제조 방법
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102295721B1 (ko) 2017-09-08 2021-08-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
KR102403856B1 (ko) * 2018-11-05 2022-05-30 램 리써치 코포레이션 에칭 층을 에칭하기 위한 방법
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11527621B2 (en) 2020-08-05 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate electrode deposition and structure formed thereby
JP2023538031A (ja) * 2020-08-20 2023-09-06 アプライド マテリアルズ インコーポレイテッド 窒化チタン膜の処理方法
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080182411A1 (en) * 2007-01-26 2008-07-31 Asm America, Inc. Plasma-enhanced ald of tantalum nitride films
US7531437B2 (en) * 2004-09-30 2009-05-12 Intel Corporation Method of forming metal gate electrodes using sacrificial gate electrode material and sacrificial gate dielectric material
US20100111781A1 (en) * 2008-10-31 2010-05-06 Ngk Insulators, Ltd. Honeycomb structure and reactor using honeycomb structure
US20100127335A1 (en) * 2008-11-21 2010-05-27 Texas Instruments Incorporated Methods to Enhance Effective Work Function of Mid-Gap Metal by Incorporating Oxygen and Hydrogen at a Low Thermal Budget

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3518059B2 (ja) * 1995-06-12 2004-04-12 ソニー株式会社 Mis型トランジスタの製造方法
JPH10237662A (ja) 1996-12-24 1998-09-08 Sony Corp 金属膜のプラズマcvd方法、および金属窒化物膜の形成方法ならびに半導体装置
US6089184A (en) 1997-06-11 2000-07-18 Tokyo Electron Limited CVD apparatus and CVD method
US6027961A (en) 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
US6268288B1 (en) 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
US6555183B2 (en) 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US6420236B1 (en) * 1999-08-24 2002-07-16 Texas Instruments Incorporated Hydrogen treatment for threshold voltage shift of metal gate MOSFET devices
US6436819B1 (en) 2000-02-01 2002-08-20 Applied Materials, Inc. Nitrogen treatment of a metal nitride/metal stack
JP4567167B2 (ja) * 2000-09-18 2010-10-20 東京エレクトロン株式会社 半導体装置およびその製造方法
US6603181B2 (en) * 2001-01-16 2003-08-05 International Business Machines Corporation MOS device having a passivated semiconductor-dielectric interface
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US9708707B2 (en) 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6858524B2 (en) 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
KR100560666B1 (ko) * 2003-07-07 2006-03-16 삼성전자주식회사 반도체 소자 제조용 금속막 증착 시스템 및 그 운용 방법
WO2006095577A1 (ja) * 2005-03-08 2006-09-14 Sharp Kabushiki Kaisha 金属材料およびその製造方法、薄膜装置およびその製造方法、素子側基板およびその製造方法、液晶表示装置およびその製造方法
US7317229B2 (en) * 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US7538001B2 (en) * 2005-09-01 2009-05-26 Micron Technology, Inc. Transistor gate forming methods and integrated circuits
JP5177980B2 (ja) * 2006-09-05 2013-04-10 東京エレクトロン株式会社 半導体装置およびその製造方法
US7582521B2 (en) 2007-05-04 2009-09-01 Texas Instruments Incorporated Dual metal gates for mugfet device
JP5498011B2 (ja) * 2008-11-13 2014-05-21 株式会社東芝 不揮発性半導体記憶装置
JP2010123660A (ja) * 2008-11-18 2010-06-03 Fujitsu Microelectronics Ltd 絶縁ゲート型半導体装置及びその製造方法
US8557702B2 (en) * 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US8513107B2 (en) * 2010-01-26 2013-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement gate FinFET devices and methods for forming the same
US8330227B2 (en) * 2010-02-17 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated semiconductor structure for SRAM and fabrication methods thereof
US8642457B2 (en) * 2011-03-03 2014-02-04 United Microelectronics Corp. Method of fabricating semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7531437B2 (en) * 2004-09-30 2009-05-12 Intel Corporation Method of forming metal gate electrodes using sacrificial gate electrode material and sacrificial gate dielectric material
US20080182411A1 (en) * 2007-01-26 2008-07-31 Asm America, Inc. Plasma-enhanced ald of tantalum nitride films
US20100111781A1 (en) * 2008-10-31 2010-05-06 Ngk Insulators, Ltd. Honeycomb structure and reactor using honeycomb structure
US20100127335A1 (en) * 2008-11-21 2010-05-27 Texas Instruments Incorporated Methods to Enhance Effective Work Function of Mid-Gap Metal by Incorporating Oxygen and Hydrogen at a Low Thermal Budget

Also Published As

Publication number Publication date
TW201303982A (zh) 2013-01-16
KR20120135473A (ko) 2012-12-14
JP2012253352A (ja) 2012-12-20
KR101863354B1 (ko) 2018-05-31
JP6125765B2 (ja) 2017-05-10
US20120309181A1 (en) 2012-12-06
US9136180B2 (en) 2015-09-15

Similar Documents

Publication Publication Date Title
TWI550698B (zh) 半導體處理的方法
JP2004186693A (ja) 調節された仕事関数で電極を形成する方法
US9711373B2 (en) Method of fabricating a gate dielectric for high-k metal gate devices
TWI420674B (zh) 氮化矽與氧氮化矽的電漿處理
JP6218384B2 (ja) タングステンゲート電極を備えた半導体装置の製造方法
US20150255267A1 (en) Atomic Layer Deposition of Aluminum-doped High-k Films
US10608097B2 (en) Low thickness dependent work-function nMOS integration for metal gate
TW200905802A (en) Semiconductor device and method for manufacturing the same
JP2010103386A (ja) 半導体装置およびその製造方法
US7494859B2 (en) Semiconductor device having metal gate patterns and related method of manufacture
CN106409677A (zh) 半导体器件及其形成方法
KR101423752B1 (ko) 게이트 스택 형성 동안 고-유전상수 게이트 유전층에서의 포인트 결함을 패시베이팅하는 방법
JP5209791B2 (ja) 半導体装置およびその製造方法
JP2008004578A (ja) 半導体装置の製造方法および半導体装置
JP2010034440A (ja) 半導体装置及びその製造方法
TW201405808A (zh) 具有垂直閘極之半導體元件及其製造方法
US9330901B2 (en) Nitrogen-containing oxide film and method of forming the same
US9892923B2 (en) Method for tuning the effective work function of a metal
TWI568877B (zh) 周期式薄膜沉積方法、半導體製造方法及半導體裝置
KR100773751B1 (ko) 박막 증착 방법
TW202412185A (zh) 防止鋁擴散之阻障層