TWI541923B - 具有加熱旋轉基板支撐件的晶圓處理裝置 - Google Patents

具有加熱旋轉基板支撐件的晶圓處理裝置 Download PDF

Info

Publication number
TWI541923B
TWI541923B TW101125102A TW101125102A TWI541923B TW I541923 B TWI541923 B TW I541923B TW 101125102 A TW101125102 A TW 101125102A TW 101125102 A TW101125102 A TW 101125102A TW I541923 B TWI541923 B TW I541923B
Authority
TW
Taiwan
Prior art keywords
heater
substrate
support
container
substrate support
Prior art date
Application number
TW101125102A
Other languages
English (en)
Other versions
TW201310566A (zh
Inventor
瑞達 克里斯G M 迪
科拉斯P 波斯特拉
泰奧多勒斯G M 歐思特拉肯
巴倫德J T 雷勒芬霍爾思特
Original Assignee
Asm國際股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm國際股份有限公司 filed Critical Asm國際股份有限公司
Publication of TW201310566A publication Critical patent/TW201310566A/zh
Application granted granted Critical
Publication of TWI541923B publication Critical patent/TWI541923B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

具有加熱旋轉基板支撐件的晶圓處理裝置
本發明係關於半導體處理之領域,且更明確地係關於一種包含加熱旋轉基板支撐件之半導體處理裝置。
在一垂直熱處理熔爐/反應器中同步處理複數個半導體晶圓時將出現問題,即如何使疊積入一晶圓舟中之所有晶圓,可在橫跨其各別之表面區域上,皆歷經大致相同之處理條件。此一處理條件係曝露處理氣體。為提升此曝露之均勻性,一垂直熔爐通常裝設有一舟旋轉機構,可在處理期間旋轉該晶圓舟,以均化可與晶圓接觸之處理氣體流 中的不均勻。另一處理條件係晶圓溫度。為使整批之基板達成均勻之處理結果,較佳地可藉由設置於一處理室側壁附近、及一處理室頂壁附近之加熱構件,大致均勻地加熱其每一晶圓達一共同溫度。尤其關於該晶圓舟中之上方晶圓,晶圓對晶圓溫度均勻性大體上並非一重大問題,且同時可藉前述之舟旋轉來加強(因熔爐結構之非對稱性所造成的)晶圓內溫度均勻性。然,在一垂直熱處理熔爐/反應器中,已證實該晶圓舟中之下方基板溫度難以控制。這一部份係因該基板接近位於相對較冷之熔爐下方門區。為舒緩其位置效應,由下方支撐該晶圓舟之一臺座可設有額外之加熱構件,用於加熱下方晶圓。儘管這種加熱構件可使橫跨該批次晶圓之晶圓對晶圓溫度均勻性提高,然該等加熱構件及/或其所產生之加熱輪廓中的任何不均勻,皆將輕易地影響下方晶圓之晶圓內溫度均勻性。
為克服此問題,國際專利申請案第WO 2004/008491號(Dubois等)建議,將垂直熔爐裝設一磁性耦合晶圓旋轉系統,用於使晶圓舟相對於靜止臺座旋轉。該旋轉機構包含一驅動軸,在該臺座內側垂直地延伸。該驅動軸之下方末端係磁性耦合至一旋轉馬達,且同時存駐於該臺座一頂部中之上方末端係磁性耦合至一支撐件,該支撐件係連接至該晶圓舟且該支撐件其本身係支撐於該臺座上。該馬達之旋轉運動可因此磁性地傳遞至該驅動軸(之下方末端)上,且由該驅動軸(之上方末端)至該晶圓舟之支撐件上。使用時,該舟係相對於該臺座旋轉,以均化加熱元件中之不均 勻對下方基板溫度所造成之任何影響。顯著地,專利申請案第WO’491號係關於該臺座與該舟之間的一軸承機構呈靜止。可了解到,這種軸承機構係晶圓旋轉機構之一主要組件。此外,該機構並非一般組件,特別係因該軸承機構將存駐於熔爐之高溫處理環境,其中充滿可污染與侵襲該軸承而大幅縮短其使用壽命之化學反應物。因此,專利申請案第WO’491號似僅揭示一種純理論且無法致用之前述問題解決方案。
本發明之目的係提供一種半導體基板處理裝置,及一種即使結合於支撐基板用基板支撐件中之加熱元件(所產生加熱輪廓)具有不均勻性,仍允許均勻地加熱一個或更多基板之方法。
為此,本發明之一第一構想係指一種半導體基板處理裝置。該裝置包含一基板支撐總成,包括可定義一外支撐表面以將一基板或基板托架支撐其上之一基板支撐件,及一加熱器,包括設置於該基板支撐件內且在該支撐表面下方、與其大致平行延伸之一熱散逸部。該基板支撐件係環繞著延伸貫穿該支撐表面之一旋轉軸線以可旋轉式安裝,使該支撐表面可相對於該加熱器之熱散逸部旋轉。
本發明之一第二構想係指一種方法。該方法包含,提供依據本發明第一構想之一半導體處理裝置。該方法尚包含,提供至少一基板,及可能地經由中介之一基板托架來 支撐該至少一基板於該基板支撐件之支撐表面上。該方法亦包含,同步地(i)加熱該加熱器,以使該加熱器之熱散逸部散逸熱,及(ii)使該基板支撐件環繞其旋轉軸線旋轉,以使其支撐表面上所支撐之該至少一基板相對於下方之該加熱器熱散逸部旋轉。
依據本發明之方法及裝置係以一基板支撐總成為特點,其包含一可旋轉基板支撐件結合一整合或內部加熱器。該基板支撐件、及(直接或經由中介之一基板托架)支撐其上之任何基板,可環繞該基板支撐件之旋轉軸且相對於該加熱器旋轉。這種旋轉可均化該加熱器(加熱輪廓)中之不均勻效應,且提升該等獲支撐基板之基板內溫度均勻性。依據本發明之裝置及方法可應用至,各種半導體處理裝置,特別地包含垂直熱分批式熔爐、及包括一可旋轉基板支撐件或支承基座之單一基板處理裝置;可參見美國專利申請案第US 2010/0224130號(Smith等)之裝置,即屬後者型式之一範例。
相較於國際專利申請案第WO 2004/008491號(Dubois 等)之前述垂直分批式熔爐,本揭示裝置展現一明顯改良。如上所述者,專利申請案第WO’491號之一問題在於,一晶圓舟係相對於包括一靜止加熱器之一靜止臺座旋轉。這需要設置於該舟與該臺座之間的一軸承,該軸承因此位於該熔爐之反應空間中。緣是,該軸承將與該晶圓舟中之(下方)基板面對大體上相同之處理條件,如此將對其作動產生負面影響。在提出之裝置中,由於該基板支撐件或臺座本 身可旋轉,因此該基板支撐件之支撐表面與存駐於其上之基板托架之間,無需任何軸承。反而,該裝置可使用,允許該基板支撐件與固定環境之間相對旋轉的一軸承,但該軸承可適當地設置於該反應空間外側。
在該裝置之一較佳具體實施例中,該加熱器可相關於該基板支撐件之旋轉軸線,以不可旋轉式安裝。亦即,當在該裝置處於一完全組立、作動狀態下,該加熱器不可環繞該旋轉軸線旋轉(如以下將闡明者,一不可旋轉式安裝加熱器實際上可在當該裝置處於一部份組立、未作動狀態下,呈現可旋轉式安裝)。一不可旋轉或靜止加熱器配置,大體上可簡化該裝置之結構。譬如在一電加熱器之情況下,可避免需要連接該加熱器與電源供應器用之高功率滑動/電刷電氣接觸子。反而,可使用固定且耐磨連接件。緣是,可更輕易且更經濟地建構一不可旋轉式安裝加熱器,其在使用壽命期間更可靠且較不需維護。
又,一不可旋轉式安裝加熱器架構並非唯一可想出之架構。例如,在該裝置之另一具體實施例中,該加熱器亦可較佳地環繞該基板支撐件之旋轉軸以可旋轉式安裝,但該裝置亦可配置成,使該加熱器與該基板支撐件在作動期間,無法具有大致相同之非零角速度。亦即,該加熱器可調整成適應於,在使用期間旋轉,但僅依高於或低於該基板支撐件角速度之一角速度,這實際上意謂該基板支撐表面與該加熱器之間具相對運動。為此,該加熱器之旋轉可譬如藉由一齒輪機構或傳動裝置、或著經由一流體(帶動) 耦接,而與該基板支撐件者相耦接。另一選擇為,可與該基板支撐件相獨立地驅動該加熱器、即無需可在二部件之間傳遞動力/旋轉運動之一該二部件機械耦接。
可由以下結合附屬圖式所作之某些闡述用且非限制發明用特定發明具體實施例詳細說明,來更充分地了解本發明之這些及其他特點與優點。
第1圖及第2圖係以剖面側視圖概略圖示出,依據本發明之一垂直熱處理熔爐/反應器1第一解說用具體實施例的一上方部。第3圖至第5圖係以剖面正視圖與側視圖概略圖示出,依據本發明之一垂直熱處理熔爐/反應器1第二變型解說用具體實施例的一上方部。以下將以一般術語及參考圖式來說明該二具體實施例之結構。
一般而言,除將於稍後說明之基板支撐總成30以外,依據本發明之一垂直熱處理熔爐/反應器1可呈一習知設計。可譬如呈一單(或雙,未顯示)管型,且包含一大體上鐘形罩型反應管10。反應管10可具有譬如圓形或多邊形等一大體上管狀剖面外型,且沿一中心軸線L延伸。關於製作材質,反應管10可由石英、碳化矽、矽、或其他適當耐熱材料製成。反應管10可界定出一反應室12,該反應室係定義出,可在其中對基板譬如施加熱退火或沉積處理作業等處理之一反應空間14。可藉譬如由一電源供應器(未顯示)供應電力之一電阻式加熱盤管18等一加熱構件包圍 反應管10,以加熱反應空間14中所收容之基板。加熱構件18可緊固至,圍繞反應管10之一隔熱套筒16。在其下方開放末端處,反應管10可支撐於一典型不鏽鋼凸緣20上,該凸緣定義一中心熔爐開口22,供一晶圓舟24由此進入及/或退出反應室12。
晶圓舟24可固定地安裝於一基板支撐總成30之一基板支撐件或臺座32的一支撐表面34上。該晶圓舟可呈一習知設計,且包含複數個垂直相互間隔狹槽26,以均等地固持眾多半導體晶圓28,其中每一圖式各僅顯示出一該等晶圓。
為使晶圓舟24在處理期間旋轉,晶圓舟24設置所在之基板支撐件32可環繞熔爐1之中心軸線L以可旋轉式安裝。基板支撐總成30可尚包含一加熱器50,至少部份地在基板支撐件32內且於支撐表面34下方延伸,以輔助加熱晶圓舟24中之下方基板28。為容許基板支撐件32相對於加熱器50旋轉,以均化該加熱器(加熱曲線)中之不均勻效果,可將基板支撐總成30配置成,使基板支撐件32環繞其旋轉軸線L之一旋轉包含基板支撐表面34與加熱器50之間的相對運動。這種架構可依不同方式達成,如分別由第1圖至第2圖、及第3圖至第5圖之變型具體實施例圖示者,以下將依序闡述。
首先請參考第1圖至第2圖具體實施例之配置。基板支撐件32可包含一圓柱形容器36,其環繞旋轉軸線L定心。容器36可包含一大致平坦底壁36a、一圓筒套型側壁 36b、及一大致平坦頂壁36c,該等壁可互連以形成容器36。頂壁36c可提供外部面朝上支撐表面34,旋轉軸線L可延伸貫穿該表面、且較佳地與其正交。
延伸於底壁36a與頂壁36c之間的容器36本體可定義一內部空間,其可至少部份地充滿譬如Kanthal公司Fibrothal®之Fibrothal F17等一隔熱材料38。隔熱材料38可作為一門板42及凸緣20等二者之一熱屏蔽,且有助於減少經由熔爐1下方部造成之熱損失。
隔熱材料38可不直接承載於容器36之底壁36a上,改為支撐於設置在容器36內之一支撐板39上、位於該容器底壁36a正上方且免於其約束。為達成這種「浮動結構」,容器36之底壁36a可設有一中空、大致圓筒套型驅動軸37,其定心於旋轉軸線L上。驅動軸37可自底壁36a朝下突出,且定義出可貫穿其之一軸向通路37a。支撐板39可相似地設有一大致圓筒套型支撐軸39a,自支撐板39朝下突出。支撐軸39a可與驅動軸37同軸地延伸,且定義出可貫穿支撐板39之一通路。一容器與加熱器襯套間軸承44b可設於驅動軸37與支撐軸39a之間,使軸承44b嚙合驅動軸37之一內周邊(或至少置於驅動軸軸向通路37a內)、及支撐軸39a之一外周邊。軸承44b可支承或支撐該支撐板39,且輔助容器36環繞其旋轉。
圓柱形容器36可連接至、且支撐於基板支撐總成30之一底座總成上,該總成可包含熔爐1之一門板或密封蓋42。圓柱形容器36可藉一軸承44a,以可旋轉式安裝於該 底座總成42上,該軸承如同軸承44b,可呈譬如一滾珠、流體、或磁性軸承等任何適當型式。軸承44a、44b二者較佳地可為定義出圓形、同軸座圈之滾珠軸承。軸承44a較佳地可在容器36之一下方端(即,基板支撐表面34之一末梢端)處與其相連接,使軸承44a大致設置於基板支撐件32下方,且與支撐於支撐表面34上之基板28所歷經之一處理氣體環境相屏蔽。在描述之具體實施例中,軸承44a係與突出自容器36底壁36a之驅動軸37的一外周邊相嚙合。圓柱形容器36因此可相對於門板42(在軸承44a上)及支撐板39(在軸承44b上)二者旋轉。
如上所述者,基板支撐件32可尚容置一加熱器或加熱元件50。加熱器50大體上可包括一熱散逸/生成部54,配置成可散逸/生成基板支撐件32內側需求之熱,及一連接部52,連接至熱散逸部54,以將能量自基板支撐件32外側傳遞至該部。加熱器50可呈任何適當型式。例如,可配置成譬如藉一已加熱流體傳導或循環,將熱傳遞至基板支撐件32中。然,在一較佳具體實施例中,加熱器50可為一電阻式加熱器。
在第1圖及第2圖之具體實施例中,一電阻式加熱器50係與隔熱材料38呈一固定靜止關係設置。該加熱器之熱散逸部54可包含一個或更多電阻式加熱盤管,且當由中心軸線L觀看時,該等盤管係在位於該容器頂壁36c下方、大致與其平行且相鄰(譬如相距25公分之一距離內、且較佳地10公分之一距離內)之一平面上,沿徑向朝外延伸。 熱散逸部54較佳地可延伸橫跨,大致相等於支撐表面34區域之一區域,以橫跨支撐於該表面之晶圓舟24中(下方)晶圓28整個表面進行加熱。
為使該等下方晶圓之溫度均勻性進一步最佳化,加熱器50可定義超過一個可單獨控制加熱區。每一加熱區可各與僅在一部份基板支撐表面34下方延伸之加熱器50一(次)加熱散逸部、譬如一電阻式加熱盤管相結合。例如,一第一區在支撐表面34之一中心區間下方延伸,且一第二區可在支撐表面34之一外部區間下方延伸。在另一具體實施例中,一第一區可在支撐表面34之一第一切線延伸區間上方延伸,且一第二區可在支撐表面34之一第二切線延伸區間上方延伸, 為了支撐,加熱器50之熱散逸部54可承載於容器36中之隔熱材料38上方。加熱器50之連接部52可提供進出熱散逸部54盤管之電導線、較佳地為每盤管一對導線,以允許單獨地控制每一相連結加熱區。該連接部可在該等加熱盤管之一中心處連接至熱散逸部54,且自該處沿中心軸線L朝下延伸,貫穿其嵌入所在之隔熱材料38,並進入支撐板39之支撐軸39a中。在此,連接部52可安裝、或終結於一栓塞56中,該栓塞可與支撐軸39a之下方末端固定地整合於一體。請了解到,加熱器50之連接部52可較佳地無熱散逸/生成。
上述中已提出,該底座總成包含熔爐1門板42。實際上,可額外地包括一可動式裝載器或支撐臂(未顯示)。該 裝載器臂可設置於門板42下方,以支撐該門板、及基板支撐件32,且允許分別在一處理作業起始與結束時,將基板支撐件32抬升入與降下離開熔爐1之反應室12。該裝載器臂可包括一中心承窩,配置成收容支撐軸39a之下方末端,該支撐軸包含在加熱器50連接部52下方末端處整合入其中之栓塞56。該承窩較佳地可配置成作為一制動裝置,以防止獲收容栓塞56環繞旋轉軸線L旋轉。緣是,當門板42支撐於該裝載器臂上時,門板42與支撐板39可依一固定靜止關係固持,且同時基板支撐件32係以可旋轉式安裝於該二者之間。為使基板支撐件32之圓柱形容器36環繞其旋轉軸線L旋轉,該底座總成可額外地包含一馬達驅動裝置,其可整合於該裝載器臂中。該馬達驅動裝置可嚙合圓柱形容器36之驅動軸39a,以一同旋轉該驅動軸、及支撐於其上之晶圓舟24。該底座總成,及包含支撐板39、隔熱材料38、及加熱器50之其餘熔爐1固定、不可旋轉式安裝構造,將在基板支撐件32旋轉期間,仍保持靜止。
現在請參考第3圖至第5圖,其圖示出基板支撐總成30之一變型具體實施例。第二解說用具體實施例不同於第1圖至第2圖中者在於,隔熱材料38係與基板支撐件32之容器36呈一靜態關係,這需要加熱器50自我支撐,如以下將闡述者。
在第3圖至第5圖之具體實施例中,延伸於底壁36a與頂壁36c之間的容器36本體可定義二本體部份。鄰近頂 壁36c之一第一本體部份可定義一內部空間,用於收容加熱器50之熱散逸部54。鄰近底壁36a之一第二本體部份可定義環繞旋轉軸線L之一內部環形空間,可至少部份地充滿隔熱材料38。可由第3圖至第5圖清楚看出,熔爐1之第二具體實施例並未包含一支撐板39來支撐隔熱材料38。反而,隔熱材料38係直接承載於容器36之底壁36a上,以與其呈一固定、靜止關係。亦即,當容器36旋轉時,隔熱材料38將與其一同運動。
為容許容器36相對於加熱器50旋轉,容器36可定義一中空軸40,自該第一本體部份起,延伸貫穿該第二本體部份、及設於其中之隔熱材料38,且與容器36底壁36a上之驅動軸37中心軸向通路37a同軸。
加熱器50可又包括一連接部52及一熱散逸部54。連接部52可具有一細長或筆直外型,其設有一第一、下方末端及一第二、上方末端。連接部52之下方末端可安裝於栓塞56上,其中該栓塞係位於驅動軸37之中心軸向通路37a內側,且可經由一容器與加熱器襯套間軸承44b支撐地連接至驅動軸37。連接部52可自栓塞56自由地朝上延伸貫穿中空軸40。連接部52之第二、上方末端可在通過軸40處,連接至熱散逸部54。熱散逸部54可又呈大致平面,且在位於支撐表面34下方、與其相鄰及平行之一平面上延伸,並且較佳地涵蓋大致相等於支撐表面34區域之一區域。第3圖至第5圖具體實施例之加熱器50具自我支撐之效用,除其以軸承連接至栓塞56以外,已無任何外在實體支撐件來確 保其保持位置或架構。加熱器50尤其未與基板支撐件32可旋轉式安裝容器36內之隔熱材料作機械式接觸。
如同第1圖至第2圖之第一具體實施例,門板42可支撐於一裝載器臂(未顯示)上,該裝載器臂可包括一承窩,配置成制動地收容栓塞56。當該裝載器臂位於適當位置時,其馬達可譬如經由設於基板支撐件32驅動軸37中之一貫穿容器驅動軸之徑向通路37b,而嚙合該驅動軸,且驅動該驅動軸來相對於加熱構件18、及加熱器50熱散逸部54二者,轉動基板支撐件32、及支撐於其上之晶圓28,以均化加熱構件18、及加熱器50熱散逸部54之加熱輪廓中的不均勻對該等晶圓所造成之影響。
儘管以上已部份地參考附屬圖式來說明本發明之圖示具體實施例,然請注意到,本發明並非以這些具體實施例為限。熟於本項技藝者可在經由研究圖式、說明、及隨附申請專利範圍來實行申請專利之發明時,了解及實現所揭示具體實施例之變動。
如此,請注意到,本發明申請案並非以垂直熱熔爐為限。例如,美國專利案第US 2010/0224130號(Smith等)係描述一種使用旋轉基板支撐件來處理單一基板之裝置。該揭示裝置包含一容室,具有置於該容室內之一基板支撐總成。該基板支撐總成包含一基板支撐件,其具有一支撐表面、及置於該支撐表面下方之一加熱器。一轉軸係耦接至該基板支撐件,且一馬達係經由一轉子耦接至該轉軸,以提供該基板支撐件旋轉運動。由於該加熱器係堅固地整 合(入)該基板支撐件,使該基板支撐件之一旋轉承擔該加熱器之一完全相同旋轉,因此支撐於基板支撐表面上之一基板將不致在處理期間相對於該加熱器旋轉、或著運動。緣是,該加熱器所產生之熱場中的任何不均勻,皆可造成非期望之基板內溫度分佈變動。本發明顯然可應用至美國專利申請案第US’130號之單一基板處理裝置中,以克服此問題。
綜觀本說明書全文,關於「某一具體實施例」或「一具體實施例」意指,結合該具體實施例作說明之一特殊特點、構造、或特徵係包含於本發明之至少一具體實施例中。是以,綜觀本說明書各不同位置出現之「某一具體實施例中」或「一具體實施例中」詞語,並非必須皆關聯於相同具體實施例。更,請注意到,一個或更多具體實施例之特殊特點、構造、或特徵可依任何適當方式組合,以形成新、未明確描述之具體實施例。
1‧‧‧垂直熱處理熔爐/反應器
10‧‧‧反應管
12‧‧‧反應室
14‧‧‧反應空間
16‧‧‧隔熱套筒
18‧‧‧電阻式盤管
20‧‧‧凸緣
22‧‧‧中心熔爐開口
24‧‧‧晶圓舟
26‧‧‧晶圓收容狹槽
28‧‧‧晶圓
30‧‧‧基板支撐總成
32‧‧‧基板支撐件/臺座
34‧‧‧支撐表面
36‧‧‧圓柱形容器
36a‧‧‧容器底壁
36b‧‧‧容器側壁
36c‧‧‧容器頂壁
37‧‧‧容器驅動軸
37a‧‧‧貫穿容器驅動軸之軸向通路
37b‧‧‧貫穿容器驅動軸之徑向通路
38‧‧‧隔熱材料
39‧‧‧支撐板
39a‧‧‧支撐軸
40‧‧‧貫穿隔熱材料之中空軸
42‧‧‧門板
44a‧‧‧門板與容器間軸承
44b‧‧‧容器與加熱器襯套間軸承
46‧‧‧彈性O型環
50‧‧‧加熱器
52‧‧‧加熱器連接部
54‧‧‧加熱器之熱散逸/生成部
56‧‧‧栓塞
L‧‧‧中心軸線
第1圖係依據本發明之一垂直熱處理熔爐/反應器一第一解說用具體實施例的一部份概略剖面側視圖,包含一基板支撐總成,該總成具有一基板支撐件,可環繞著容置於其中之一加熱器旋轉;第2圖係第1圖中所顯示之垂直熱熔爐基板支撐總成的放大剖面側視圖;第3圖係依據本發明之一垂直熱處理熔爐/反應器一 第二解說用具體實施例的一部份概略剖面透視圖,包含一基板支撐總成,該總成具有一基板支撐件,可環繞著容置於其中之一加熱器旋轉;第4圖係第3圖中所顯示之垂直熱熔爐概略剖面側視圖;及第5圖係第3圖及第4圖中所顯示之垂直熱熔爐基板支撐總成的放大剖面側視圖。
1‧‧‧垂直熱處理熔爐/反應器
10‧‧‧反應管
12‧‧‧反應室
14‧‧‧反應空間
16‧‧‧隔熱套筒
18‧‧‧電阻式盤管
20‧‧‧凸緣
22‧‧‧中心熔爐開口
24‧‧‧晶圓舟
26‧‧‧晶圓收容狹槽
28‧‧‧晶圓
32‧‧‧基板支撐件/臺座
34‧‧‧支撐表面
36‧‧‧圓柱形容器
36a‧‧‧容器底壁
36b‧‧‧容器側壁
36c‧‧‧容器頂壁
37‧‧‧容器驅動軸
37a‧‧‧貫穿容器驅動軸之軸向通路
37b‧‧‧貫穿容器驅動軸之徑向通路
38‧‧‧隔熱材料
40‧‧‧貫穿隔熱材料之中空軸
42‧‧‧門板
44a‧‧‧門板與容器間軸承
44b‧‧‧容器與加熱器襯套間軸承
46‧‧‧彈性O型環
50‧‧‧加熱器
52‧‧‧加熱器連接部
54‧‧‧加熱器之熱散逸/生成部
56‧‧‧栓塞

Claims (16)

  1. 一種半導體基板處理裝置(1),包括:一基板支撐總成(30),包含:一基板支撐件(32),定義一外支撐表面(34),以將一基板或晶圓舟(24)支撐其上;及一加熱器(50),包括一熱散逸部(54),設置於該基板支撐件(32)內且在該支撐表面(34)下方、與其大致平行延伸,該基板支撐件(32)係環繞著延伸貫穿該支撐表面(34)之一旋轉軸線(L),以可旋轉式安裝,其中該旋轉軸線(L)垂直該基板之一主要表面或該晶圓舟(24),使該支撐表面(34)可相對於該加熱器(50)之熱散逸部(54)旋轉。
  2. 如申請專利範圍第1項所述之裝置,其中該加熱器(50)係相關於該旋轉軸線(L)以不可旋轉式安裝。
  3. 如申請專利範圍第1或2項所述之裝置,其中該基板支撐總成(30)尚包括一底座總成,其包含一門板(42),用於密封該裝置(1)之一反應空間,其中該基板支撐件(32)係經由一第一軸承(44a)連接至該底座總成(42),以輔助該基板支撐件相對於該底座總成環繞該旋轉軸線(L)旋轉。
  4. 如申請專利範圍第3項所述之裝置,其中該加熱器(50)係經由一第二軸承(44b)連接至該基板支撐件(32),以輔助該基板支撐件相對於該加熱器環繞該旋轉軸線(L)旋轉。
  5. 如申請專利範圍第3項所述之裝置,其中該第一與第二軸承係可為定義出圓形且同軸座圈之滾珠軸承。
  6. 如申請專利範圍第3項所述之裝置,其中該基板支撐件(32)包含一底壁(36a)、一圓筒型側壁(36b)、及一頂壁(36c),該等壁係互連,以定義一較佳大體上圓柱形容器(36),其中該頂壁(36c)之一外表面係定義該基板支撐件之支撐表面(34);及其中該容器(36)係容置至少該加熱器(50)之熱散逸部(54)。
  7. 如申請專利範圍第3項所述之裝置,其中該容器(36)之底壁(36a)設有一朝下突出驅動軸(37),其定義出延伸貫穿該底壁之一通路(37a),以及其中該第一軸承(44a)係嚙合該驅動軸(37)之一外周邊;及其中該第二軸承(44b)係嚙合該驅動軸(37)之一內周邊。
  8. 如申請專利範圍第7項所述之裝置,其中該容器(36)至少部份地充滿一隔熱材料,置於該容器底壁(36a)與該加熱器(50)熱散逸部(54)之間。
  9. 如申請專利範圍第8項所述之裝置,其中該隔熱材料(38)係與該加熱器(50)呈一固定靜止關係設置。
  10. 如申請專利範圍第8或9項所述之裝置,尚包括一支撐板(39),置於該容器(36)內、鄰近其底壁(36a)但與其 相間隔之一位置,其中該支撐板(39)設有一支撐軸(39a),自該支撐板朝下突出而同軸地貫穿該容器(36)底壁(36a)上之驅動軸(37);及其中該隔熱材料(38)係支撐於該支撐板(39)上。
  11. 如申請專利範圍第10項所述之裝置,其中該加熱器(50)包含一連接部(52),連接至該熱散逸部(54)以將能量傳遞至其,且自該熱散逸部(54)延伸貫穿該隔熱材料(38)而進入該支撐軸(39a),其中該加熱器連接部(52)係嵌入該隔熱材料(38)中;及其中該加熱器熱散逸部(54)係承載於該隔熱材料(38)上方。
  12. 如申請專利範圍第8項所述之裝置,其中該隔熱材料(38)係與該容器(36)呈一靜止關係設置。
  13. 如申請專利範圍第12項所述之裝置,其中該容器(36)底壁(36a)上之驅動軸(37)係與沿該旋轉軸線(L)延伸貫穿該隔熱材料(38)之一細長形中空加熱器通路(40)相對正;及其中該加熱器(50)包含一連接部(52),用於將能量傳遞至該熱散逸部(54),該連接部係自該驅動軸內側自由地延伸貫穿該加熱器通路,以支撐地連接至該熱散逸部(54)。
  14. 如申請專利範圍第3項所述之裝置,其中該加熱器(50)之該熱散逸部(54)包括至少二個單獨控制加熱區,該 等加熱區係在該支撐表面(34)之不同部份下方延伸。
  15. 如申請專利範圍第3項所述之裝置,其中該基板處理裝置(1)係一垂直熱熔爐,尚包括一反應室(12),定義一反應空間(14)及一開口(22),該基板支撐總成(30)可經由該開口至少部份地收容於該反應室中,使得在該基板支撐總成之一獲收容狀態下,支撐於其上之一基板(28)或晶圓舟(24)將收容於該反應空間中,且該基板支撐總成(30)將大致密封該開口(22)。
  16. 一種加熱半導體基板之方法,包括:提供如申請專利範圍第1至15項中任一項所述之一半導體處理裝置(1);提供至少一基板(28),及可能地經由中介之一晶圓舟(24)來支撐該至少一基板於該基板支撐件(32)之支撐表面(34)上;及同步地加熱該加熱器(50),以使該加熱器之熱散逸部(54)散逸熱;及使該基板支撐件(32)環繞其旋轉軸線(L)旋轉,以使其支撐表面(34)上所支撐之該至少一基板(28)相對於其下方之該加熱器熱散逸部(54)旋轉。
TW101125102A 2011-07-13 2012-07-12 具有加熱旋轉基板支撐件的晶圓處理裝置 TWI541923B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/181,791 US9018567B2 (en) 2011-07-13 2011-07-13 Wafer processing apparatus with heated, rotating substrate support

Publications (2)

Publication Number Publication Date
TW201310566A TW201310566A (zh) 2013-03-01
TWI541923B true TWI541923B (zh) 2016-07-11

Family

ID=47519098

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101125102A TWI541923B (zh) 2011-07-13 2012-07-12 具有加熱旋轉基板支撐件的晶圓處理裝置

Country Status (4)

Country Link
US (1) US9018567B2 (zh)
JP (1) JP6095291B2 (zh)
KR (1) KR101944432B1 (zh)
TW (1) TWI541923B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI819098B (zh) * 2018-10-03 2023-10-21 荷蘭商Asm Ip私人控股有限公司 基板處理裝置及方法

Families Citing this family (326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
CN104520975B (zh) * 2012-07-30 2018-07-31 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140263275A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Rotation enabled multifunctional heater-chiller pedestal
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104952762A (zh) * 2014-03-27 2015-09-30 北京北方微电子基地设备工艺研究中心有限责任公司 冷却腔室及半导体加工设备
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN107408505B (zh) * 2015-02-25 2021-03-09 株式会社国际电气 衬底处理装置、加热器及半导体器件的制造方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI611043B (zh) 2015-08-04 2018-01-11 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及記錄媒體
TWI642137B (zh) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
HK1212853A2 (zh) * 2015-08-10 2016-06-17 Shirhao Ltd 回收液體物質的裝置和方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102466140B1 (ko) 2016-01-29 2022-11-11 삼성전자주식회사 가열 장치 및 이를 갖는 기판 처리 시스템
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018150537A1 (ja) * 2017-02-17 2018-08-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10170889B1 (en) * 2017-08-14 2019-01-01 Lumentum Operations Llc Controlling uniformity of lateral oxidation of wafer surface features using a vertical stack of horizontal wafers
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102559965B1 (ko) * 2018-03-23 2023-07-25 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) * 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108277525A (zh) * 2018-04-25 2018-07-13 哈尔滨奥瑞德光电技术有限公司 一种固定大尺寸单晶炉热场的支架结构
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) * 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11703229B2 (en) * 2018-12-05 2023-07-18 Yi-Ming Hung Temperature adjustment apparatus for high temperature oven
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3598032B2 (ja) * 1999-11-30 2004-12-08 東京エレクトロン株式会社 縦型熱処理装置及び熱処理方法並びに保温ユニット
TW570856B (en) * 2001-01-18 2004-01-11 Fujitsu Ltd Solder jointing system, solder jointing method, semiconductor device manufacturing method, and semiconductor device manufacturing system
JP3856293B2 (ja) * 2001-10-17 2006-12-13 日本碍子株式会社 加熱装置
JP3863786B2 (ja) * 2002-01-24 2006-12-27 株式会社日立国際電気 半導体製造装置および半導体装置の製造方法
WO2004008494A2 (en) 2002-07-15 2004-01-22 Aviza Technology, Inc. Servomotor control system and method in a semiconductor manufacturing environment
US20070243317A1 (en) * 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7351057B2 (en) * 2005-04-27 2008-04-01 Asm International N.V. Door plate for furnace
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US7935393B2 (en) * 2007-08-07 2011-05-03 Tokyo Electron Limited Method and system for improving sidewall coverage in a deposition system
JP2010080909A (ja) * 2008-08-26 2010-04-08 Nuflare Technology Inc ヒータ、半導体製造装置および半導体製造方法
JP5275935B2 (ja) * 2009-07-15 2013-08-28 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
JP5341706B2 (ja) * 2009-10-16 2013-11-13 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
US8552346B2 (en) * 2011-05-20 2013-10-08 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in an process chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI819098B (zh) * 2018-10-03 2023-10-21 荷蘭商Asm Ip私人控股有限公司 基板處理裝置及方法

Also Published As

Publication number Publication date
US9018567B2 (en) 2015-04-28
TW201310566A (zh) 2013-03-01
JP2013021336A (ja) 2013-01-31
KR20130010435A (ko) 2013-01-28
US20130017503A1 (en) 2013-01-17
JP6095291B2 (ja) 2017-03-15
KR101944432B1 (ko) 2019-01-31

Similar Documents

Publication Publication Date Title
TWI541923B (zh) 具有加熱旋轉基板支撐件的晶圓處理裝置
TW202011543A (zh) 基材處理設備及方法
CN110993526B (zh) 衬底处理设备和方法
US6737613B2 (en) Heat treatment apparatus and method for processing substrates
TWI508793B (zh) Substrate processing device
US20080169282A1 (en) Temperature measurement and control of wafer support in thermal processing chamber
WO2014156754A1 (ja) 基板処理装置及び基板処理方法
US20130036970A1 (en) Substrate Processing Apparatus
KR20090059599A (ko) 대면적 화학기상증착기용 유도가열장치
KR101344220B1 (ko) 스퍼터 장치
JP2009107855A (ja) 部材処理装置
KR101507620B1 (ko) 기판의 열처리 장치
JP2010093069A (ja) 基板の熱処理装置
TW202311711A (zh) 具有用以量測軸承溫度之溫度感測器的半導體基板處理設備
JP2009107856A (ja) 部材処理装置
KR20020063372A (ko) 고속 열처리 시스템