KR20130010435A - 가열형 회전 기판 지지부를 갖는 웨이퍼 처리 장치 - Google Patents

가열형 회전 기판 지지부를 갖는 웨이퍼 처리 장치 Download PDF

Info

Publication number
KR20130010435A
KR20130010435A KR1020120076662A KR20120076662A KR20130010435A KR 20130010435 A KR20130010435 A KR 20130010435A KR 1020120076662 A KR1020120076662 A KR 1020120076662A KR 20120076662 A KR20120076662 A KR 20120076662A KR 20130010435 A KR20130010435 A KR 20130010435A
Authority
KR
South Korea
Prior art keywords
heater
substrate
substrate support
support
container
Prior art date
Application number
KR1020120076662A
Other languages
English (en)
Other versions
KR101944432B1 (ko
Inventor
리더 크리스 헤엠 데
클라스 뻬 본스트라
테오도루스 헤엠 오스터라컨
바렌트 예떼 라벤호스트
Original Assignee
에이에스엠 인터내셔널 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 인터내셔널 엔.브이. filed Critical 에이에스엠 인터내셔널 엔.브이.
Publication of KR20130010435A publication Critical patent/KR20130010435A/ko
Application granted granted Critical
Publication of KR101944432B1 publication Critical patent/KR101944432B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 외부 지지면(34)을 형성하고 그 위에 기판 또는 기판 캐리어(24)를 지지하기 위한 기판 지지부(32) 및 기판 지지부(32) 내에 배치되고, 지지면(34)과 실질적으로 평행하게 아래로 뻗어있는 방열부(54)를 구비하는 히터(50)를 포함하는 기판 지지 어셈블리(30)를 구비하는 반도체 기판 처리 장치(1)로서, 상기 기판 지지부(32)는 지지면(34)이 히터(50)의 방열부(54)에 대해 회전가능하도록 상기 지지면(34)을 통해 뻗어있는 회전축(L) 주위에 회전가능하게 설치되는 반도체 기판 처리 장치에 관한 것이다.

Description

가열형 회전 기판 지지부를 갖는 웨이퍼 처리 장치{Wafer Processing Apparatus with Heated, Rotating Substrate Support}
본 발명은 반도체 처리 분야에 관한 것으로서, 더 상세하게는 가열형 회전 기판 지지부를 포함하는 반도체 처리 장치에 관한 것이다.
종형 배치로(vertical batch furnace)에서 복수의 반도체 웨이퍼의 동시 처리는 웨이퍼 보트(wafer boat)에 적층된 모든 웨이퍼가 각각의 표면 지역에 걸쳐 실질적으로 동일한 처리 조건을 받도록 하는 방법의 문제를 제기한다. 이런 하나의 처리 조건은 공정 가스(process gases)에의 노출이다. 이런 노출의 균일성을 촉진하기 위해, 종형로(vertical furnace)가 웨이퍼에 접촉하는 공정 가스 흐름의 불균일성을 평균화하도록 처리 동안 웨이퍼 보트를 회전시키는 보트 회전 메커니즘(boat rotation mechanism)에 공통으로 설치된다. 다른 처리 조건은 웨이퍼의 온도이다. 배치(batch)의 기판에 걸쳐 균일한 처리 결과를 얻기 위해, 웨이퍼의 각각은 바람직하게는 처리 챔버의 근접한 측벽 및 처리 챔버의 근접한 상부벽에 배치된 가열 수단에 의해 공통 온도로 실질적으로 균일하게 가열될 수 있다. 특히, 웨이퍼 보트의 상부 웨이퍼에 있어서, 웨이퍼 대 웨이퍼 온도 균일성은 일반적으로 중요한 문제가 아니나, (노(furnace)의 건조에서의 비대칭에 기인한) 웨이퍼 내 온도 균일성(within-wafer temperature uniformity)은 상술한 보트 회전에 의해 강화될 수 있다. 그러나, 종형 배치로에서, 웨이퍼 보트의 하부 기판의 온도는 제어하기 어렵다고 알려진다. 이는 하부 기판이 상대적으로 차가운 노의 하부 도어(door) 지역에 가깝게 위치하는 사실에 다소 기인한다. 이들 위치의 영향을 완화시키기 위해, 아래에서 웨이퍼 보트를 지지하는 페디스털(pedestal)이 하부 웨이퍼를 가열하는 부가적인 가열 수단과 함께 구비될 수 있다. 이런 가열 수단이 배치의 웨이퍼에 걸쳐 웨이퍼 대 웨이퍼 온도 균일성을 증가시킬 수 있더라도, 가열 수단 및/또는 가열 프로파일의 임의의 불균일성이 하부 웨이퍼의 웨이퍼 내 온도 균일성에 쉽게 영향을 미칠 수 있다.
이런 문제를 해결하기 위해, WO 2004/008491(Dubois 등)은 종형로에 고정식 페디스털에 대해 웨이퍼 보트를 회전시키기 위한 자기 결합 웨이퍼 회전 시스템(magnetically coupled wafer rotation system)를 설비하는 것을 제안한다. 회전 메카니즘은 페디스털의 내부에 수직으로 뻗어있는 드라이브 샤프트(drive shaft)를 포함한다. 드라이브 샤프트의 하단은 회전 모터와 자기적으로 결합되며, 페디스털의 상부에 있는 상단은 웨이퍼 보트에 연결되고 그 자체로 페디스털에 지지되는 지지부와 자기적으로 결합된다. 따라서, 모터의 회전 운동은 자기적으로 드라이브 샤프트(하단)에 전달될 수 있으며, 드라이브 샤프트(상단)에서 웨이퍼 보트의 지지부로 전달될 수 있다. 사용 중, 보트는 하부 기판의 온도에서 가열 요소의 불균일성이 지닐 수 있는 임의의 영향을 평균화하도록 페디스털에 대해 회전된다. 주목할 만하게, WO'491에서는 페디스털과 보트 사이의 베어링(bearing) 메커니즘에 대해 언급하지 않는다. 이런 베어링 메커니즘은 웨이퍼 회전 메커니즘의 필수 구성요소로 이해된다. 또한, 이는 특히, 베어링 메커니즘이 노의 고온 처리 환경, 즉 베어링을 손상시키고 공격하여 베어링의 수명을 상당히 감소시키는 화학적 반응물이 가득한 환경에 있기 때문에 중요한 구성요소이다. 따라서, WO'491은 단지 상술한 문제에 대한 이론적이고 실행할 수 없는 해결책을 개시하고 있는 것으로 보인다.
본 발명의 목적은 기판을 지지하는 기판 지지부에 편입된 가열 요소(에 의해 유발된 가열 프로파일)의 불균일성에도 불구하고, 하나 이상의 기판의 균일한 가열을 가능하게 하는 반도체 기판 처리 장치 및 방법을 제공함에 있다.
이를 위해, 본 발명의 제 1 태양은 반도체 기판 처리 장치에 관한 것이다. 이 장치는 외부 지지면을 형성하고 그 위에 기판 또는 기판 캐리어를 지지하기 위한 기판 지지부 및 상기 기판 지지부 내에 배치되고, 지지면과 실질적으로 평행하게 아래로 뻗어있는 방열부를 구비하는 히터를 포함하는 기판 지지 어셈블리를 포함한다. 기판 지지부는 지지면이 히터의 방열부에 대해 회전가능하도록 상기 지지면을 통해 뻗어있는 회전축 주위에 회전가능하게 설치된다.
본 발명의 제 2 태양은 방법에 관한 것이다. 이 방법은 본 발명의 제 1 태양에 따른 반도체 처리 장치를 제공하는 단계를 포함한다. 이 방법은 적어도 하나의 기판을 제공하고, 상기 적어도 하나의 기판을 가능하게는 기판 캐리어를 매개로 기판 지지부의 지지면에 지지되게 하는 단계를 더 포함한다. 또한, 이 방법은 동시에 (ⅰ) 히터의 방열부가 열을 방산하도록 하기 위해 히터를 가열하는 단계 및 (ⅱ) 지지면에 지지되는 적어도 하나의 기판을 그 아래 히터의 방열부에 대해 회전시키도록 회전축을 중심으로 기판 지지부를 회전시키는 단계를 포함한다.
본 발명에 따른 방법 및 장치는 통합된 또는 내부의 히터를 갖는 회전가능한 기판 지지부를 포함하는 기판 지지 어셈블리를 특징으로 한다. 기판 지지부 및 (직접적으로 또는 기판 캐리어의 매개를 통해) 그에 지지받는 임의의 기판은 기판 지지부의 회전축 주위로 그리고 히터에 대해 회전될 수 있다. 이런 회전은 히터(의 가열 프로파일)에서의 불균일성의 영향을 평균화하며, 지지받는 기판의 기판 내 온도 균일성을 촉진시킨다. 본 발명에 따른 장치 및 방법은 특히, 종형 열적 배치로(vertical thermal batch furnaces)와 회전가능한 기판 지지부 또는 서셉터(susceptor)를 갖는 단일 기판 처리 장치를 포함하는, 다양한 반도체 기판 처리 디바이스에 적용될 수 있다; 이러한 타입의 디바이스의 예로 US2010/0224130 (Smith 등)을 참조하라.
상술한 WO 2004/008491(Dubois 등)의 종형 배치로와 비교하여, 본 발명에 개시된 장치는 분명한 개선을 보여준다. 언급된 바와 같이, WO'491의 문제 중 하나는 웨이퍼 보트가 고정식 히터를 포함하는 고정식 페디스털에 대해 회전된다는 점이다. 이는 베어링이 보트와 페디스털 사이에 배치되어야 함을 요구하므로, 베어링이 노의 반응 공간에 상주한다. 따라서, 이 베어링은 필수적으로 웨이퍼 보트의 (하부) 기판과 동일한 처리 조건에 있어야 하며, 이는 그 작동에 부정적인 영향을 미칠 것이다. 본 발명의 제안된 장치에서는 기판 지지부 또는 페디스털 그 자체가 회전가능하기 때문에 기판 지지부의 지지면과 그 위에 위치되는 기판 캐리어 사이에 베어링을 필요로 하지 않는다. 대신에, 이 장치는 기판 지지부와 고정계(fixed world) 사이의 회전을 가능하게 하는 베어링을 이용할 수 있으나, 이런 베어링은 반응 공간의 외부에 잘 배치될 수 있다.
본 장치의 바람직한 실시예에서는, 히터가 기판 지지부의 회전축에 대해 회전 불가능하도록 설치될 수 있다. 즉, 완전 조립되고 장치가 동작 상태에 있는 히터는 회전축을 중심으로 회전할 수 없다(하기에 더 명백히 제시되어 있듯이, 회전 불가능하도록 설치된 히터는 실제로 부분 조립된 장치의 비동작 상태에서 회전가능하도록 설치되는 것처럼 보일 수 있다). 회전 불가능한 또는 고정식 히터 구성은 장치의 구조를 간소화한다. 예컨대, 전기 히터의 경우, 히터와 전기 전원 사이의 연결을 위한 고압 슬라이딩/와이퍼(sliding/wiper) 전기적 접촉을 사용하는 필요를 극복한다. 대신에, 고정형 내마모성(wear-resistant) 연결이 사용될 수 있다. 따라서, 회전 불가능하도록 설치된 히터는 건조하기 더 쉽고 경제적이며, 신뢰성이 높고 수명기간 동안 유지하는데 덜 민감하다.
그럼에도, 회전 불가능하도록 설치된 히터 구성이 예상되는 유일한 구성인 것은 아니다. 에컨대, 이 장치의 또 다른 실시예에서, 히터는 또한 바람직하게는 기판 지지부의 회전축 주위에 회전가능하도록 설치될 수 있으나, 이 장치는 동작 중 히터와 기판 지지부가 실질적으로 동일한 0이 아닌 각속도를 가질 수 없도록 설정될 수 있다. 즉, 히터는 사용 중 기판 지지면과 히터 사이의 효율적인 상대적 운동을 의미하는 기판 지지부의 각속도보다 더 크거나 더 작은 각속도만으로 회전하도록 형성될 수 있다. 이를 위해, 예컨대 히터의 회전은 기어(gear) 메커니즘 또는 변속장치(transmission)에 의해, 또는 유체(드래그) 커플링(fluid(drag) coupling)을 통해 기판 지지부의 회전에 결합될 수 있다. 대안으로, 히터는 기판 지지부와 별도로, 즉 전력/회전 운동을 전달하는 두 개의 부분 사이의 기계적 결합이 없이, 작동될 수 있다.
본 발명의 여러 특징 및 이점은, 본 발명을 도시하나 이를 제한할 의도가 아닌 첨부도면과 함께 제공되는, 본 발명의 임의의 실시예에 대한 하기의 상세한 설명을 통해 더 충분히 이해될 것이다.
본 발명의 내용 중에 포함되어 있다.
도 1은 내부에 수용되는 히터 주위를 회전할 수 있는 기판 지지부를 갖는 기판 지지 어셈블리를 포함하는, 본 발명에 따른 종형 열적 배치로의 예시적인 제 1 실시예의 일부의 개략적인 횡단면도이다.
도 2는 도 1에 도시된 종형 열적 배치로의 기판 지지 어셈블리의 확대된 횡단면도이다.
도 3은 내부에 수용되는 히터 주위를 회전할 수 있는 기판 지지부를 갖는 기판 지지 어셈블리를 포함하는, 본 발명에 따른 종형 열적 배치로의 예시적인 제 2 실시예의 일부의 개략적인 횡단면도이다.
도 4는 도 3에 도시된 종형 열적 배치로의 개략적인 횡단면도이다.
도 5는 도 3 및 4에 도시된 종형 열적 배치로의 기판 지지 어셈블리의 확대된 횡단면도이다.
도 1 및 2는 본 발명에 따른 종형 열적 배치로(1)의 예시적인 제 1 실시예의 상부를 횡단면도로 개략적으로 도시한다. 도 3 내지 5는 본 발명에 따른 종형 열적 배치로(1)의 예시적인 대안의 제 2 실시예의 상부를 횡단면의 투시도 및 측면도로 개략적으로 도시한다. 양 실시예의 구성은 하기에서 일반적인 용어로, 각각의 도면을 참조하여 기술될 것이다.
전반적으로, 이하 기술될 기판 지지 어셈블리(30)를 제외하고, 본 발명에 따른 종형 열로(1)는 종래의 디자인일 수 있다. 예컨대, 이는 단일(또는 이중, 미도시) 튜브 타입일 수 있으며, 일반적으로 벨 단지 형태(bell jar-shaped)의 반응 튜브(10)를 포함할 수 있다. 이 반응 튜브(10)는 일반적으로 관형(tubular), 예컨대 원형 또는 다각형의 횡단면 형태를 가질 수 있으며, 중심축(L)을 따라 뻗어있다. 제조 물질에 있어서, 반응 튜브(10)는 석영, 실리콘 카바이드(silicon carbide), 실리콘 또는 다른 적합한 열저항 물질로 구성될 수 있다. 반응 튜브(10)는 기판이 처리될 수 있는, 예컨대 열적 어닐링(thermal annealing) 또는 증착 처리(deposition treatments)가 가해질 수 있는 반응 공간(14)을 형성하는 반응 챔버(12)의 경계를 정할 수 있다. 반응 튜브(10)는 반응 공간(14)에 수용되는 기판을 가열하는 가열 수단, 가령 전기 전원(미도시)에 의해 전력을 공급받는 전기 저항성 가열 코일(18)에 의해 둘러싸일 수 있다. 가열 수단(18)은 반응 튜브(10)를 둘러싸는 열적 절연 슬리브(sleeve)(16)로 고정될 수 있다. 그 하부의 개방 단부에서 반응 튜브(10)는 중심로 개구(central furnace opening)(22)를 형성하는 통상 스테인리스강 플랜지(20)에 지지받을 수 있으며, 그 개구를 통해 웨이퍼 보트(24)가 반응 챔버(12)를 출입할 수 있다.
웨이퍼 보트(24)는 기판 지지부의 지지면(34) 또는 기판 지지 어셈블리(30)의 페디스털(32)에 고정되게 설치될 수 있다. 이는 종래의 디자인일 수 있고, 많은 반도체 웨이퍼(28)를 동등하게 고정하는 복수의 수직 이격된 슬롯(26)을 포함할 수 있으며, 이들 중 단 하나만이 도면의 각각에 도시된다.
처리 중 웨이퍼 보트(24)의 회전을 가능하게 하기 위해, 웨이퍼 보트(24)가 배치되는 기판 지지부(32)는 노(1)의 중심축(L)을 중심으로 회전가능하게 설치될 수 있다. 기판 지지 어셈블리(30)는, 웨이퍼 보트(24)에서 하부 기판(28)의 가열을 용이하게 하기 위해, 적어도 부분적으로 기판 지지부(32)내에 그리고 지지면(34) 아래로 뻗어있는 히터(50)를 더 포함할 수 있다. 기판 지지부(32)가 히터(50)에 대해 회전되도록 하여 히터(의 가열 프로파일)에서 불균일성의 영향을 평균화하기 위해, 기판 지지 어셈블리(30)는 회전축(L) 주위의 기판 지지부(32)의 회전이 기판 지지면(34)과 히터(50) 사이의 상대적 운동을 포함하도록 구성될 수 있다. 이런 구성은 도 1 및 2와 도 3 내지 5의 대안적인 실시예에 의해 도시되는 것과 각각 다른 방식으로 영향을 줄 수 있으며, 이제 차례로 설명될 것이다.
먼저, 도 1 및 2의 실시예의 구성을 참조한다. 기판 지지부(32)는 회전축(L)을 중심으로 위치되는 실린더형 용기(36)를 포함할 수 있다. 이 용기(36)는 실질적으로 평평한 하부벽(26a), 실린더 자켓 형태(cylinder jacket-shaped)의 측벽(36b) 및 실질적으로 평평한 상부벽(36c)을 포함할 수 있으며, 이 벽들은 용기(36)를 형성하도록 상호연결될 수 있다. 상부벽(36c)은 외측 상향으로 대면하는 지지면(34)에 제공하며, 이를 통해 회전축(L)이 바람직하게는 그에 수직으로 뻗어있을 수 있다.
하부벽(36a)과 상부벽(36c) 사이에 뻗어있는 용기의 몸체(36)는, 가령 Kanthal의 Fibrothal?, 예컨대 Fibrothal F17과 같은 열적 절연 물질(38)로 적어도 부분적으로 채워질 수 있는 내부 공간을 형성할 수 있다. 절연 물질(38)은 도어판(door plate)(42)과 플랜지(20) 모두를 위한 열차단제(heat shield)로써의 역할 을 할 수 있으며, 노(1)의 하부를 통한 열 손실을 감소시키도록 해준다.
절연 물질(38)은 용기(36)의 하부벽(36a)에 직접 놓여지지 않고, 대신에 하부벽(36a)의 바로 위에 자유롭게 용기(36) 내에 배치되는 지지판(39)상에 지지될 수 있다. 이런 "부유 구조(floating construction)"가 가능하도록, 용기(36)의 하부벽(36a)은 회전축(L)을 중심으로 위치되는 중공(hollow)의 실질적으로 실린더 자켓 형태의 드라이브 샤프트(37)를 구비할 수 있다. 이 드라이브 샤프트(37)는 하부벽(36a)에서 하향으로 돌출하며, 이를 통하는 통로(37a)를 형성할 수 있다. 마찬가지로, 지지판(39)은 지지판(39)에서 하향으로 돌출하는 실질적으로 실린더 자켓 형태의 지지 샤프트(39a)를 구비할 수 있다. 이 지지 샤프트(39a)는 드라이브 샤프트(37)와 동축으로 뻗어 있으며, 지지판(39)에 통하는 통로를 형성할 수 있다. 베어링(44b)이 드라이브 샤프트(37)의 내주면에 결합하며(또는 적어도 드라이브 샤프트 통로(37a) 내에 배치되며) 지지 샤프트(39a)의 외주면에 결합하도록, 베어링(44b)은 드라이브 샤프트(37)와 지지 샤프트(39a) 사이에 제공될 수 있다. 베어링(44b)은 지지판(39)을 받치거나 지지할 수 있으며, 그 주위로 용기(36)의 회전을 용이하게 할 수 있다.
실린더형 용기(36)는 노(1)의 도어판 또는 밀봉캡(seal cap)(42)을 포함할 수 있는 기판 지지 어셈블리(30)의 베이스 어셈블리와 연결되고 그 위에 지지될 수 있다. 이런 실린더형 용기(36)는, 베어링(44b)와 같이, 예컨대 롤러(roller), 유체 또는 자기(magnetic) 베어링과 같은 임의의 적합한 타입일 수 있는 베어링(44a)에 의해 베이스 어셈블리(42)에 회전가능하게 설치될 수 있다. 베어링들(44a, 44b) 모두는 원형 동축 레이스를 형성하는 롤러 베어링일 수 있다. 바람직하기로, 베어링(44)이 실질적으로 기판 지지부(32) 아래에 배치되고, 지지면(34)에 지지되는 기판(28)에 가해지는 처리 분위기(process atmosphere)로부터 보호되도록, 베어링(44a)은 그 하단(즉, 기판 지지면(34)의 원위단(end distal))에서 용기(36)와 연결될 수 있다. 도시된 실시예에서, 베어링(44a)은 용기(36)의 하부벽(36a)에서 돌출하는 드라이브 샤프트(39a)의 외주면에 결합한다. 따라서, 실린더형 용기(36)는 (베어링(44a)의) 도어판(42)과 (베어링(44b)의) 지지판(39) 모두에 대해 회전될 수 있다.
언급된 바와 같이, 기판 지지부(32)는 히터 또는 가열 요소(50)를 더 수용할 수 있다. 일반적으로 히터(50)는 기판 지지부(32) 내에 소기의 열을 방산/발생시키도록 구성된 방열부/발열부(54) 및 기판 지지부(32) 외부로부터 에너지를 전달하도록 방열부(54)와 연결되는 연결부(52)를 포함할 수 있다. 히터(50)는 임의의 적합한 타입일 수 있다. 예컨대, 히터는, 가령 가열된 유체의 전도 또는 순환에 의해 열을 기판 지지부(32)로 전달하도록 구성될 수 있다. 그러나, 바람직한 실시예에서는 히터(50)가 전기 저항성 히터일 수 있다.
도 1 및 2의 실시예에서, 전기 저항성 히터(50)는 열적 절연 물질(38)과 고정된 정적 관계(fixed stationary relationship)로 배치된다. 히터의 방열부(54)는, 중심축(L)에서 보여지는 바, 용기의 상부벽(36c)에 실질적으로 평행하고 인접하게(예컨대, 상부벽의 25㎝의 거리 내, 그리고 바람직하게는 상부벽의 10㎝의 거리 내) 아래에 위치한 평면에서 외부로 방사형으로 뻗어있는 하나 이상의 전기 저항성 가열 스파이럴(spiral)을 포함할 수 있다. 바람직하기로, 방열부(54)는 전체 표면에 걸쳐 그 위에 지지받는 웨이퍼 보트(24)의 (하부) 웨이퍼(28)의 가열을 가능하게 하도록 지지면(34)의 영역과 실질적으로 동일한 영역에 걸쳐 뻗어 있을 수 있다.
하부 웨이퍼의 온도 균일성을 더 최적화하기 위해, 히터(50)는 하나 이상의 독립된 제어가능한 가열 지역을 형성할 수 있다. 각각의 가열 지역은 (서브) 방열부, 예컨대 기판 지지면(34)의 일부에만 아래로 뻗어있는 히터(50)의 하나의 전기 저항성 가열 스파이럴에 연결될 수 있다. 예컨대, 제 1 지역은 지지면(34)의 중심 영역 아래에 뻗어 있을 수 있으며, 제 2 지역은 지지면(34)의 외부 영역 아래에 뻗어 있을 수 있다. 또 다른 실시예에서, 제 1 지역은 지지면(34)의 제 1 접선 연장 지역(tangentially extending region)상에 뻗어 있을 수 있으며, 제 2 지역은 지지면(34)의 제 3 접선 연장 지역상에 뻗어 있을 수 있다.
지지를 위해, 히터(50)의 방열부(52)는 용기(36)에 제공되는 열적 절연 물질(38)의 상부에 놓여 있을 수 있다. 히터(50)의 연결부(52)는 연결된 가열 지역 각각이 별도로 제어될 수 있도록 방열부(52)의 스파이럴로 그리고 스파이럴에서의 전기적 리드(leads), 바람직하게는 스파이럴당 한 쌍의 리드를 위해 제공할 수 있다. 이는 가열 스파이럴의 중심에서 방열부(54)로 연결하며, 내장될 수 있는 열적 절연 물질(38)을 통해 중심축(L)을 따라 그로부터 하향으로 그리고 지지판(39)의 지지 샤프트(39a)로 뻗어 있을 수 있다. 거기서, 연결부(52)는 지지 샤프트(39a)의 하단에 고정되게 통합될 수 있는 플러그(56)에 또는 그 단부에 설치될 수 있다. 바람직하기로, 히터(50)의 연결부(52)는 비방열/비발열형(non-heat dissipating/generating)일 수 있다고 이해된다.
상술한 대로, 베이스 어셈블리는 노(1)의 도어판(42)을 포함하는 것으로써 소개되었다. 실제로, 베이스 어셈블리는 부가적으로 이동가능한 로더(loader) 또는 지지암(support arm)(미도시)을 포함할 수 있다. 로더 암은, 도어판을 지지하고 그와 함께 기판 지지부(32)를 지지하며, 처리의 시작과 끝 각각에서 노(1)의 반응 챔버(12)에서 그리고 반응챔버로 기판 지지부(32)의 승강을 가능하게 하기 위해, 도어판(42) 아래에 배치될 수 있다. 로더 암은 내부 통합된 히터(50)의 연결부(52)의 하단의 플러그(56)를 포함하는 지지 샤프트(39a)의 하단을 수용하도록 구성된 중심 소켓을 포함할 수 있다. 바람직하기로, 이 소켓은 회전축(L)을 중심으로 수용된 플러그(56)의 회전을 방지하는 어레스트 장치(arrest device)로써의 역할을 하도록 구성될 수 있다. 따라서, 도어판(42)이 로더 암에 지지되는 경우, 도어판(42)과 지지판(39)은 고정된 정적 관계로 고정될 수 있는 반면, 기판 지지부(32)는 그들 사이에서 회전가능하도록 설치될 수 있다. 회전축(L) 주위의 기판 지지부(32)의 실린더형 용기(36)를 회전시키기 위해, 베이스 어셈블리는 추가적으로 로더 암에 통합될 수 있는 모터 드라이브를 포함할 수 있다. 이 모터 드라이브는 드라이브 샤프트와 그 위에 지지받는 웨이퍼 보트(24)를 일제히 회전시키도록 실린더형 용기(36)의 드라이브 샤프트(39a)에 결합할 수 있다. 베이스 어셈블리와, 지지판(39), 절연 물질(38) 및 히터(50)를 포함하는 노(1)의 고정식 회전 불가능하게 설치된 구조의 나머지는 기판 지지부(32)의 회전 중 고정되어 있을 것이다.
이제는 기판 지지 어셈블리(30)의 대안적인 실시예를 도시하는 도 3 내지 5를 참조한다. 이런 예시적인 제 2 실시예는 열적 절연 물질(38)이 기판 지지부(32)의 용기(36)와 정적 관계(static relation)에 있으며, 하기에 설명되는 바와 같이, 히터(50)가 자립형(self supporting)일 필요가 있다는 점에서 도 1 및 2의 실시예와 차이가 있다.
도 3 내지 5의 실시예에서, 하부벽(36a)과 상부벽(36c) 사이에 뻗어있는 용기(36)의 몸체는 2개의 몸체부를 형성할 수 있다. 상부벽(36c)에 인접한 제 1 몸체부는 히터(50)의 방열부(54)를 수용하기 위한 내부 공간을 형성할 수 있다. 하부벽에 인접한 제 2 몸체부는 적어도 부분적으로 열적 절연 물질(38)로 채워질 수 있는 회전축(L) 주위의 내부 환형 공간을 형성할 수 있다. 노(1)의 제 2 실시예는 열적 절연 물질(38)을 지지하는 지지판(39)을 포함하지 않는다는 점을 도 3 내지 5로부터 명백히 알 수 있다. 대신에, 열적 절연 물질은 용기의 하부벽과 고정된 정적 관계에 있도록 용기(36)의 하부벽(36c)에 직접 안착한다. 즉, 절연 물질(38)은 용기(36)가 회전할 때 용기(36)와 일체로 움직일 것이다.
용기(36)가 히터(50)에 대해 회전되도록 하기 위해, 용기(36)는 제 2 몸체부와 그 내부에 제공되는 열적 절연 물질(38)을 통해 제 1 몸체부로부터 뻗어 있으며, 용기(36)의 하부벽(36a)에 드라이브 샤프트(37)의 중심 통로(37a)와 일직선으로 뻗어있는 중공 샤프트(40)를 형성할 수 있다.
또한, 히터(50)는 연결부(52)와 방열부(54)를 포함할 수 있다. 연결부(52)는 제 1 하단 및 제 2 상단을 갖는 세장형(elongate) 또는 직선형일 수 있다. 연결부(52)의 하단은 드라이브 샤프트(37)의 중심 통로(37) 내부에 위치하고, 베어링(44b)을 통해 드라이브 샤프트(37)에 지지되게 연결될 수 있는 플러그(56)에 설치될 수 있다. 플러그(56)로부터 연결부(52)는 중공 샤프트(40)를 통해 자유롭게 상향으로 뻗을 수 있다. 연결부(54)의 제 2 상단이 샤프트(40)를 통과하는 곳에서, 제 2 상단은 방열부(54)와 연결될 수 있다. 또한, 방열부(54)는 실질적으로 평면일 수 있으며, 지지면(34)에 인접하고 평행하게 아래로 평면에 뻗어있고, 바람직하게는 지지면(34)의 영역과 실질적으로 동일한 영역을 커버한다. 도 3 내지 5의 실시예의 히터(50)는, 플러그(56)로의 베어링 연결을 제외하고, 히터의 위치와 구성을 유지하도록 보장하는 외부의 물리적 지지부가 없다는 점에서 자립형이다. 특히, 히터(50)는 기판 지지부(32)의 회전가능하게 설치된 용기(36) 내부에서 열적 절연 물질과 기계적으로 접촉하지 않는다.
도 1 및 2의 제 1 실시예에서와 같이, 도어판(42)은 플러그(56)를 사로잡도록 수용하게 구성된 소켓을 포함할 수 있는 로더 암(미도시)에 지지될 수 있다. 로더 암이 정위치에 있는 경우, 그 모터는, 예컨대 내부에 제공되는 드라이브 리지 리세스(drive ridge recess)(37b)를 통해 기판 지지부(32)의 드라이브 샤프트(37)에 결합할 수 있으며, 히터(50)의 가열 수단(18)과 방열부(54) 모두에 대해 기판 지지부(32)와 그 위에 지지되는 웨이퍼(28)를 회전하도록 모터를 구동함으로써, 히터(50)의 가열 수단(18)과 방열부(54)의 가열 프로파일에서의 불균일성이 웨이퍼에 미칠 수 있는 영향을 평균화할 수 있다.
본 발명의 실례가 되는 실시예가 일부 첨부도면을 참조하여 상술되었지만, 본 발명은 이들 실시예로 제한되지 않음이 이해되어야 한다. 개시된 실시예들의 변형들이 도면, 상세한 설명 및 첨부된 청구항에 관한 연구를 통해 본 발명을 실행하는 당업자에 의해 이해되고 달성될 수 있다.
이와 관련하여, 본 발명의 적용이 종형 열로로 제한되지 않음을 유의해야 한다. 예컨대, US 2010/0224130(Smith 등)은 회전형 기판 지지부를 이용하는 단일 기판을 처리하는 장치를 기술한다. 이 개시된 장치는 챔버내에 배치되는 기판 지지 어셈블리를 갖는 챔버를 포함한다. 이 기판 지지 어셈블리는 지지면을 갖는 기판 지지부와 지지면의 아래에 배치되는 히터를 포함한다. 샤프트가 기판 지지부에 결합되며, 모터는 회전자(rotor)를 통해 샤프트와 결합하여 기판 지지부로 회전 운동을 제공한다. 기판 지지부의 회전이 히터와 동일한 회전을 수반하도록 히터가 기판 지지부와(그 내에) 견고하게 통합되기 때문에, 기판의 지지면에 지지되는 기판은 회전하지 않거나, 그렇지 않으면 처리 중에 히터에 대해 움직일 것이다. 따라서, 히터에 의해 유발되는 열 필드(thermal field)의 임의의 불균일성은 기판 내의 온도 분포에서 바람직하지 못한 변형을 초래할 수 있다. 본 발명은 이런 문제를 극복하기 위해 US'130의 단일 기판 처리 장치에 적용될 수 있음이 명백하다.
본 명세서의 전체에 걸쳐 "한 실시예" 또는 "일실시예"라는 언급은 실시예와 관련하여 설명되는 특정한 특징, 구조 또는 특성이 본 발명의 적어도 하나의 실시예에 포함됨을 의미한다. 따라서, 본 명세서의 전체에 걸친 다양한 부분에서 "한 실시예에서" 또는 "일실시예에서"라는 어구의 출현이 반드시 모두 동일한 실시예를 나타내는 것은 아니다. 또한, 하나 이상의 실시예의 특정한 특징, 구조 또는 특성이 새로운, 명시적으로 기술되지 않은 실시예를 형성하도록 임의의 적합한 방식으로 결합될 수 있음을 유의해야 한다.
1 종형 열처리로/반응기
10 반응 튜브
12 반응 챔버
14 반응 공간
16 열적 절연 슬리브
18 전기 저항성 코일
20 플랜지
22 중심로 개구
24 웨이퍼 보트
26 웨이퍼 수용 슬롯
28 웨이퍼
30 기판 지지 어셈블리
32 기판 지지부/페디스털
34 지지면
36 실린더형 용기
36a 용기의 하부벽
36b 용기의 측벽
36c 용기의 상부벽
37 용기의 드라이브 샤프트
37a 용기의 드라이브 샤프트로 통하는 축 방향 통로
37b 용기의 드라이브 샤프트로 통하는 반경 방향 통로
38 열적 절연 물질
39 지지판
39a 지지 샤프트
40 열적 절연 물질을 통과하는 중공 샤프트
42 도어판
44a 도어판과 용기 사이의 베어링
44b 용기와 히터 부싱(bushing) 사이의 베어링
46 탄성 오링(elastomeric O-rings)
50 히터
52 히터의 연결부
54 히터의 방열부/발열부
56 플러그
L 중심축

Claims (16)

  1. 외부 지지면(34)을 형성하고 그 위에 기판 또는 기판 캐리어(24)를 지지하기 위한 기판 지지부(32); 및
    기판 지지부(32) 내에 배치되고, 지지면(34)과 실질적으로 평행하게 아래로 뻗어있는 방열부(54)를 구비하는 히터(50)를 포함하는 기판 지지 어셈블리(30)를 구비하는 반도체 기판 처리 장치(1)로서,
    상기 기판 지지부(32)는 지지면(34)이 히터(50)의 방열부(54)에 대해 회전가능하도록 상기 지지면(34)을 통해 뻗어있는 회전축(L) 주위에 회전가능하게 설치되는 반도체 기판 처리 장치.
  2. 제 1 항에 있어서,
    상기 히터(50)는 회전축(L)에 대해 회전 불가능하도록 설치되는 반도체 기판 처리 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 기판 지지 어셈블리(30)는 상기 장치(1)의 반응 공간을 밀봉하기 위한 도어판(42)를 포함하는 베이스 어셈블리를 더 구비하며,
    상기 기판 지지부(32)는 회전축(L)을 중심으로 베이스 어셈블리(42)에 대한 기판 지지부의 회전을 용이하게 하는 제 1 베어링(44a)을 통해 베이스 어셈블리에 연결되는 반도체 기판 처리 장치.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 히터(50)는 회전축(L)을 중심으로 히터에 대한 기판 지지부의 회전을 용이하게 하는 제 2 베어링(44b)을 통해 기판 지지부(32)에 연결되는 반도체 기판 처리 장치.
  5. 제 3 항 또는 제 4 항에 있어서,
    상기 제 1 및 제 2 베어링은 원형 동축 레이스(race)를 형성하는 롤러(roller) 베어링인 반도체 기판 처리 장치.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 기판 지지부(32)는 하부벽(36a), 자켓 형태의 측벽(36b) 및 상부벽(36c)을 포함하고, 벽들은 바람직하게는 일반 실린더형 용기(36)를 형성하도록 상호연결되며,
    상기 상부벽(36c)의 외부면은 기판 지지부의 지지면(34)을 형성하고,
    상기 용기(36)는 적어도 히터(50)의 방열부(54)를 수용하는 반도체 기판 처리 장치.
  7. 제 3 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 용기(36)의 하부벽(36a)은 상기 하부벽을 통해 뻗어있는 통로(37a)를 형성하는 하향 돌출형 드라이브 샤프트(37)를 구비하며,
    상기 제 1 베어링(44a)은 드라이브 샤프트(37)의 외주면에 결합하며, 상기 제 2 베어링(44b)은 드라이브 샤프트(37)의 내주면에 결합하는 반도체 기판 처리 장치.
  8. 제 7 항에 있어서,
    상기 용기(36)는 용기의 하부벽(36a)과 히터(50)의 방열부(54) 사이에 배치되는 열적 절연 물질로 적어도 부분적으로 채워지는 반도체 기판 처리 장치.
  9. 제 8 항에 있어서,
    상기 열적 절연 물질(38)은 히터(50)와 고정된 정적 관계로 배치되는 반도체 기판 처리 장치.
  10. 제 8 항 또는 제 9 항에 있어서,
    용기의 하부벽(36a)에 인접하나 일정한 간격을 두고 용기(36) 내에 배치되는 지지판(39)을 더 구비하며,
    상기 지지판(39)은 용기(36)의 하부벽(36a)에서 드라이브 샤프트(37)와 동축으로 그리고 드라이브 샤프트를 통해 지지판으로부터 하향 돌출하는 지지 샤프트(39a)를 구비하며,
    상기 열적 절연 물질(38)은 지지판(39)에 지지되는 반도체 기판 처리 장치.
  11. 제 10 항에 있어서,
    상기 히터(50)는 에너지를 전달하도록 방열부(54)에 연결하고, 열적 절연 물질(38)을 통해 방열부(54)로부터 지지 샤프트(39a)로 뻗어있는 연결부(52)를 포함하며, 히터의 상기 연결부(52)는 열적 절연 물질(38)에 내장되고, 히터의 방열부(54)가 열적 절연 물질(38)의 상부에 놓여 있는 반도체 기판 처리 장치.
  12. 제 8 항에 있어서,
    상기 열적 절연 물질(38)은 용기(36)와 고정식 관계로 배치되는 반도체 기판 처리 장치.
  13. 제 12 항에 있어서,
    용기(36)의 하부벽(36a)에서 드라이브 샤프트(37)는 회전축(L)을 따라 열적 절연 물질을 통해 뻗어있는 세장형 중공 히터 통로(40)와 함께 일렬로 놓이며,
    상기 히터(50)는 에너지를 방열부(54)에 전달하기 위한 연결부(52)를 포함하며, 연결부는 방열부(54)와 지지되게 연결되도록 히터 통로를 통해 드라이브 샤프트 내부로 자유롭게 뻗어있는 반도체 기판 처리 장치.
  14. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    히터(50)의 방열부(54)는 적어도 두 개의 독립된 제어가능한 가열 지역을 포함하며, 상기 가열 지역은 지지면(34)의 다른 부분들 아래로 뻗어있는 반도체 기판 처리 장치.
  15. 제 1 항 내지 제 14 항 중 어느 한 항에 있어서,
    상기 기판 처리 장치(1)는 종형 열확산로(vertical thermal furnace)이고, 반응 공간(14)과 개구(22)를 형성하는 반응 챔버(12)를 더 구비하며, 개구를 통해 기판 지지 어셈블리(30)가 상기 반응 챔버에 적어도 부분적으로 수용가능하며,
    기판 지지 어셈블리(30)의 수용된 상태에서, 그 위에 지지되는 기판(28) 또는 기판 캐리어(24)는 반응 공간에 수용되고, 기판 지지 어셈블리(20)가 실질적으로 상기 개구(22)를 밀봉하는 반도체 기판 처리 장치.
  16. 제 1 항 내지 제 15 항 중 어느 한 항에 따른 반도체 처리 장치(1)를 제공하는 단계;
    적어도 하나의 기판(28)을 제공하고, 상기 적어도 하나의 기판을 가능하게는 기판 캐리어(24)를 매개로 기판 지지부(32)의 지지면(34)에 지지되게 하는 단계; 및
    동시에, 히터의 방열부(54)가 열을 방산하도록 하기 위해 히터(50)를 가열하고, 지지면(34)에 지지되는 적어도 하나의 기판(28)을 그 아래 히터의 방열부(54)에 대해 회전시키도록 회전축(L)을 중심으로 기판 지지부(32)를 회전시키는 단계를 포함하는 방법.
KR1020120076662A 2011-07-13 2012-07-13 가열형 회전 기판 지지부를 갖는 웨이퍼 처리 장치 KR101944432B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/181,791 2011-07-13
US13/181,791 US9018567B2 (en) 2011-07-13 2011-07-13 Wafer processing apparatus with heated, rotating substrate support

Publications (2)

Publication Number Publication Date
KR20130010435A true KR20130010435A (ko) 2013-01-28
KR101944432B1 KR101944432B1 (ko) 2019-01-31

Family

ID=47519098

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120076662A KR101944432B1 (ko) 2011-07-13 2012-07-13 가열형 회전 기판 지지부를 갖는 웨이퍼 처리 장치

Country Status (4)

Country Link
US (1) US9018567B2 (ko)
JP (1) JP6095291B2 (ko)
KR (1) KR101944432B1 (ko)
TW (1) TWI541923B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190129141A (ko) * 2015-02-25 2019-11-19 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 히터 및 반도체 장치의 제조 방법
US10508333B2 (en) 2016-01-29 2019-12-17 Samsung Electronics Co., Ltd. Heating apparatus and substrate processing apparatus having the same

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
JP5792390B2 (ja) * 2012-07-30 2015-10-14 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140263275A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Rotation enabled multifunctional heater-chiller pedestal
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104952762A (zh) * 2014-03-27 2015-09-30 北京北方微电子基地设备工艺研究中心有限责任公司 冷却腔室及半导体加工设备
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI611043B (zh) 2015-08-04 2018-01-11 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及記錄媒體
TWI642137B (zh) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
HK1212853A2 (zh) * 2015-08-10 2016-06-17 Shirhao Ltd 回收液體物質的裝置和方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6736755B2 (ja) * 2017-02-17 2020-08-05 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10170889B1 (en) * 2017-08-14 2019-01-01 Lumentum Operations Llc Controlling uniformity of lateral oxidation of wafer surface features using a vertical stack of horizontal wafers
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP6919060B2 (ja) * 2018-03-23 2021-08-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108277525A (zh) * 2018-04-25 2018-07-13 哈尔滨奥瑞德光电技术有限公司 一种固定大尺寸单晶炉热场的支架结构
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) * 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11703229B2 (en) * 2018-12-05 2023-07-18 Yi-Ming Hung Temperature adjustment apparatus for high temperature oven
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003218040A (ja) * 2002-01-24 2003-07-31 Hitachi Ltd 半導体製造装置
KR100495987B1 (ko) * 2001-10-17 2005-06-20 니뽄 가이시 가부시키가이샤 가열장치

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3598032B2 (ja) * 1999-11-30 2004-12-08 東京エレクトロン株式会社 縦型熱処理装置及び熱処理方法並びに保温ユニット
TW570856B (en) * 2001-01-18 2004-01-11 Fujitsu Ltd Solder jointing system, solder jointing method, semiconductor device manufacturing method, and semiconductor device manufacturing system
US20070243317A1 (en) * 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
AU2003249028A1 (en) 2002-07-15 2004-02-02 Aviza Technology, Inc. Thermal processing apparatus and method for evacuating a process chamber
US7351057B2 (en) * 2005-04-27 2008-04-01 Asm International N.V. Door plate for furnace
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US7935393B2 (en) * 2007-08-07 2011-05-03 Tokyo Electron Limited Method and system for improving sidewall coverage in a deposition system
JP2010080909A (ja) * 2008-08-26 2010-04-08 Nuflare Technology Inc ヒータ、半導体製造装置および半導体製造方法
JP5275935B2 (ja) * 2009-07-15 2013-08-28 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
JP5341706B2 (ja) * 2009-10-16 2013-11-13 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
US8552346B2 (en) * 2011-05-20 2013-10-08 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in an process chamber

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100495987B1 (ko) * 2001-10-17 2005-06-20 니뽄 가이시 가부시키가이샤 가열장치
JP2003218040A (ja) * 2002-01-24 2003-07-31 Hitachi Ltd 半導体製造装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190129141A (ko) * 2015-02-25 2019-11-19 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 히터 및 반도체 장치의 제조 방법
KR20200123870A (ko) * 2015-02-25 2020-10-30 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 히터 및 반도체 장치의 제조 방법
US10508333B2 (en) 2016-01-29 2019-12-17 Samsung Electronics Co., Ltd. Heating apparatus and substrate processing apparatus having the same

Also Published As

Publication number Publication date
JP2013021336A (ja) 2013-01-31
TWI541923B (zh) 2016-07-11
US20130017503A1 (en) 2013-01-17
JP6095291B2 (ja) 2017-03-15
KR101944432B1 (ko) 2019-01-31
TW201310566A (zh) 2013-03-01
US9018567B2 (en) 2015-04-28

Similar Documents

Publication Publication Date Title
KR101944432B1 (ko) 가열형 회전 기판 지지부를 갖는 웨이퍼 처리 장치
TWI821379B (zh) 基材處理設備及方法
KR20200038851A (ko) 기판 처리 장치 및 방법
KR100198144B1 (ko) 열처리장치
US8030599B2 (en) Substrate processing apparatus, heating device, and semiconductor device manufacturing method
US20130036970A1 (en) Substrate Processing Apparatus
KR102435774B1 (ko) 기판 가열 장치 및 이것을 이용한 기판 처리 장치
JP3863786B2 (ja) 半導体製造装置および半導体装置の製造方法
JP2003303781A (ja) 縦型熱処理装置
US20230005770A1 (en) Semiconductor substrate processing apparatus with a temperature sensor to measure the temperature of a bearing
JP4916736B2 (ja) 半導体結晶の成長装置
JP2010093108A (ja) 基板処理装置及び半導体装置の製造方法
KR101507620B1 (ko) 기판의 열처리 장치
JP2001144023A (ja) 縦型熱処理装置
JP2001284280A (ja) 枚葉式熱処理装置
JP2008078428A (ja) 基板処理装置
JP2003022979A (ja) 縦型熱処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right