TWI507090B - Gas passage construction and substrate processing device - Google Patents

Gas passage construction and substrate processing device Download PDF

Info

Publication number
TWI507090B
TWI507090B TW099109483A TW99109483A TWI507090B TW I507090 B TWI507090 B TW I507090B TW 099109483 A TW099109483 A TW 099109483A TW 99109483 A TW99109483 A TW 99109483A TW I507090 B TWI507090 B TW I507090B
Authority
TW
Taiwan
Prior art keywords
gas passage
displacement
gas
partition wall
electrode
Prior art date
Application number
TW099109483A
Other languages
English (en)
Other versions
TW201108870A (en
Inventor
Daisuke Hayashi
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201108870A publication Critical patent/TW201108870A/zh
Application granted granted Critical
Publication of TWI507090B publication Critical patent/TWI507090B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means

Description

氣體通路構造體及基板處理裝置
本發明係關於一種氣體通路構造體及基板處理裝置,特別是電極可動式之基板處理裝置中的氣體通路構造體及具有該氣體通路構造體之基板處理裝置。
針對作為基板的半導體晶圓(以下簡單稱為「晶圓」)施以電漿處理之基板處理裝置係具有容納晶圓且可將內部減壓之反應室(處理室)、設置於該反應室內部的下方之晶座(載置台)以及於反應室的內部而對向於晶座所設置之淋氣頭。晶座係用以載置晶圓,並作為連接於高頻電源而將高頻電功率施加至反應室內部之載置電極而發揮功能,淋氣頭係將處理氣體導入至反應室內部,並作為接地狀態之對向電極而發揮功能。於此種基板處理裝置中,係藉由高頻電功率來將供給至反應室內部之處理氣體激發以產生電漿,並利用該電漿來對晶圓施以電漿處理。
然而,為了使電漿適當地分佈在反應室內部的淋氣頭及晶座之間的空間,過去已開發有一種晶座為可動式結構而可調整淋氣頭及晶座間的空間之厚度(以下稱為「間隙」)之基板處理裝置。又,近年亦已評估有一種考量到處理裝置周邊之配置上的限制,並非將晶座而是將淋氣頭構成為可動式之基板處理裝置。
圖4係概略地顯示淋氣頭為可動式結構之習知基板處理裝置的結構之剖面圖。
圖4之基板處理裝置100中,於圓筒狀反應室101的內部中,對向於晶座102所設置之淋氣頭103係呈現具有與反應室101的內徑幾乎相等的外徑之略圓板狀,並藉由未圖示的升降機構而於反應室101的內部如活塞般地上下移動。又,圖4中,係以實線來表示下降最低時的淋氣頭103,而以虛線來表示上升最高時的淋氣頭103。
淋氣頭103係具有由氣體通路104、暫存室105或氣體孔106所構成之處理氣體導入系統,與連接於氣體供給源(未圖示)之氣體供給管107,該氣體供給源係用以將處理氣體從外部供給至該氣體導入系統。又,作為上下移動的電極而將淋氣頭103予以垂吊之軸件(shaft)部分111的外周部係同心圓狀地設置有具有真空遮斷功能之伸縮管112。如上所述,雖然淋氣頭103會上下移動,但通常由於氣體供給源係被固定而不會移動,故氣體供給管107必須隨著淋氣頭103的上下移動而彎曲。
已知有一種通常作為用以從固定的流體供給源朝向可動式結構物供給流體之供給管的軟管。例如,在宇宙環境試驗裝置中,為了從真空容器朝向可動式門部護罩(shroud)供給液態氮,係使用軟管來作為可撓性絕熱配管(例如,參照專利文獻1)。
然而,如圖5所示,由於軟管108係由施有複數個波形擠壓加工之薄金屬管109,與將該金屬管109的周圍加以覆蓋之金屬網編織物110所構成,故對彎曲的硬度較高而缺乏柔軟性。因此,當使其大大地彎曲時容易產生大的應力,而有在應用於位移量大的可動式結構物時會很快地斷裂之虞。因此,要將軟管108作為用以供給處理氣體之氣體供給管,而應用在上下方向的位移量為例如70mm地大且頻繁地上下移動之淋氣頭103實為困難。
亦即,軟管並非以重複彎曲為前提所設計者,且具有會不定期地斷裂的壽命。因此,1年左右即需定期更換,而有壽命上的安全性問題。又,並非以重複彎曲為前提之一體成型的伸縮管亦有與軟管相同的問題,故作為用以供給處理氣體之氣體供給管而應用在頻繁地上下移動之淋氣頭103實為困難。
另一方面,亦考慮了將旋轉接頭連接器應用在氣體供給管的可動部分,但由於旋轉接頭具有物理性滑動部,而難以避免微塵粒子的產生,而對微塵粒子的產生會大大地左右最終產品的品質之基板處理裝置來說並不具有實用性。
然而,亦考慮了使用伸縮管來取代軟管或旋轉接頭連接器。由於伸縮管係僅由多個縱剖面形狀為山形的圓環狀組件(以下,稱為「單元件(piece)」)所連結構成之金屬管所構成,故對彎曲的硬度較低而富有柔軟性。因此,即使是作為會頻繁且大大地位移之淋氣頭103的位移吸收組件使用,由於不會產生大的應力,故被認為不會很快地斷裂。
專利文獻1:日本特開2003-137200號公報
然而,即使是將對彎曲的硬度較低而富有柔軟性之伸縮管作為用以供給氣體至上下移動的淋氣頭之供給管,當僅僅係因為氣體配管而使用伸縮管時,則必須要有為了設置該伸縮管的空間,而難以確保搭載有特別多的機器之基板處理裝置之上部單元上部的設置場所,且會有設置上的問題。
又,由於伸縮管相較於軟管等價格較高,故必須儘可能地降低使用長度、使用數量等。
本發明之目的係提供一種可確保設置場所且無配置上的問題,並可隨著可動式電極來確實地供給氣體之可靠度高的氣體通路構造體及基板處理裝置。
為達成上述目的,申請專利範圍第1項之氣體通路構造體係透過氣體通路而將載置電極及對向電極之間所供給之處理氣體激發以產生電漿,並藉由該電漿來對被處理基板施以電漿處理之基板處理裝置的氣體通路構造體,其具有:處理室,係可將內部減壓;該載置電極,係設置於該處理室內而用以載置該被處理基板;該對向電極,係對向於該載置電極而設置;其中係包含有:支撐組件,係將該載置電極及對向電極的一側相對於另一側而可移動地支撐;環狀第1位移吸收壓力分隔壁,係與該支撐組件同心圓狀地設置於該支撐組件的外周部,以於該支撐組件將該處理室的壁面貫穿之貫穿部處吸收該電極之相對於該壁面的位移,並將該處理室內從該支撐組件周邊的氣氛遮斷;以及環狀第2位移吸收壓力分隔壁,係與該第1位移吸收壓力分隔壁同心圓狀地設置於該第1位移吸收壓力分隔壁的外周部;其中藉由該第1位移吸收壓力分隔壁與該第2位移吸收壓力分隔壁而形成有環狀第1氣體通路。
申請專利範圍第2項之氣體通路構造體係根據申請專利範圍第1項之氣體通路構造體,其中於該第2位移吸收壓力分隔壁的外周部設置有與該第2位移吸收壓力分隔壁同心圓狀的環狀第3或其以上的位移吸收壓力分隔壁,並於該第2位移吸收壓力分隔壁的外側形成有分別以鄰接之位移吸收壓力分隔壁所相互地挾置之環狀第2或其以上的氣體通路。
申請專利範圍第3項之氣體通路構造體係根據申請專利範圍第1項之氣體通路構造體,其中對向於該第1位移吸收壓力分隔壁的外周部而設置有用以限制該第1位移吸收壓力分隔壁朝與長度方向直交之方向彎曲之導件組件。
申請專利範圍第4項之氣體通路構造體係根據申請專利範圍第1項之氣體通路構造體,其中該位移吸收壓力分隔壁的剖面形狀為圓形、橢圓形或矩形。
申請專利範圍第5項之氣體通路構造體係根據申請專利範圍第1項之氣體通路構造體,其中該位移吸收壓力分隔壁為伸縮管。
為達成上述目的,申請專利範圍第6項之基板處理裝置係將載置電極及對向電極之間所供給之處理氣體激發以產生電漿,並藉由該電漿來對被處理基板施以電漿處理,其具有:處理室,係可將內部減壓;該載置電極,係設置於該處理室內而用以載置該被處理基板;該對向電極,係對向於該載置電極而設置;其中係具有將處理氣體供給至該載置電極及對該向電極之間之氣體通路構造體,且該氣體通路構造體為申請專利範圍第1至5項任一項之氣體通路構造體。
根據申請專利範圍第1項所記載之氣體通路構造體及申請專利範圍第6項所記載之基板處理裝置,氣體通路構造體係包含有:支撐組件,係將載置電極及對向電極的一側相對於另一側而可移動地支撐;環狀第1位移吸收壓力分隔壁,係與支撐組件同心圓狀地設置於支撐組件的外周部,以於該支撐組件將處理室的壁面貫穿之貫穿部處吸收電極之相對於壁面的位移,並將處理室內從支撐組件周邊的氣氛遮斷;以及環狀第2位移吸收壓力分隔壁,係與第1位移吸收壓力分隔壁同心圓狀地設置於第1位移吸收壓力分隔壁的外周部;其中藉由第1位移吸收壓力分隔壁與第2位移吸收壓力分隔壁而形成有環狀第1氣體通路,故可將隨著可動式電極而將吸收其位移之氣體通路設置在處理室內。藉此,可確保設置場所而無配置上的問題,且可確實地吸收可動式電極的位移而穩定地供給氣體,並提高作為氣體通路構造體的可靠度。
根據申請專利範圍第2項所記載之氣體通路構造體,第2位移吸收壓力分隔壁的外周部係設置有與第2位移吸收壓力分隔壁同心圓狀的環狀第3或其以上的位移吸收壓力分隔壁,並於第2位移吸收壓力分隔壁的外側形成有分別以鄰接之位移吸收壓力分隔壁所相互地挾置之環狀第2或其以上的氣體通路,故可應用在使用多系統的處理氣體之基板處理裝置。
根據申請專利範圍第3項所記載之氣體通路構造體,係對向於第1位移吸收壓力分隔壁的外周部而設置有用以限制第1位移吸收壓力分隔壁朝與長度方向直交之方向彎曲之導件組件,故可抑制曝露在內側大氣、外側真空之第1位移吸收隔壁的翹曲(Buckling)。
根據申請專利範圍第4項所記載之氣體通路構造體,係將位移吸收壓力分隔壁的剖面形狀形成為圓形、橢圓形或矩形,故可增加適用之位移吸收壓力分隔壁的變化性。
根據申請專利範圍第5項所記載之氣體通路構造體,可利用伸縮管來作為位移吸收壓力分隔壁,故可隨著可動式電極來吸收其位移、可確實地供給氣體、可提高作為氣體通路構造體的可靠度,並盡量降低微塵粒子的產生。
以下,針對本發明之實施形態,參照圖式加以說明。
圖1係概略地顯示具有本發明實施形態之氣體通路構造體的基板處理裝置的結構之剖面圖。該基板處理裝置係能夠對晶圓實施乾蝕刻處理施之結構。
圖1中,基板處理裝置10係具有用以容納直徑為例如300mm的晶圓W之圓筒狀反應室11(處理室),該反應室11內部的圖中下方係設置有用以載置半導體元件用晶圓W的圓板狀晶座12(載置電極),反應室11的圖中上端係藉由可自由開閉的圓板狀蓋部13而被加以覆蓋。
反應室11內部係藉由TMP(Turbo Molecular Pump)及DP(Dry Pump)(兩者皆未圖示)等而被減壓,又,反應室11內部的壓力係藉由APC閥(未圖示)而被加以控制。
又,半導體元件即使是附著有奈米等級的微塵粒子仍會成為缺陷的原因,故反應室11內部係在乾蝕刻處理前,先實施清潔處理來將微塵粒子加以去除。
晶座12係透過第1匹配器15而連接有第1高頻電源14,並透過第2匹配器17而連接有第2高頻電源16,第1高頻電源14係對晶座12施加較低的頻率(例如3.2MHz的高頻電功率(偏壓電功率)),第2高頻電源16係對晶座12施加較高的頻率(例如40MHz的高頻電功率(電漿產生電功率))。然後,晶座12會對反應室11內部施加電漿產生電功率。
晶座12的上部係設置有內部具有靜電電極18之靜電夾具19。靜電夾具19係由圓板狀陶瓷組件所構成,靜電電極18係連接有直流電源20。當靜電電極18被施加正的直流電壓時,晶圓W之靜電夾具19側的面(以下稱為「內面」。)會產生有負的電位,而在靜電電極18及晶圓W內面之間產生電位差,藉由因該電位差所造成的庫倫力或強生-拉貝克力(Johnson-Rahbek),則晶圓W會被吸附保持在靜電夾具19。
又,晶座12係載置有如同將所吸附保持之晶圓W圍繞般之環狀組件(聚焦環21)。聚焦環21係由導電體(例如與晶圓W的構成材料相同之單晶矽)所構成。由於聚焦環21係由導電體所構成,故電漿的分佈域不只是在晶圓W上甚至會擴大到該聚焦環21上,而將晶圓W周緣部上的電漿密度維持在與該晶圓W中央部上的電漿密度相同的程度。藉此,可維持施予在晶圓W整面之乾蝕刻處理的均勻性。
晶座12的圖中上部係設置有與晶座12呈對向之淋氣頭22。淋氣頭22係具備有具有多個氣體孔23之導電性上部電極24、將該上部電極24可裝卸地垂吊之冷卻板25及更進一步地將該冷卻板25予以垂吊而作為支撐組件的軸件26。上部電極24為接地狀態,並作為相對於施加在反應室11內部的電漿產生電功率之接地電極(對向電極)而發揮功能。上部電極24的外徑係與反應室11的內徑大致相等,上部電極24係以能移動結合之型態而設置於反應室11的內部。
軸件26係貫穿蓋部13(壁面),該軸件26的上部係連接至設置在基板處理裝置10的上方之升降機構(未圖示)。該升降機構雖係使軸件26在圖中的上下方向移動,但此時,具有上部電極24之淋氣頭22會在反應室11內部中如活塞般地上下移動。藉此,可調整淋氣頭22及晶座12間的空間之厚度(間隙)。淋氣頭22在圖中之上下方向的移動量最大值為例如70mm。
軸件26有可能會與蓋部13摩擦,而成為微塵粒子的產生來源。因此,軸件26外周面係以作為第1位移吸收隔壁之第1伸縮管31來加以覆蓋。軸件26係呈圓柱狀,圓筒狀第1伸縮管31係與軸件26同心圓狀地設置。第1伸縮管31之圖中上方的一端係接合至蓋部13的下面,而圖中下方的一端係接合至淋氣頭22之冷卻板25的上面。藉此,軸件26會在將蓋部13予以貫穿之貫穿部處吸收相對於蓋部13(壁面)之電極的位移,並將軸件26周邊的氣氛與處理室11內加以遮蔽,以保持反應室11內部與大氣之隔絕狀態。
以和第1伸縮管31鄰接之方式而於其外周部同心圓狀地設置有剖面為圓形來作為第2位移吸收壓力分隔壁之第2伸縮管32。第2伸縮管32係具有與第1伸縮管31之間的特定空隙之直徑。第2伸縮管32之圖中上方的一端係接合至蓋部13的下面,而圖中下方的一端係接合至淋氣頭22之冷卻板25的上面。第1伸縮管31與第2伸縮管32之間的間隙則成為氣體通路35。氣體通路35係透過將蓋部13予以貫穿之處理氣體供給管36而連接至氣體供給系統(未圖示)。又,氣體通路35係透過氣體孔38而與冷卻板25內部的暫存室29相連通。暫存室29係藉由複數個氣體孔23而與反應室11內部相連通。處理氣體係從氣體供給系統而經由處理氣體供給管36流入至第1伸縮管31與第2伸縮管32所形成之氣體通路35後,再經由氣體孔38而流入至冷卻板25內部的暫存室29,並經由複數個氣體孔23被導入至反應室11內部。
圖2為圖1之第1伸縮管(第2伸縮管亦相同)的部分放大圖。圖2中,左半側係顯示剖面,右半側係顯示側面。
圖2中,第1伸縮管31係在長度方向連結多個由縱剖面(沿著長度方向之剖面)形狀為山形之圓環狀組件(以下稱為「單元件(piece)」。)31a所構成之金屬管所形成。各單元件31a係藉由將形成為錐狀之2個金屬圓環板31b的下緣與下緣予以焊接而被加以接合。亦即,山形的頂部係只以焊接部31c而被加以接合,故相對於圖中箭頭A方向的伸縮之硬度較低而富有柔軟性,且易於向箭頭A方向伸縮。又,由於垂直於各單元件31a的長度方向之剖面形狀為圓環,且各單元件31a係由金屬所構成,故第1伸縮管31幾乎不會扭曲。
回到圖1,使淋氣頭22在上下方向移動時,係使升降機構(省略圖式)稼働。藉由升降機構的稼働,雖然連結於軸件26之淋氣頭22相對於晶座12的相對位置會變化,但形成氣體通路35之第1伸縮管31及第2伸縮管32會分別會隨著淋氣頭22之上下方向的移動而伸縮,並吸收相對位置的變化。其結果為,即使淋氣頭22在圖中的上下方向移動,氣體通路35仍可經常地供給處理氣體而連接於處理氣體導入系統。
上述基板處理裝置10的各構成組件(例如第1高頻電源14或第2高頻電源16)的動作係基板處理裝置10所具備的控制部(未圖示)之CPU根據對應於乾蝕刻處理的程式來加以控制。
在此種結構的基板處理裝置10中,首先,為了使電漿適當地分佈,係驅動升降機構(未圖示)來使軸件26在圖1中之上下方向移動,以調整晶座12與淋氣頭22之間的間隙。接著,經由處理氣體供給管36來將處理氣體供給至反應室11內。在處理氣體供給管36內所流動的處理氣體,係藉由例如處理氣體供給管36的中途所設置之過濾器來去除微塵粒子後,而流入至氣體通路35,之後再經由冷卻板25內部的暫存室29及氣體孔23而流入至反應室11內部。流入至反應室11內的處理氣體係藉由施加在反應室11內部的電漿產生電功率而被激發成為電漿。
電漿中的陽離子會因施加在晶座12之偏壓電功率所造成的負偏壓電位而朝向晶座12所載置之晶圓W被吸引,以對該晶圓W施予乾蝕刻處理。
本實施形態係藉由在用以支撐淋氣頭22之軸件26周圍同心圓狀地設置之可自由伸縮的第1伸縮管31及第2伸縮管32,來形成用以將處理氣體導入至基板處理裝置10的晶座12與淋氣頭22之間之氣體通路,故較易確保氣體通路的設置場所,並可隨著淋氣頭22之上下方向的移動來吸收其位移,藉此可穩定處理氣體,並確實地供給至淋氣頭,且亦可提高可靠度。
本實施形態係將預先設置之將反應室內的空間從大氣加以隔絕而作為壓力分隔壁的第1伸縮管31作為伸縮之氣體通路35的構成組件,應用在淋氣頭會上下移動之結構的基板處理裝置,故可將使氣體通路加以伸縮之構造與吸收淋氣頭的上下移動之結構一體成型,藉此,可將組件數量的增加抑制在所需之最小限度。又,由於伸縮氣體通路35係設置在反應室內,故反應室上方的結構不會變得複雜。
本實施形態中,第1伸縮管31與第2伸縮管32較佳係使用內徑為例如100mmΨ以上者。藉此,和通常的氣體管相比,氣體通路35的傳導度會變大,且可盡量縮小例如第1伸縮管31與第2伸縮管32之間的間隙。亦即,第1伸縮管31與第2伸縮管32之間隙的設定大部分係與伸縮管之動作限度的設計具有相關性。但是,可對應於妨礙翹曲等垂直動作之現象的最小間隙則必須確保在例如10mm左右。
本實施形態中,由於伸縮管相對於伸縮富有柔軟性,且伸縮時不會產生大的應力,故可防止氣體通路35很快地斷裂,並提高可靠度。
本實施形態中,係將第1伸縮管31及第2伸縮管32的剖面形狀構成為圓形,但除了圓形以外,亦可為例如橢圓形、矩形等。
本實施形態中,只有第1伸縮管31具有作為將反應室內的空間從大氣加以隔絕之壓力分隔壁的功能。因此,第1伸縮管31相較於第2伸縮管32,必須要能夠對「內壓>>外壓」之壓力狀態具有耐受性,故必須留意翹曲防止的對策(例如設置導件)。因此,較佳係在第1伸縮管的外周部設置翹曲防止用導件組件。
第2伸縮管32係用以形成氣體通路35者,氣體通路35係與反應室11內相連通,而與反應室11內部同樣地被減壓。因此,對第2伸縮管32並未被特別要求要與用以隔絕真空與大氣之第1伸縮管31有相同程度的功能。又,即使是為了形成多系統氣體通路而同心圓狀地設置有3個或其以上的伸縮管之情況,針對第1伸縮管以外的伸縮管加以作用之真空應力仍不會極端地增大。
本實施形態中,雖係使用伸縮管來作為位移吸收分隔壁,但亦可取代伸縮管而使用具有磁性流體遮蔽件之滑動遮蔽構造。但由於滑動遮蔽構造具有滑動部,故必須施予微塵粒子對策。
本實施形態中,雖係針對淋氣頭22在上下方向移動之情況加以說明,本發明亦可同樣地適用在例如,反應室11內部下方所設置之晶座12在上下方向移動之情況。具體而言,晶座12在上下方向移動,且必須從外部向該晶座12供給氣體時,可將複數伸縮管同心圓狀地設置在可移動地支撐晶座12之軸件,來形成連接於外部的氣體供給源之基板處理裝置內的氣體通路。
圖3係概略地顯示本發明實施形態之變形例的結構之剖面圖。
圖3中,該基板處理裝置係將圖1之基板處理裝置的處理氣體供給系統及氣體通路形成為2個系統,而對反應室11內供給2個系統的氣體。圖3中,係針對與圖1相同的結構則賦予相同的符號,而省略其說明。
該基板處理裝置50與圖1之相異點在於第2伸縮管32的外周部處係相隔特定間隔而設置有第3伸縮管33,並以第2伸縮管32與第3伸縮管33之間隙來作為第2氣體通路45。此外,係將暫存室29區劃為內側暫存室29a與外側暫存室29b,而使第1氣體通路35透過氣體孔38與內側暫存室29a相連通,並使第2氣體通路45透過氣體孔39而與外側暫存室29b相連通。
在此種結構的基板處理裝置50中,各自相異的2個系統之處理氣體會經由處理氣體供給管36及46而被供給至第1氣體通路35及第2氣體通路45。處理氣體供給管36及46的中途係設置有例如用以將處理氣體中所含有的微小且微量的微塵粒子去除之過濾器。第1系統的處理氣體藉由過濾器而被去除微塵粒子後,會流入至氣體通路35,之後再經由氣體孔38、暫存室29a及氣體孔23而被導入至反應室11內部。又,第2個系統的處理氣體藉由過濾器而被去除微塵粒子後,會流入至氣體通路45,之後再經由氣體孔39、暫存室29b及別的氣體孔23而被導入至反應室11內部。被導入至反應室11內之2個系統的處理氣體係藉由施加在反應室11內部的電漿產生電功率而被激發成為電漿。
電漿中的陽離子會因施加在晶座12之偏壓電功率所造成的負偏壓電位而朝向晶座12所載置之晶圓W被吸引,以對該晶圓W施予乾蝕刻處理。
本實施形態亦與上述實施形態同樣地,可較易確保氣體通路的設置場所,並且可隨著淋氣頭22之上下方向的移動而吸收其位移、穩定處理氣體並確實地導入至淋氣頭,故可提高可靠度。
本實施形態中,氣體通路不限於2個系統,藉由依序地增加同心圓狀地設置之伸縮管的數量,而可對應於3個系統或其以上。
又,以上所述之本實施形態中,雖係將施有乾蝕刻處理之基板作為半導體元件用晶圓來加以說明,但施有乾蝕刻處理之基板不限於此,而亦可為包含有例如LCD(Liquid Crystal Display)之FPD(Flat Panel Display)等玻璃基板。
W...晶圓
10...基板處理裝置
11...反應室
12...晶座
13...蓋部
14...第1高頻電源
15...第1匹配器
16...第2高頻電源
17...第2匹配器
18...靜電電極
19...靜電夾具
20...直流電源
21...聚焦環
22...淋氣頭
23...氣體孔
24...上部電極
25...冷卻板
26...軸件
29...暫存室
29a...內側暫存室
29b...外側暫存室
31...第1伸縮管
31a...單元件
31b...圓環板
31c...焊接部
32...第2伸縮管
33...第3伸縮管
35...第1氣體通路
36、46...處理氣體供給管
38、39...氣體孔
45...第2氣體通路
50...基板處理裝置
100...基板處理裝置
101...圓筒狀反應室
102...晶座
103...淋氣頭
104...氣體通路
105...暫存室
106...氣體孔
107...氣體供給管
108...軟管
109...金屬管
110...編織物
111...軸件部分
112...伸縮管
圖1係概略地顯示具有本發明實施形態之氣體通路構造體的基板處理裝置的結構之剖面圖。
圖2為圖1之第1伸縮管(第2伸縮管亦相同)的部分放大圖。
圖3係概略地顯示本發明實施形態之變形例的結構之剖面圖。
圖4係概略地顯示淋氣頭為可動式結構之習知基板處理裝置的結構之剖面圖。
圖5為軟管的部分放大圖。
W...晶圓
10...基板處理裝置
11...反應室
12...晶座
13...蓋部
14...第1高頻電源
15...第1匹配器
16...第2高頻電源
17...第2匹配器
18...靜電電極
19...靜電夾具
20...直流電源
21...聚焦環
22...淋氣頭
23...氣體孔
24...上部電極
25...冷卻板
26...軸件
29...暫存室
31...第1伸縮管
32...第2伸縮管
35...第1氣體通路
36...處理氣體供給管
38...氣體孔

Claims (6)

  1. 一種氣體通路構造體,係透過氣體通路而將載置電極及對向電極之間所供給之處理氣體激發以產生電漿,並藉由該電漿來對被處理基板施以電漿處理之基板處理裝置的氣體通路構造體,該基板處理裝置係具有:處理室,係可將內部減壓;該載置電極,係設置於該處理室內而用以載置該被處理基板;該對向電極,係對向於該載置電極而設置;其特徵在於係包含有:支撐組件,係將該載置電極及對向電極的一側相對於另一側而可移動地支撐;環狀第1位移吸收壓力分隔壁,係與該支撐組件同心圓狀地設置於該支撐組件的外周部,以於該支撐組件將該處理室的壁面貫穿之貫穿部處吸收該電極之相對於該壁面的位移,並將該處理室內從該支撐組件周邊的氣氛遮斷;以及環狀第2位移吸收壓力分隔壁,係與該第1位移吸收壓力分隔壁同心圓狀地設置於該第1位移吸收壓力分隔壁的外周部;其中藉由該第1位移吸收壓力分隔壁與該第2位移吸收壓力分隔壁而形成有環狀第1氣體通路。
  2. 如申請專利範圍第1項之氣體通路構造體,其中於該第2位移吸收壓力分隔壁的外周部設置有與該第2位移吸收壓力分隔壁同心圓狀的環狀第3或其 以上的位移吸收壓力分隔壁,並於該第2位移吸收壓力分隔壁的外側形成有分別以鄰接之位移吸收壓力分隔壁所相互地挾置之環狀第2或其以上的氣體通路。
  3. 如申請專利範圍第1項之氣體通路構造體,其中對向於該第1位移吸收壓力分隔壁的外周部而設置有用以限制該第1位移吸收壓力分隔壁朝與長度方向直交之方向彎曲之導件組件。
  4. 如申請專利範圍第1項之氣體通路構造體,其中該位移吸收壓力分隔壁的剖面形狀為圓形、橢圓形或矩形。
  5. 如申請專利範圍第1項之氣體通路構造體,其中該位移吸收壓力分隔壁為伸縮管。
  6. 一種基板處理裝置,係將載置電極及對向電極之間所供給之處理氣體激發以產生電漿,並藉由該電漿來對被處理基板施以電漿處理,其具有:處理室,係可將內部減壓;該載置電極,係設置於該處理室內而用以載置該被處理基板;該對向電極,係對向於該載置電極而設置;其特徵在於:係具有將處理氣體供給至該載置電極及對該向電極之間之氣體通路構造體,且該氣體通路構造體為申請專利範圍第1至5項任一項之氣體通路構造體。
TW099109483A 2009-03-31 2010-03-30 Gas passage construction and substrate processing device TWI507090B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009086036A JP5292160B2 (ja) 2009-03-31 2009-03-31 ガス流路構造体及び基板処理装置

Publications (2)

Publication Number Publication Date
TW201108870A TW201108870A (en) 2011-03-01
TWI507090B true TWI507090B (zh) 2015-11-01

Family

ID=42782671

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099109483A TWI507090B (zh) 2009-03-31 2010-03-30 Gas passage construction and substrate processing device

Country Status (5)

Country Link
US (1) US8623172B2 (zh)
JP (1) JP5292160B2 (zh)
KR (1) KR101486781B1 (zh)
CN (1) CN101853777B (zh)
TW (1) TWI507090B (zh)

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101040697B1 (ko) 2009-11-25 2011-06-13 세메스 주식회사 정전척
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
JP5700632B2 (ja) 2010-11-04 2015-04-15 東京エレクトロン株式会社 プラズマ処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5845061B2 (ja) * 2011-11-07 2016-01-20 ヤマハ発動機株式会社 クリーンロボット
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
TWI569483B (zh) * 2013-06-05 2017-02-01 財團法人工業技術研究院 垂直磁化穿隧式磁阻元件及其製造方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6225837B2 (ja) * 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2018100868A (ja) * 2016-12-20 2018-06-28 日本特殊陶業株式会社 ガスセンサ
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
CN108962712B (zh) * 2017-05-24 2024-03-19 上海凯世通半导体股份有限公司 真空操纵系统
CN108962713B (zh) * 2017-05-25 2020-10-16 北京北方华创微电子装备有限公司 一种工艺腔室及半导体处理设备
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
EP3889469B1 (en) * 2019-01-11 2023-03-01 Mitsubishi Heavy Industries, Ltd. Sealing device and drive device comprising same
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1648531S (zh) * 2019-01-28 2019-12-23
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN114203506B (zh) * 2020-09-18 2024-03-12 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117637608A (zh) * 2024-01-25 2024-03-01 中国科学院长春光学精密机械与物理研究所 一种硅通孔的制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4661196A (en) * 1984-10-22 1987-04-28 Texas Instruments Incorporated Plasma etch movable substrate
US5372674A (en) * 1993-05-14 1994-12-13 Hughes Aircraft Company Electrode for use in a plasma assisted chemical etching process
US20030209324A1 (en) * 2000-10-16 2003-11-13 Fink Steven T. Plasma reactor with reduced reaction chamber
JP2005056994A (ja) * 2003-08-01 2005-03-03 Saginomiya Seisakusho Inc プラズマ処理装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4360499A (en) * 1981-06-22 1982-11-23 Rca Corporation Bellows assembly for crystal ribbon puller
US4868490A (en) * 1988-09-14 1989-09-19 Texas Instruments Incorporated Method and apparatus for sheet resistance measurement of a wafer during a fabrication process
JP2677913B2 (ja) * 1991-05-13 1997-11-17 三菱電機株式会社 半導体製造装置のシール機構および半導体装置の製造方法
FR2682047B1 (fr) * 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
JPH05299370A (ja) * 1992-04-23 1993-11-12 Tokyo Electron Tohoku Ltd 熱処理装置
US6652711B2 (en) * 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
JP3946984B2 (ja) 2001-11-07 2007-07-18 大陽日酸株式会社 宇宙環境試験装置
KR100753696B1 (ko) * 2002-03-26 2007-08-30 동경 엘렉트론 주식회사 기판 처리 장치, 기판 처리 방법 및 고속 로터리 밸브
JP4125110B2 (ja) * 2002-12-13 2008-07-30 イーグル工業株式会社 半導体製造装置の排気流路構造
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
JP4421238B2 (ja) * 2003-08-26 2010-02-24 大日本スクリーン製造株式会社 熱処理装置および熱処理装置の洗浄方法
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
JP4961948B2 (ja) * 2006-10-27 2012-06-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法並びに記憶媒体

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4661196A (en) * 1984-10-22 1987-04-28 Texas Instruments Incorporated Plasma etch movable substrate
US5372674A (en) * 1993-05-14 1994-12-13 Hughes Aircraft Company Electrode for use in a plasma assisted chemical etching process
US20030209324A1 (en) * 2000-10-16 2003-11-13 Fink Steven T. Plasma reactor with reduced reaction chamber
JP2005056994A (ja) * 2003-08-01 2005-03-03 Saginomiya Seisakusho Inc プラズマ処理装置

Also Published As

Publication number Publication date
CN101853777A (zh) 2010-10-06
US8623172B2 (en) 2014-01-07
US20100243166A1 (en) 2010-09-30
TW201108870A (en) 2011-03-01
KR101486781B1 (ko) 2015-01-28
CN101853777B (zh) 2013-01-02
JP5292160B2 (ja) 2013-09-18
JP2010238961A (ja) 2010-10-21
KR20100109489A (ko) 2010-10-08

Similar Documents

Publication Publication Date Title
TWI507090B (zh) Gas passage construction and substrate processing device
US8568554B2 (en) Movable gas introduction structure and substrate processing apparatus having same
JP6728117B2 (ja) 取り外し可能なガス分配プレートを有するシャワーヘッド
TWI497583B (zh) Plasma processing device
KR102434559B1 (ko) 탑재대 및 플라즈마 처리 장치
EP2390897B1 (en) Plasma processing apparatus
JP5202050B2 (ja) シャワーヘッド及び基板処理装置
KR101672856B1 (ko) 플라즈마 처리 장치
US10651071B2 (en) Substrate processing apparatus and substrate removing method
JP6540022B2 (ja) 載置台及びプラズマ処理装置
KR102621517B1 (ko) 기판 처리 장치
US20210066052A1 (en) Plasma processing apparatus
JP6063741B2 (ja) プラズマ処理容器及びプラズマ処理装置
KR101898079B1 (ko) 플라즈마 처리 장치
JP2009152434A (ja) 基板処理装置
KR20210018079A (ko) 적재대 및 기판 처리 장치
CN109962031B (zh) 一种受保护的静电吸盘及其应用
US9196461B2 (en) Plasma processing apparatus
JP5235033B2 (ja) 電極アッセンブリ及びプラズマ処理装置
US10141164B2 (en) Plasma processing apparatus and plasma processing method
US20110024040A1 (en) Deposit protection cover and plasma processing apparatus
JP2013165276A (ja) 蓋部品、処理ガス拡散供給装置、及び基板処理装置
JP4594358B2 (ja) プラズマ処理装置
JP2021163831A (ja) 保持装置、及びプラズマ処理装置
JP7446145B2 (ja) 基板処理装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees