JP2010238961A - ガス流路構造体及び基板処理装置 - Google Patents

ガス流路構造体及び基板処理装置 Download PDF

Info

Publication number
JP2010238961A
JP2010238961A JP2009086036A JP2009086036A JP2010238961A JP 2010238961 A JP2010238961 A JP 2010238961A JP 2009086036 A JP2009086036 A JP 2009086036A JP 2009086036 A JP2009086036 A JP 2009086036A JP 2010238961 A JP2010238961 A JP 2010238961A
Authority
JP
Japan
Prior art keywords
flow path
gas flow
pressure partition
displacement
bellows
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009086036A
Other languages
English (en)
Other versions
JP5292160B2 (ja
Inventor
Daisuke Hayashi
大輔 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2009086036A priority Critical patent/JP5292160B2/ja
Priority to CN201010102550.6A priority patent/CN101853777B/zh
Priority to US12/749,642 priority patent/US8623172B2/en
Priority to TW099109483A priority patent/TWI507090B/zh
Priority to KR20100028457A priority patent/KR101486781B1/ko
Publication of JP2010238961A publication Critical patent/JP2010238961A/ja
Application granted granted Critical
Publication of JP5292160B2 publication Critical patent/JP5292160B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】設置場所を確保する上でレイアウト上の問題がなく、且つ可動する電極に追従して確実にガスを供給することができる信頼性の高いガス流路構造体を提供する。
【解決手段】 内部を減圧可能な処理室11と、処理室11内に配置された対向電極24を載置電極12に対して移動可能に支持するシャフト26と、シャフト26が処理室11の壁面13を貫通する貫通部において壁面13に対する対向電極24の変位を吸収し、シャフト26周辺の雰囲気から処理室11内をシールするようにシャフト26の外周部に、シャフト26と同心状に配置された環状の第1のベローズ31と、第1のベローズ31の外周部に、同心状に配置された第2のベローズ32とを有し、第1のベローズ31と第2のベローズ32で環状のガス流路35を形成する。
【選択図】図1

Description

本発明は、ガス流路構造体及び基板処理装置に関し、特に、電極可動式の基板処理装置におけるガス流路構造体及び該ガス流路構造体を備えた基板処理装置に関する。
基板としての半導体ウエハ(以下、単に「ウエハ」という。)にプラズマ処理を施す基板処理装置は、ウエハを収容し且つ内部を減圧可能なチャンバ(処理室)と、該チャンバ内部の下方に配されたサセプタ(載置台)と、チャンバ内部においてサセプタに対向するように配されたシャワーヘッドとを備える。サセプタはウエハを載置するとともに、高周波電源が接続されてチャンバ内部に高周波電力を印加する載置電極として機能し、シャワーヘッドはチャンバ内部に処理ガスを導入するとともに、接地されて対向電極として機能する。このような基板処理装置では、チャンバ内部に供給された処理ガスを高周波電力によって励起してプラズマを生成し、該プラズマによってウエハにプラズマ処理を施す。
ところで、チャンバ内部のシャワーヘッド及びサセプタの間の空間においてプラズマを適切に分布させるために、従来、サセプタを可動に構成してシャワーヘッド及びサセプタの間の空間の厚さ(以下、「ギャップ」という。)を調整可能な基板処理装置が開発されている。また、近年、基板処理装置の周辺におけるレイアウト上の制約からサセプタではなくシャワーヘッドが可動に構成された基板処理装置が検討されている。
図4は、シャワーヘッドが可動に構成された従来の基板処理装置の構成を概略的に示す断面図である。
図4の基板処理装置100では、円筒状のチャンバ101内部においてサセプタ102に対向するように配置されたシャワーヘッド103は、チャンバ101の内径とほぼ等しい外径を有する略円板状を呈し、不図示のリフト機構によってチャンバ101内部においてピストンのように上下動する。なお、図4中において、最も下降した場合のシャワーヘッド103を実線で示し、最も上昇した場合のシャワーヘッド103を破線で示す。
シャワーヘッド103は、ガス流路104、バッファ室105やガス孔106からなる処理ガス導入系と、該処理ガス導入系に外部より処理ガスを供給するためのガス供給元(図示しない)に接続されるガス供給管107とを有する。また、上下動する電極としてのシャワーヘッド103を釣支するシャフト部分111の外周部には、同心状に、真空遮断機能を備えたベローズ112が配設されている。上述したように、シャワーヘッド103は上下動するが、通常、ガス供給元は固定されており動かないため、ガス供給管107はシャワーヘッド103の上下動に追従して屈曲する必要がある。
通常、固定された流体供給元から可動の構成物へ向けて流体を供給するための供給管としてフレキシブルチューブが知られている。例えば、宇宙環境試験装置において、真空容器から可動の扉部シュラウドへ向けて液化窒素を供給するために可撓性断熱配管としてフレキシブルチューブが用いられている(例えば、特許文献1参照。)。
ところが、図5に示すように、フレキシブルチューブ108は、複数の波形の絞り加工が施された薄肉の金属パイプ109と、該金属パイプ109の周りを覆う金属メッシュのブレード110とからなるため、曲げに対する剛性が比較的高く、柔軟性に欠ける。従って、大きく屈曲させた場合に大きな応力が発生し易く、変位量の大きい可動の構成物に適用した場合、早期に破断する虞がある。このため、上下方向の変位量が、例えば70mmと大きく、且つ頻繁に上下動するシャワーヘッド103に処理ガスを供給するガス供給管としてフレキシブルチューブ108を適用するのは困難である。
すなわち、フレキシブルチューブは、繰り返し屈曲させることを前提としたものではなく、不定期の破断による寿命がある。従って、1年程度の定期交換品として取り扱う必要があり、寿命上の安全性に問題がある。また、繰り返し屈曲させることを前提としない一体成型ベローズもフレキシブルチューブと同様の問題があり、頻繁に上下動するシャワーヘッド103に処理ガスを供給するガス供給管として適用するのは困難である。
一方、ガス供給管の可動部分に回転ジョイント継ぎ手を適用することも考えられるが、回転ジョイントは、物理的摺動部を有するためにパーティクルの発生を回避することが困難であり、パーティクルの発生が最終製品の品質を大きく左右する基板処理装置においては、実用的でない。
ところで、フレキシブルチューブ又は回転ジョイント継ぎ手に代えてベローズを適用することが考えられる。ベローズは、縦断面形状が山形の円環状部材(以下、「コマ(piece)」という。)が幾つも連結されて構成された金属パイプのみからなるために、曲げに対する剛性が比較的低く、柔軟性に富む。従って、頻繁且つ大きく変位するシャワーヘッド103の変位吸収部材として適用しても、大きな応力が発生しないので、早期に破断することはないと考えられる。
特開2003−137200号公報
しかしながら、上下動するシャワーヘッドにガスを供給する供給管として、曲げに対する剛性が比較的低く、柔軟性に富むベローズを適用したとしても、ガス配管のためだけにベローズを使用するとなると、そのための設置スペースが必要となり、特に多くの機器が搭載される基板処理装置の上部ユニットの上面部における配置場所を確保することが困難であり、レイアウト上の問題がある。
また、ベローズは、フレキシブルチューブ等に比べて高価であり、できるだけ使用長さ、使用数量等を低減する必要もある。
本発明の目的は、設置場所を確保する上でレイアウト上の問題がなく、且つ可動する電極に追従して確実にガスを供給することができる信頼性の高いガス流路構造体及び基板処理装置を提供することにある。
上記目的を達成するために、請求項1記載のガス流路構造体は、内部を減圧可能な処理室と、該処理室内に配置され、被処理基板を載置する載置電極と、該載置電極と対向するように配置された対向電極とを備え、ガス流路を介して前記載置電極及び前記対向電極の間に供給される処理ガスを励起してプラズマを生成し、該プラズマによって前記被処理基板にプラズマ処理を施す基板処理装置のガス流路構造体であって、前記載置電極及び対向電極の一方を他方に対して移動可能に支持する支持部材と、該支持部材が前記処理室の壁面を貫通する貫通部において前記壁面に対する前記電極の変位を吸収し、前記支持部材周辺の雰囲気から前記処理室内をシールするように前記支持部材の外周部に、該支持部材と同心状に配置された環状の第1の変位吸収圧力隔壁と、該第1の変位吸収圧力隔壁の外周部に、該第1の変位吸収圧力隔壁と同心状に配置された環状の第2の変位吸収圧力隔壁とを有し、前記第1の変位吸収圧力隔壁と前記第2の変位吸収圧力隔壁とで環状の第1のガス流路を形成したことを特徴とする。
請求項2記載のガス流路構造体は、請求項1記載のガス流路構造体において、前記第2の変位吸収圧力隔壁の外周部に、該第2の変位吸収圧力隔壁と同心状に環状の第3又はそれ以上の変位吸収圧力隔壁を設け、前記第2の変位吸収圧力隔壁の外側に、それぞれ隣接する変位吸収圧力隔壁相互に挟持された環状の第2又はそれ以上のガス流路を形成したことを特徴とする。
請求項3記載のガス流路構造体は、請求項1又は2記載のガス流路構造体において、前記第1の変位吸収圧力隔壁の外周部に対向するように、前記第1の変位吸収圧力隔壁の長さ方向に直交する方向への屈曲を制限するガイド部材を設けたことを特徴とする。
請求項4記載のガス流路構造体は、請求項1乃至3のいずれか1項に記載のガス流路構造体において、前記変位吸収圧力隔壁の断面形状は、円形、楕円形又は矩形であることを特徴とする。
請求項5記載のガス流路構造体は、請求項1乃至4のいずれか1項に記載のガス流路構造体において、前記変位吸収圧力隔壁は、ベローズであることを特徴とする。
上記目的を達成するために、請求項6記載の基盤処理装置は、内部を減圧可能な処理室と、該処理室内に配置され、被処理基板を載置する載置電極と、該載置電極と対向配置された対向電極とを備え、前記載置電極及び前記対向電極の間に供給される処理ガスを励起してプラズマを生成し、該プラズマによって前記被処理基板にプラズマ処理を施す基板処理装置であって、前記載置電極及び前記対向電極の間に処理ガスを供給するガス流路構造体を有し、該ガス流路構造体は、請求項1乃至5のいずれか1項に記載のガス流路構造体であることを特徴とする。
請求項1記載のガス流路構造体及び請求項6記載の基板処理装置によれば、ガス流路構造体が、載置電極及び対向電極の一方を他方に対して移動可能に支持する支持部材と、この支持部材が処理室の壁面を貫通する貫通部において壁面に対する電極の変位を吸収し、支持部材周辺の雰囲気から処理室内をシールするように支持部材の外周部に、支持部材と同心状に配置された環状の第1の変位吸収圧力隔壁と、第1の変位吸収圧力隔壁の外周部に、第1の変位吸収圧力隔壁と同心状に配置された環状の第2の変位吸収圧力隔壁とを有し、第1の変位吸収圧力隔壁と第2の変位吸収圧力隔壁とで環状の第1のガス流路を形成するので、電極の可動に追従してその変位を吸収するガス流路を処理室内に設けることができる。これによって、設置場所を確保する上でのレイアウト上の問題がなくなり、且つ可動する電極の変位を確実に吸収してガスを安定に供給することができ、またガス流路構造体としての信頼性を向上させることができる。
請求項2記載のガス流路構造体によれば、第2の変位吸収圧力隔壁の外周部に、第2の変位吸収圧力隔壁と同心状に環状の第3又はそれ以上の変位吸収圧力隔壁を設け、第2の変位吸収圧力隔壁の外側に、それぞれ隣接する変位吸収圧力隔壁相互に挟持された環状の第2又はそれ以上のガス流路を形成したので、多系統の処理ガスを使用する基板処理装置に適用することができる。
請求項3記載のガス流路構造体によれば、第1の変位吸収圧力隔壁の外周部に対向するように、第1の変位吸収圧力隔壁の長さ方向に直交する方向への屈曲を制限するガイド部材を設けたので、内側大気、外側真空に曝される第1の変位吸収隔壁の座屈を抑制することができる。
請求項4記載のガス流路構造体によれば、変位吸収圧力隔壁の断面形状を、円形、楕円形又は矩形としたので、適用する変位吸収圧力隔壁のバリエーションを広げることができる。
請求項5記載のガス流路構造体によれば、変位吸収圧力隔壁としてベローズを適用したので、可動する電極に追従してその変位を吸収することができ、確実にガスを供給することができ、ガス流路構造体としての信頼性が向上すると共に、パーティクルの発生を極力低減することができる。
本発明の実施の形態に係るガス流路構造体を備える基板処理装置の構成を概略的に示す断面図である。 図1における第1のベローズ(第2のベローズも同様)の部分拡大図である。 本発明の実施の形態の変形例の構成を概略的に示す断面図である。 シャワーヘッドが可動に構成された従来の基板処理装置の構成を概略的に示す断面図である。 フレキシブルチューブの部分拡大図である。
以下、本発明の実施の形態について図面を参照しながら説明する。
図1は、本発明の実施の形態に係るガス流路構造体を備える基板処理装置の構成を概略的に示す断面図である。この基板処理装置はウエハにドライエッチング処理を施すように構成されている。
図1において、基板処理装置10は、直径が、例えば、300mmのウエハWを収容する円筒形状のチャンバ11(処理室)を有し、該チャンバ11内部の図中下方には半導体デバイス用のウエハWを載置する円板形状のサセプタ12(載置電極)が配置されており、チャンバ11の図中上端は開閉自在な円板状の蓋部13によって覆われている。
チャンバ11内部はTMP(Turbo Molecular Pump)及びDP(Dry Pump)(ともに図示しない)等によって減圧され、また、チャンバ11内部の圧力はAPCバルブ(図示しない)によって制御される。なお、半導体デバイスにナノレベルのパーティクルが付着しても欠陥の原因となるため、チャンバ11内部にはドライエッチング処理に先立って清浄処理が施されてパーティクルが除去される。
サセプタ12には第1の高周波電源14が第1の整合器15を介して接続され、且つ第2の高周波電源16が第2の整合器17を介して接続されており、第1の高周波電源14は比較的低い周波数、例えば、3.2MHzの高周波電力であるバイアス電力をサセプタ12に印加し、第2の高周波電源16は比較的高い周波数、例えば、40MHzの高周波電力であるプラズマ生成電力をサセプタ12に印加する。そして、サセプタ12はチャンバ11内部にプラズマ生成電力を印加する。
サセプタ12の上部には、静電電極18を内部に有する静電チャック19が配置されている。静電チャック19は円板状のセラミックス部材で構成され、静電電極18には直流電源20が接続されている。静電電極18に正の直流電圧が印加されると、ウエハWにおける静電チャック19側の面(以下、「裏面」という。)には負の電位が生じて静電電極18及びウエハWの裏面の間に電位差が生じ、該電位差に起因するクーロン力又はジョンソン・ラーベック力により、ウエハWは静電チャック19に吸着保持される。
また、サセプタ12には、吸着保持されたウエハWを囲うように、リング状部材であるフォーカスリング21が載置される。フォーカスリング21は、導電体、例えば、ウエハWを構成する材料と同じ単結晶シリコンによって構成される。フォーカスリング21は導電体からなるので、プラズマの分布域をウエハW上だけでなく該フォーカスリング21上まで拡大してウエハWの周縁部上におけるプラズマの密度を該ウエハWの中央部上におけるプラズマの密度と同程度に維持する。これにより、ウエハWの全面に施されるドライエッチング処理の均一性を維持することができる。
サセプタ12の図中上部には、サセプタ12と対向するようにシャワーヘッド22が配置されている。シャワーヘッド22は、多数のガス孔23を有する導電性の上部電極24と、該上部電極24を着脱可能に釣支するクーリングプレート25と、該クーリングプレート25をさらに釣支する支持部材としてのシャフト26とを有する。上部電極24は接地されてチャンバ11内部に印加されるプラズマ生成電力に対する接地電極(対向電極)として機能する。上部電極24の外径はチャンバ11の内径とほぼ等しく、上部電極24はチャンバ11内部に遊合するように配置される。
シャフト26は蓋部13(壁面)を貫通し、該シャフト26の上部は基板処理装置10の上方に配置されたリフト機構(図示しない)に接続される。該リフト機構はシャフト26を図中上下方向に移動させるが、このとき、上部電極24を備えたシャワーヘッド22がチャンバ11内部においてピストンのように上下動する。これにより、シャワーヘッド22及びサセプタ12の間の空間の厚さであるギャップを調整することができる。シャワーヘッド22の図中上下方向に関する移動量の最大値は、例えば、70mmである。
シャフト26は蓋部13と擦れる可能性があり、パーティクルの発生源となりうる。従って、シャフト26の外周面は、第1の変位吸収隔壁としての第1のベローズ31で覆われている。シャフト26は円柱状を呈しており、円筒状の第1のベローズ31は、シャフト26と同心円状に配置される。第1のベローズ31の図中上方の一端は蓋部13の下面に接合されており、図中下方の一端はシャワーヘッド22のクーリングプレート25の上面に接合されている。これによって、シャフト26が蓋部13を貫通する貫通部において蓋部13(壁面)に対する電極の変位を吸収し、シャフト26周辺の雰囲気と処理室11内とがシールされ、チャンバ11内部と大気との隔絶状態が保持される。
第1のベローズ31に隣接するように、その外周部に同心円状に断面円形の第2の変位吸収圧力隔壁として第2のベローズ32が配置されている。第2のベローズ32は、第1のベローズ31との間の所定の空隙を有する直径を有している。第2のベローズ32の図中上方の一端は蓋部13の下面に接合されており、図中下方の一端はシャワーヘッド22のクーリングプレート25の上面に接合されている。第1のベローズ31と第2のベローズ3との間の間隙はガス流路35となる。ガス流路35は、蓋部13を貫通する処理ガス供給管36を介してガス供給系(不図示)に接続されている。また、ガス流路35は、ガス孔38を介してクーリングプレート25の内部のバッファ室29と連通している。バッファ室29は、複数のガス孔23によってチャンバ11内部と連通している。処理ガスは、ガス供給系から、処理ガス供給管36を経て第1のベローズ31と第2のベローズ32とで形成されるガス流路35に流入し、その後、ガス孔38を経てクーリングプレート25の内部のバッファ室29に流入し、複数のガス孔23を介してチャンバ11内部に導入される。
図2は、図1における第1のベローズ(第2のベローズも同様)の部分拡大図である。図2において、左半分は断面を示し、右半分は側面を示す。
図2において、第1のベローズ31は、縦断面(長さ方向に沿う断面)形状が山形の円環状部材(以下、「コマ(piece)」という。)31aが長さ方向に多数連結されて構成された金属パイプからなる。各コマ31aではテーパ状に成形された2つの金属の円環板31bがその裾同士を溶接することによって接合されている。すなわち、山形の頂部は溶接部31cで接合されているのみなので、図中矢印A方向の伸縮に対する剛性は低く、柔軟性に富み、矢印A方向に容易に伸縮する。また、各コマ31aの長さ方向に垂直な断面形状は円環であり、各コマ31aは金属からなるため、第1のベローズ31は殆どねじれることがない。
図1に戻り、シャワーヘッド22を上下方向に移動させる際は、図示省略したリフト機構を稼働させる。リフト機構が稼働することによって、シャフト26に連結されたシャワーヘッド22のサセプタ12に対する相対位置が変化するが、ガス流路35を形成する第1のベローズ31及び第2のベローズ32がそれぞれシャワーヘッド22の上下方向の移動に追従して伸縮し、相対位置の変化を吸収する。その結果、ガス流路35は、シャワーヘッド22が図中上下方向に移動しても、常に処理ガスを供給可能に処理ガス導入系に接続される。
上述した基板処理装置10の各構成部品、例えば、第1の高周波電源14や第2の高周波電源16の動作は、基板処理装置10が備える制御部(図示しない)のCPUがドライエッチング処理に対応するプログラムに応じて制御する。
このような構成の基板処理装置10において、先ず、プラズマを適切に分布させるためには、リフト機構(図示しない)を駆動させてシャフト26を図1中上下方向に移動させてサセプタ12とシャワーヘッド22との間のギャップを調整する。次いで、処理ガス供給管36を経てチャンバ11内に処理ガスを供給する。処理ガス供給管36内を流れる処理ガスは、例えば、処理ガス供給管36の途中に設けられたフィルタによってパーティクルが除去された後、ガス流路35に流入し、その後、クーリングプレート25の内部のバッファ室29及びガス孔23を経てチャンバ11内部へ流入する。チャンバ11内に流入した処理ガスは、チャンバ11内部へ印加されたプラズマ生成電力によって励起されてプラズマとなる。
プラズマ中の陽イオンは、サセプタ12に印加されるバイアス電力に起因する負のバイアス電位によってサセプタ12に載置されたウエハWに向けて引きこまれ、該ウエハWにドライエッチング処理を施す。
本実施の形態によれば、基板処理装置10のサセプタ12とシャワーヘッド22との間に処理ガスを導入するガス流路を、シャワーヘッド22を支持するシャフト26の周りに同心円状に配置された伸縮自在の第1のベローズ31及び第2のベローズ32で形成したので、ガス流路の設置場所を確保し易くなり、しかもシャワーヘッド22の上下方向の移動に追従してその変位を吸収することができ、これによって処理ガスを安定、且つ確実にシャワーヘッドに供給することができ、信頼性も向上する。
本実施の形態によれば、シャワーヘッドが上下動する構成の基板処理装置に、予め設けられている、チャンバ内空間を大気から隔絶する圧力隔壁としての第1のベローズ31を伸縮するガス流路35の構成部材として活用するようにしたので、ガス流路を伸縮させる構造と、シャワーヘッドの上下動を吸収する構成を一体化することができ、これによって、部品点数の増加を必要最小限に抑えることができる。また、伸縮するガス流路35をチャンバ内に設けたので、チャンバ上方の構成が複雑になることもない。
本実施の形態において、第1のベローズ31と第2のベローズ32として、例えば、100mmφ以上の内径のものを適用することが好ましい。これによって、通常のガス管に比べてガス流路35のコンダクタンスが大きくなり、例えば、第1のベローズ31と第2のベローズ32との間の間隙を極力小さくすることができる。すなわち、第1のベローズ31と第2のベローズ32との間隙の設定は、大半がベローズの動作マージンの設計に依存する。但し、座屈等の垂直動作を妨げる現象に対処し得る最低限の間隙、例えば、10mm程度を確保する必要がある。
本実施の形態において、ベローズは伸縮に対する柔軟性に富み、伸縮時に大きな応力が発生しないため、ガス流路35が早期に破断するのを防止することができ、信頼性が向上する。
本実施の形態において、第1のベローズ31及び第2のベローズ32の断面形状を、円形としたが、円形以外、例えば楕円形、矩形等であってもよい。
本実施の形態において、第1のベローズ31のみがチャンバ内空間を大気から隔絶する圧力隔壁として機能する。従って、第1のベローズ31は、第2のベローズ32に比べて「内圧>>外圧」という圧力状態に耐える必要があるため、座屈防止の施策(例えばガイド設置)に留意する必要がある。従って、座屈防止用のガイド部材を第1のベローズの外周部に設けることが好ましい。
第2のベローズ32は、ガス流路35を形成するためのものであり、ガス流路35はチャンバ11内と連通しており、チャンバ11内部と同様に減圧される。従って、第2のベローズ31には、特に、真空と大気とを隔絶する第1のベローズ31ほどの機能は要求されない。また、多系統のガス流路を形成するためにベローズを3個又はそれ以上同心状に配置する場合であっても、第1のベローズ以外のベローズに作用する真空応力が極端に増大することはない。
本実施の形態において、変位吸収隔壁としてベローズを適用したが、ベローズに代えて磁性流体シールを有する摺動シール構造を適用することもできる。但し、摺動シール構造は摺動部を有するので、パーティクル対策を施す必要がある。
本実施の形態では、シャワーヘッド22が上下方向に移動する場合について説明したが、本発明は、例えば、チャンバ11内部の下方に配置されたサセプタ12が上下方向に移動する場合についても同様に適用することができる。具体的には、サセプタ12が上下方向に移動し、且つ該サセプタ12へガスを外部から供給する必要がある場合、外部のガス供給元に接続された基板処理装置内のガス流路を、サセプタ12を移動可能に支持するシャフトにベローズを同心状に複数配置して形成することができる。
図3は、本実施の形態の変形例の構成を概略的に示す断面図である。
図3において、この基板処理装置は、図1の基板処理装置における処理ガス供給系及びガス流路を2系統とし、チャンバ11内に2系統のガスを供給するようにしたものである。図3において、図1と同様の構成については同様の符号を付与し、その説明を省略する。
この基板処理装置50が、図1と異なるところは、第2のベローズ32の外周部に所定の間隔を隔てて、第3のベローズ33を設けて第2のベローズ32と第3のベローズ33との間隙を第2のガス流路45とした点である。また、バッファ室29を内側バッファ室29aと外側バッファ室29bに区画し、第1のガス流路35をガス孔38を介して内側バッファ室29aと連通させ、第2のガス流路45をガス孔39を介して外側バッファ室29bと連通させたものである。
このような構成の基板処理装置50において、処理ガス供給管36及び46を経て第1のガス流路35及び第2のガス流路45へそれぞれ異なる2系統の処理ガスが供給される。処理ガス供給管36及び46の途中には、例えば処理ガス中に含まれる微小且つ微量のパーティクルを除去するフィルタが設けられる。第1系統の処理ガスは、フィルタによってパーティクルが除去された後、ガス流路35に流入し、その後、ガス孔38、バッファ室29a及びガス孔23を経てチャンバ11内部へ導入される。また第2系統の処理ガスは、フィルタによってパーティクルが除去された後、ガス流路45に流入し、その後ガス孔39、バッファ室29b及び別のガス孔23を経てチャンバ11内部へ導入される。チャンバ11内に導入された2系統の処理ガスは、チャンバ11内部へ印加されたプラズマ生成電力によって励起されてプラズマとなる。
プラズマ中の陽イオンは、サセプタ12に印加されるバイアス電力に起因する負のバイアス電位によってサセプタ12に載置されたウエハWに向けて引きこまれ、該ウエハWにドライエッチング処理を施す。
本実施の形態においても、上記実施の形態と同様、ガス流路の設置場所を確保し易くなり、しかもシャワーヘッド22の上下方向の移動に追従してその変位を吸収し、処理ガスを安定、且つ確実にシャワーヘッドに導入することができるので、信頼性が向上する。
本実施の形態において、ガス流路は2系統に限定されるものではなく、同心状に配置したベローズの数を順次増加させることによって、3系統又はそれ以上に対応することもできる。
なお、上述した本実施の形態では、ドライエッチング処理が施される基板を半導体デバイス用のウエハとして説明したが、ドライエッチング処理が施される基板はこれに限られず、例えば、LCD(Liquid Crystal Display)を含むFPD(Flat Panel Display)等のガラス基板であってもよい。
W ウエハ
10 基板処理装置
11 チャンバ
12 サセプタ
22 シャワーヘッド
31 第1のベローズ
32 第2のベローズ
33 第3のベローズ
35 (第1の)ガス流路
45 第2のガス流路

Claims (6)

  1. 内部を減圧可能な処理室と、該処理室内に配置され、被処理基板を載置する載置電極と、該載置電極と対向するように配置された対向電極とを備え、ガス流路を介して前記載置電極及び前記対向電極の間に供給される処理ガスを励起してプラズマを生成し、該プラズマによって前記被処理基板にプラズマ処理を施す基板処理装置のガス流路構造体であって、
    前記載置電極及び対向電極の一方を他方に対して移動可能に支持する支持部材と、
    該支持部材が前記処理室の壁面を貫通する貫通部において前記壁面に対する前記電極の変位を吸収し、前記支持部材周辺の雰囲気から前記処理室内をシールするように前記支持部材の外周部に、該支持部材と同心状に配置された環状の第1の変位吸収圧力隔壁と、
    該第1の変位吸収圧力隔壁の外周部に、該第1の変位吸収圧力隔壁と同心状に配置された環状の第2の変位吸収圧力隔壁とを有し、
    前記第1の変位吸収圧力隔壁と前記第2の変位吸収圧力隔壁とで環状の第1のガス流路を形成したことを特徴とするガス流路構造体。
  2. 前記第2の変位吸収圧力隔壁の外周部に、該第2の変位吸収圧力隔壁と同心状に環状の第3又はそれ以上の変位吸収圧力隔壁を設け、前記第2の変位吸収圧力隔壁の外側に、それぞれ隣接する変位吸収圧力隔壁相互に挟持された環状の第2又はそれ以上のガス流路を形成したことを特徴とする請求項1記載のガス流路構造体。
  3. 前記第1の変位吸収圧力隔壁の外周部に対向するように、前記第1の変位吸収圧力隔壁の長さ方向に直交する方向への屈曲を制限するガイド部材を設けたことを特徴とする請求項1又は2記載のガス流路構造体。
  4. 前記変位吸収圧力隔壁の断面形状は、円形、楕円形又は矩形であることを特徴とする請求項1乃至3のいずれか1項に記載のガス流路構造体。
  5. 前記変位吸収圧力隔壁は、ベローズであることを特徴とする請求項1乃至4のいずれか1項に記載のガス流路構造体。
  6. 内部を減圧可能な処理室と、該処理室内に配置され、被処理基板を載置する載置電極と、該載置電極と対向配置された対向電極とを備え、前記載置電極及び前記対向電極の間に供給される処理ガスを励起してプラズマを生成し、該プラズマによって前記被処理基板にプラズマ処理を施す基板処理装置であって、
    前記載置電極及び前記対向電極の間に処理ガスを供給するガス流路構造体を有し、該ガス流路構造体は、請求項1乃至5のいずれか1項に記載のガス流路構造体であることを特徴とする基板処理装置。
JP2009086036A 2009-03-31 2009-03-31 ガス流路構造体及び基板処理装置 Expired - Fee Related JP5292160B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2009086036A JP5292160B2 (ja) 2009-03-31 2009-03-31 ガス流路構造体及び基板処理装置
CN201010102550.6A CN101853777B (zh) 2009-03-31 2010-01-22 气体流路结构体以及基板处理装置
US12/749,642 US8623172B2 (en) 2009-03-31 2010-03-30 Gas flow path structure and substrate processing apparatus
TW099109483A TWI507090B (zh) 2009-03-31 2010-03-30 Gas passage construction and substrate processing device
KR20100028457A KR101486781B1 (ko) 2009-03-31 2010-03-30 가스 유로 구조체 및 기판 처리 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009086036A JP5292160B2 (ja) 2009-03-31 2009-03-31 ガス流路構造体及び基板処理装置

Publications (2)

Publication Number Publication Date
JP2010238961A true JP2010238961A (ja) 2010-10-21
JP5292160B2 JP5292160B2 (ja) 2013-09-18

Family

ID=42782671

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009086036A Expired - Fee Related JP5292160B2 (ja) 2009-03-31 2009-03-31 ガス流路構造体及び基板処理装置

Country Status (5)

Country Link
US (1) US8623172B2 (ja)
JP (1) JP5292160B2 (ja)
KR (1) KR101486781B1 (ja)
CN (1) CN101853777B (ja)
TW (1) TWI507090B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101040697B1 (ko) 2009-11-25 2011-06-13 세메스 주식회사 정전척
JP2012099715A (ja) * 2010-11-04 2012-05-24 Tokyo Electron Ltd プラズマ処理装置
JP2013094953A (ja) * 2011-11-07 2013-05-20 Yamaha Motor Co Ltd クリーンロボット

Families Citing this family (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
TWI569483B (zh) * 2013-06-05 2017-02-01 財團法人工業技術研究院 垂直磁化穿隧式磁阻元件及其製造方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6225837B2 (ja) * 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2018100868A (ja) * 2016-12-20 2018-06-28 日本特殊陶業株式会社 ガスセンサ
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
CN108962712B (zh) * 2017-05-24 2024-03-19 上海凯世通半导体股份有限公司 真空操纵系统
CN108962713B (zh) * 2017-05-25 2020-10-16 北京北方华创微电子装备有限公司 一种工艺腔室及半导体处理设备
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP7141472B2 (ja) * 2019-01-11 2022-09-22 三菱重工業株式会社 シール装置及びこれを備えた駆動装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1648531S (ja) * 2019-01-28 2019-12-23
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN114203506B (zh) * 2020-09-18 2024-03-12 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117637608B (zh) * 2024-01-25 2024-05-14 中国科学院长春光学精密机械与物理研究所 一种硅通孔的制作方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05299370A (ja) * 1992-04-23 1993-11-12 Tokyo Electron Tohoku Ltd 熱処理装置
JP2008112589A (ja) * 2006-10-27 2008-05-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びに記憶媒体

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4360499A (en) * 1981-06-22 1982-11-23 Rca Corporation Bellows assembly for crystal ribbon puller
US4661196A (en) * 1984-10-22 1987-04-28 Texas Instruments Incorporated Plasma etch movable substrate
US4868490A (en) * 1988-09-14 1989-09-19 Texas Instruments Incorporated Method and apparatus for sheet resistance measurement of a wafer during a fabrication process
JP2677913B2 (ja) * 1991-05-13 1997-11-17 三菱電機株式会社 半導体製造装置のシール機構および半導体装置の製造方法
FR2682047B1 (fr) * 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5372674A (en) * 1993-05-14 1994-12-13 Hughes Aircraft Company Electrode for use in a plasma assisted chemical etching process
AU2002211730A1 (en) * 2000-10-16 2002-04-29 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US6652711B2 (en) * 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
JP3946984B2 (ja) 2001-11-07 2007-07-18 大陽日酸株式会社 宇宙環境試験装置
KR100753696B1 (ko) * 2002-03-26 2007-08-30 동경 엘렉트론 주식회사 기판 처리 장치, 기판 처리 방법 및 고속 로터리 밸브
JP4125110B2 (ja) * 2002-12-13 2008-07-30 イーグル工業株式会社 半導体製造装置の排気流路構造
US20040261712A1 (en) 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
JP2005056994A (ja) * 2003-08-01 2005-03-03 Saginomiya Seisakusho Inc プラズマ処理装置
JP4421238B2 (ja) * 2003-08-26 2010-02-24 大日本スクリーン製造株式会社 熱処理装置および熱処理装置の洗浄方法
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05299370A (ja) * 1992-04-23 1993-11-12 Tokyo Electron Tohoku Ltd 熱処理装置
JP2008112589A (ja) * 2006-10-27 2008-05-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びに記憶媒体

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101040697B1 (ko) 2009-11-25 2011-06-13 세메스 주식회사 정전척
JP2012099715A (ja) * 2010-11-04 2012-05-24 Tokyo Electron Ltd プラズマ処理装置
US9196461B2 (en) 2010-11-04 2015-11-24 Tokyo Electron Limited Plasma processing apparatus
KR101892958B1 (ko) 2010-11-04 2018-08-29 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
JP2013094953A (ja) * 2011-11-07 2013-05-20 Yamaha Motor Co Ltd クリーンロボット

Also Published As

Publication number Publication date
TWI507090B (zh) 2015-11-01
US20100243166A1 (en) 2010-09-30
TW201108870A (en) 2011-03-01
CN101853777A (zh) 2010-10-06
JP5292160B2 (ja) 2013-09-18
CN101853777B (zh) 2013-01-02
US8623172B2 (en) 2014-01-07
KR101486781B1 (ko) 2015-01-28
KR20100109489A (ko) 2010-10-08

Similar Documents

Publication Publication Date Title
JP5292160B2 (ja) ガス流路構造体及び基板処理装置
JP5075793B2 (ja) 可動ガス導入構造物及び基板処理装置
JP5202050B2 (ja) シャワーヘッド及び基板処理装置
JP5567392B2 (ja) プラズマ処理装置
TWI497583B (zh) Plasma processing device
KR101672856B1 (ko) 플라즈마 처리 장치
JP6289859B2 (ja) トラップ装置及び基板処理装置
JP5395633B2 (ja) 基板処理装置の基板載置台
JP6540022B2 (ja) 載置台及びプラズマ処理装置
JP5367522B2 (ja) プラズマ処理装置及びシャワーヘッド
JP2009260258A (ja) シャワーヘッド及び基板処理装置
KR101898079B1 (ko) 플라즈마 처리 장치
JP2009239014A (ja) 電極構造及び基板処理装置
WO2020153449A1 (ja) 静電チャック
JP2023164442A (ja) 載置台
JP2017126727A (ja) 載置台の構造及び半導体処理装置
US10141164B2 (en) Plasma processing apparatus and plasma processing method
KR101343162B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP4594358B2 (ja) プラズマ処理装置
JP7446145B2 (ja) 基板処理装置
JP2012186223A (ja) プラズマ処理装置
KR101311723B1 (ko) 플라즈마 식각 장치 및 이를 이용하는 기판의 식각 방법
KR20080060838A (ko) 반도체 제조장치용 웨이퍼의 이물오염 방지구조

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120314

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130218

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130610

R150 Certificate of patent or registration of utility model

Ref document number: 5292160

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees