TWI503525B - 質量流量計、質量流量控制器以及具有其的質量流量計系統及質量流量控制器系統 - Google Patents

質量流量計、質量流量控制器以及具有其的質量流量計系統及質量流量控制器系統 Download PDF

Info

Publication number
TWI503525B
TWI503525B TW099106745A TW99106745A TWI503525B TW I503525 B TWI503525 B TW I503525B TW 099106745 A TW099106745 A TW 099106745A TW 99106745 A TW99106745 A TW 99106745A TW I503525 B TWI503525 B TW I503525B
Authority
TW
Taiwan
Prior art keywords
mass flow
flow rate
flow
sample fluid
sample
Prior art date
Application number
TW099106745A
Other languages
English (en)
Other versions
TW201040505A (en
Inventor
Yasuhiro Isobe
Yutaka Yoneda
Toshihiro Kashima
Original Assignee
Horiba Stec Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Horiba Stec Co filed Critical Horiba Stec Co
Publication of TW201040505A publication Critical patent/TW201040505A/zh
Application granted granted Critical
Publication of TWI503525B publication Critical patent/TWI503525B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F1/00Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow
    • G01F1/68Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow by using thermal effects
    • G01F1/684Structural arrangements; Mounting of elements, e.g. in relation to fluid flow
    • G01F1/6847Structural arrangements; Mounting of elements, e.g. in relation to fluid flow where sensing or heating elements are not disturbing the fluid flow, e.g. elements mounted outside the flow duct
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • G01F25/10Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F5/00Measuring a proportion of the volume flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7759Responsive to change in rate of fluid flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7761Electrically actuated valve

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Measuring Volume Flow (AREA)
  • Flow Control (AREA)
  • Details Of Flowmeters (AREA)

Description

質量流量計、質量流量控制器以及具有其的質量流量計系統及質量流量控制器系統
本發明涉及一種在半導體製程等中對氣體或液體等流體的流量進行控制的質量流量計(mass flow meter)、具有此質量流量計的質量流量控制器(mass flow controller)、以及進而具有此等質量流量計和質量流量控制器的質量流量計系統(mass flow meter system)及質量流量控制器系統(mass flow controller system)(以下,將這些稱作質量流量計等)。
近年來,越來越多地對一台半導體製造裝置搭載多個處理腔體(process chamber)來進行多個製程(process)等的半導體製程中,所使用的氣體或液體之類的流體種類大幅增加,對應於此而需要大量的質量流量計等。
此情況下,提供有如下的質量流量計等,亦即,即便為一台質量流量計等,也無需從管道等中拆卸此質量流量計等,可由用戶方(user side)對應於作為試樣流體的氣體類型等的變更或滿刻度(full scale)流量(最大測定或者控制流量)的變更,而使用此質量流量計等(例如,參照專利文獻1)。
[先行技術文獻]
[專利文獻]
[專利文獻1]日本專利特開平11-94604號公報(12頁)
然而,根據發明人潛心研究的結果,首先瞭解到如下情況。亦即,即便為用以根據氣體類型的變更而計算出與此氣體類型對應的流量值、且和用以計算出流量的函數相乘的係數即所謂的換算因數(conversion factor),為了使此係數在多個質量流量計等中共用化來進行高精度的測定,也必須將此係數變更為對獲取此係數的作為基準器的質量流量計等和實際使用的各個質量流量計等之間的儀器誤差進行修正者。
另一方面,可認為質量流量計等的儀器誤差也和氣體類型等試樣流體的種類相關,因此可認為修正所示儀器誤差的參數(parameter),必須最終在各個試樣流體實際流入各質量流量計等之後求出。
然而,根據發明人潛心研究的結果,進而瞭解到如下情況。即,質量流量計等的儀器誤差,可通過使硬體(hardware)的各構成儘量基準化來從硬體方面加以抑制。而且,在此儀器誤差得以抑制的硬體中,儀器誤差即便在多個試樣流體以共用的方式來處理也能夠精度良好地測定流量。
本發明是根據這些知識見解,從能否提供一種無需特別的工時也能夠較佳地應對氣體類型等試樣流體的變更的優異的質量流量計等的設想中完成的。即,本發明的目的在於提供一種優異的質量流量計等,即便在氣體類型等試樣流體變更時也能夠作出靈活應對,並且,還可以精度良好地測定流量。
為解決此類技術性課題,本發明的質量流量計具備:感測器部,對流路中流動的試樣流體的流量進行偵測;設定部,設定所指定的試樣流體所固有的流量特性函數和儀器誤差修正參數,所述流量特性函數是按照每一流體所確定的用以根據來自所述感測器部的輸出值確定流量的流量特性函數,所述儀器誤差修正參數是獨立於所述流量特性函數且為多個試樣流體所共用的參數,用以對每一質量流量計的儀器誤差進行修正;以及流量計算部,根據所述流量特性函數和所述儀器誤差修正參數,來計算出所述試樣流體的流量。
根據所述質量流量計,儀器誤差修正參數是獨立於流量特性函數且為多個試樣流體所共用的參數,所以,即便在由所述質量流量計等測量的試樣流體變更時,僅通過設定和所測量試樣流體對應的流量特性函數,便可精度良好地測定所變更的試樣流體的流量。
即,根據本發明,只要是相同的質量流量計等,便可設定多個試樣流體所共用的儀器誤差修正參數。所以,即便在由所述質量流量計等測定的試樣流體變更時,也不必使所變更的各個試樣流體流入後對此質量流量計等進行實際校正,來求出每一試樣流體或者流量特性函數所固有的儀器誤差修正參數,而是僅例如設定經和所變更的試樣流體不同的其他試樣流體進行校正而得的、在所述質量流量計等中共用的儀器誤差修正參數等,進而,從數據庫(database)等中讀入在感測器部或旁通路(bypass)構成等硬體構成實質上相同的質量流量計中共用化的通用的流量特性函數並加以設定等,由此便可容易地精度良好地測定所變更的試樣流體的流量。
而且,所述流量特性函數如果由五次多項式表示,則可一邊在寬範圍(range)中精度良好地近似,一邊進一步擴大可由質量流量計等測定的最大流量即滿刻度流量,因此,即便可由所述質量流量計來測定的範圍作規定波動也可抑制誤差,從而可在多種範圍中實現高精度的測定。
如果在以滿刻度流量測定作為試樣流體的基準流體時,所述儀器誤差修正參數為能夠消除設定基準流體所固有的流量特性函數而計算出的流量值、和利用作為基準的質量流量計來測定出所述滿刻度流量時的流量值之誤差,則此參數也可例如利用惰性氣體等的便利性高的基準流體僅單點測定滿刻度流量而求出,從而能夠減輕質量流量計等的校正作業的繁雜性。此處,所謂滿刻度流量是指優選利用流量特性函數在規定誤差的範圍內可測定的最大流量值,但也可為將所述可測定的上限流量值限制得小到規定值時的流量值(小於可測定的上限流量值的流量值)。
所述儀器誤差修正參數如果是以氮氣為試樣流體並實際測定作為所述試樣流體的基準氣體而求出的係數,且所述係數為其他不同的多個試樣氣體所共用,則便可儘量避免利用毒性或腐蝕性强的氣體或液體等試樣流體來校正各個質量流量計等,從而可安全且簡易地求出作為儀器誤差修正參數的係數。
進而,如果使質量流量控制器為包含所述質量流量計、設置在所述流路中的控制閥(cortrol valve)及對計算出的流量值和流量設定值進行對比運算並依據此運算結果來控制所述控制閥的控制部的質量流量控制器,則便可提供一種能夠在實現高精度的流體控制的同時、根據半導體成膜製程的變更等而容易地變更作為控制對象的試樣流體的通用性高的質量流量控制器。
如果質量流量計系統或者質量流量控制器系統進而具備和多個質量流量計或多個質量流量控制器進行通信並且存儲多個和試樣流體相關的流量特性函數的存儲部、以及受理對在所述流路中流動的試樣流體的指定的試樣流體受理部,且所述設定部從所述存儲部中接收由所述受理部指定的試樣流體所固有的流量特性函數,並設定所指定的試樣流體所固有的流量特性函數,則便可設置連接著多個質量流量計等的半導體製造裝置或高級別的主計算機(host computer),並根據試樣流體的指定,通過電力通信線路對各質量流量計等,發送和每一試樣流體相關聯地加以存儲、且硬體實質上相同的質量流量計等所共用的通用性高的流量特性函數,由此可在提高各質量流量計等的通用性的同時,集中管理流量特性函數從而便於管理所述追加變更等。
根據所述的本發明,可提供一種在氣體類型等試樣流體變更時也能作出靈活應對且可精度良好地測定流量的優異的質量流量計等。
為讓本發明之上述和其他目的、特徵和優點能更明顯易懂,下文特舉較佳實施例,並配合所附圖式,作詳細說明如下。
以下,參照附圖對本發明的一實施方式加以說明。
本實施方式的質量流量控制器系統A具備質量流量控制器A1、對所述質量流量控制器A1進行行控制‧管理的另一控制裝置A2,例如圖1所示,用於對半導體製造裝置中的腔室供給氣體的氣體供給系統。以下,具體說明各裝置。
如圖2中示意圖所示,質量流量控制器A1具備:氣體流路1(本發明中的「流路」的一個示例);流量感測器部2(本發明中的「感測器部」的一個示例),對所述氣體流路1內流動的試樣氣體G(本發明中的「試樣流體」的一個示例)的流量進行測定;流量控制閥3(本發明中的「控制閥」的一個示例),設置在所述流量感測器部2的例如下游側;處理部4,具有控制部4a(參照圖3),此控制部4a對根據流量設定信號的流量設定值以及根據來自所述流量感測器部2的流量測定信號而計算出的流量值(流量測定值)進行對比運算,並基於此運算結果來控制流量控制閥3。以下,對各部分進行具體說明。另外,質量流量控制器是在質量流量計中進而附加有控制機構而得的, 所以,在質量流量控制器中將此兩者一起說明,而省略對質量流量計的單獨說明。
如圖2所示,氣體流路1中將上游端作為導入端口(port)11、並將下游端作為導出端口12而分別開口,所以如圖1所示,例如導入端口11上,經由外部管道而連接有氣動閥FV、調壓器(pressure regulator)PR以及儲氣罐(gas bomb)B,而在導出端口12上,經由外部管道而連接有用於半導體製造等的腔室(未圖示)。而且,本實施方式中如圖2所示,所述氣體流路1構成為在導入端口11和導出端口12之間,具有分流為兩個部分後合流的分流管1a、1b。其中,在分流管1a中安裝有下述的熱感測器(thermal sensor)21,另一方面,分流管1b用作配置有層流元件1b1的旁通路。
流量感測器部2雖未圖示詳細情況,但例如具備設置在氣體流路1中的一對熱感測器21。此流量感測器部2是在由所述熱感測器21將試樣氣體G的瞬間流量作為電信號進行檢測,並通過內部電氣電路(橋接電路(bridge circuit)22、放大電路(amplifying circuit)23、修正電路24)使所述電信號放大等後,將所述電信號作為和檢測流量對應的流量測定信號(以下也稱為感測器輸出)加以輸出。
流量控制閥3依然未圖示詳細情況,但例如構成為可通過由壓電元件(piezodielectric element)等構成的致動器(actuator)使閥開度發生變化,並通過被提供有來自外部的電信號即開度控制信號來驅動所述致動器,將閥開度調節為對應於所述開度控制信號的值來控制試樣氣體G的流量。
處理部4包含未圖示的CPU(central processing unit,中央處理器)及存儲器(memory)、具有A/D轉換器(Analog-to-Digital converter,類比/數位轉換器)及D/A轉換器(Digital-to-Analog converter,數位/類比轉換器)等的數位乃至類比電氣電路、及用以和控制裝置A2進行通信的通信介面(interface)等,處理部4既可為專用部件,也可為在部分或全部利用個人電腦(Personal Computer)等通用計算機(Computer)的部件。而且,也可構成為不使用CPU而是僅利用類比電路來實現作為所述各部分的功能,還可無需在實體上為一體,而是由通過有線乃至無線來相互連接的多個設備構成。而且,硬體也可和控制裝置A2共用。
而且,在所述存儲器中存儲規定的程式(program),按照所述程式而使CPU及其外圍設備協同動作,由此使處理部4如圖3所示構成為,至少發揮著作為控制部4a、存儲儀器誤差修正參數及流量特性函數的MFC(mass flow controller,質量流量控制器)側存儲部4b、設定部4c、流量計算部4d以及開度控制信號輸出部4e等的功能。
設定部4c是包含CPU以及通信介面而構成,且接收由控制裝置A2發送而來的按照每一試樣流體所確定的流量特性函數K(包含流量特性確定函數(流量特性曲線)的各係數(agas ~fgas )和對應此流量特性確定函數而確定的試樣流體的滿刻度流量(FSgas)而構成)後,將其存儲到設定在所述存儲器的規定區域中的MFC側存儲部4b中。而且,設定部4c讀出所述存儲部4b中所存儲的儀器誤差修正參數α(詳細情況隨後描述),並且依據控制裝置A2中受理了指定的應進行測定(流量控制)的試樣流體,自所述存儲部4b中讀出流量特性函數K。接著,設定部4c根據這些所述儀器誤差修正參數α及所述流量特性函數K來設定流量計算部4d中所使用的流量算式。即,當用以計算出作為基準流體的基準氣體N2 的流量的流量算式(下式P1)已設定時,設定部4c僅變更和被指定為測定對象的試樣流體(試樣氣體G)對應的流量特性函數K的部分,而生成用以計算出試樣氣體G的流量的流量算式(下式P2)。
Flow=fN2 (x)×FSN2 ×a …(P1)
此處,fN2 (x)是依照fN2 (x)=aN2 ×x5 +bN2 ×x4 +cN2 ×x3 +dN2 ×x2 +eN2 ×x+fN2 而求得,aN2 表示N2 的流量特性曲線的五次項係數,bN2 表示N2 的流量特性曲線的四次項係數,cN2 表示N2 的流量特性曲線的三次項係數,dN2 表示N2 的流量特性曲線的二次項係數,eN2 表示N2 的流量特性曲線的一次項係數,fN2 表示N2 的流量特性曲線的0次項係數,FSN2 表示N2 的FS(基準氣體N2 的流量特性曲線中的滿刻度流量),α表示作為儀器誤差修正參數的係數(多個試樣氣體所共用的係數),x表示感測器輸出。
Flow=fgas (x)×FSgas ×a …(P2)
此處,fgas (x)是依照fgas (x)=agas ×x5 +bgas ×x4 +cgas ×x3 +dgas ×x2 +egas ×x+fgas 而求得,agas 表示試樣氣體G的流量特性曲線GC的五次項係數,bgas 表示試樣氣體G的流量特性曲線GC的四次項係數,cgas 表示試樣氣體G的流量特性曲線GC的三次項係數,dgas 表示試樣氣體G的流量特性曲線GC的二次項係數,egas 表示試樣氣體G的流量特性曲線GC的一次項係數,fgas 表示試樣氣體G的流量特性曲線GC的0次項係數,FSgas 表示試樣氣體G的FS(試樣氣體G的流量特性曲線中的滿刻度流量),α表示作為儀器誤差修正參數的係數,x表示感測器輸出。
MFC側存儲部4b如下所述,存儲有例如工廠出貨前設定的儀器誤差修正係數α,並形成在所述存儲器的規定區域中。而且,MFC側存儲部4b也可事先追加性地存儲有由所述設定部4c適時地受理的各種試樣氣體G的流量特性函數K。
流量計算部4d接收流量測定信號(感測器輸出)和由所述設定部所生成的流量算式(P2)。接著,依據所述感測器輸出和流量算式(P2),而計算出氣體流路1中流動的試樣氣體G的流量。流量計算部4d將計算出的此實際流量值,發送到控制部4a以及控制裝置A2的顯示裝置105(參照圖4)或設置在質量流量控制器中的顯示部(圖示省略)。
控制部4a具備:偏差計算部4a1,獲取從所述流量計算部4d中所接收的作為實際流量值的流量測定值和流量設定信號所表示的流量設定值後,計算出所述流量測定值和流量設定值的偏差ε;以及控制值計算部4a2,對所述偏差ε至少實施比例運算(優選PID(Proportion Integration Differentiation,比例積分微分)運算),而計算出對流量控制閥3的反饋(feedback)控制值。
開度控制信號輸出部4e生成具有根據所述反饋控制值的數值的開度控制信號,並將所述開度控制信號輸出到流量控制閥3。
控制裝置A2例如設置在半導體製造裝置中,如個人電腦等那樣具有普通的信息處理功能,且如圖4所示,具備CPU101、內部存儲器102、HDD(hard disk drive,硬碟驅動器)等外部存儲裝置103、滑鼠(mouse)及鍵盤(keyboard)等輸入裝置104、液晶顯示器等顯示裝置105、以及用以和質量流量控制器A1進行通信的通信介面106等。而且,如圖3所示,所述控制裝置A2按照例如內部存儲器102中所存儲的程式,使CPU101及其外圍設備協同動作,由此所述控制裝置A2至少發揮著作為存儲部A21(流量特性函數存儲部的一個示例)、受理部A22(流量特性函數受理部的一個示例)以及發送部A23的功能。另外,在圖3中控制裝置A2和質量流量控制器A1為以1對1對應著,但也可設置為多個質量流量控制器A1,經由包含通信介面106而構成的發送部而和一個控制裝置A2進行相互通信。
存儲部A21將按照每一種試樣流體所定義的多個流量特性函數K數據庫化後加以存儲,且形成在例如內部存儲器102或外部存儲裝置103的規定區域中。另外,在所述控制裝置A2的存儲部A21中也可存儲有一個流量特性函數K,進而設置能夠和此存儲部A21進行通信的主計算機(未圖示),來創建存儲有多個流量特性函數K的數據庫。
受理部A22在經由輸入裝置104而新追加有應測定的流體的指定、流量設定值、及應測定的試樣流體的種類時,受理和此試樣流體對應的固有的流量特性函數K等。接著,將這些參數存儲在所述存儲部A21中。
發送部A23將由所述受理部A22接收並存儲在存儲部A21中的流量特性函數K等的各種參數讀出後,以規定的時序(timing)發送到質量流量控制器A1中。所述發送部A23是包含通信介面106而構成的。
此處,基於圖5,對流量特性函數K進行補充說明。流量特性函數K是包含流量特性確定函數GC(流量特性曲線)及試樣流體的滿刻度流量FP(FSgas )而構成的,所述流量特性確定函數GC具有所述各係數(agas ~fgas ),且滿刻度流量FP是對應於此流量特性確定函數而確定的。流量特性曲線是例如準備有作為基準的一個或多個質量流量計,並通過使各實際氣體等實際流體(應作為測定對象的流體)實際流動而獲取的,相當於表示感測器輸出和流 量值的關係的近似方程式。接著,確定流量特性曲線後,依據此流量特性曲線來將規定的容許誤差範圍內能夠測定的、例如最大流量定義為滿刻度流量值。流量特性函數K(也包括流量特性曲線以及滿刻度流量)在試樣流體不同時有可能不同,但如果流量感測器部2或分流管1a、1b以及層流元件等的質量流量計的硬體構成實質上相同則可共用地使用。即,此流量特性函數K被定義為相對於同一構成(同一類型)的質量流量計而具有通用性的函數。
儀器誤差修正係數α是在例如出貨前,按照每一個質量流量控制器A1,以下麵所示的順序來設定其數值,並分別存儲在各質量流量控制器A1的MFC側存儲部4b中。
順序1:首先,設定部4c將N2 等惰性氣體用作應測定的試樣流體,從MFC側存儲部4b中讀出設定相對應的流量特性函數K。
順序2:接著,使N2 實際流過質量流量控制器A1,並利用所讀出的流量特性函數K,來測定圖5所示的滿刻度點FP(●標記)處的氣體流量。
順序3:對多個(其中的一台包含作為基準的質量流量控制器)質量流量控制器A1,實施順序1、2,而求出各質量流量控制器A1之間的儀器誤差(流量誤差),並設定補償此儀器誤差的儀器誤差修正參數。
如上所述,僅僅是採用惰性氣體等基準流體作為所述試樣氣體G,且尤其是只在滿刻度點這一點進行校正,來規定也可應用於比重‧黏度‧比熱等物理性質近似的多種試樣氣體G的儀器誤差修正參數即可,所以在實際的半導體製程現場能夠簡易地將試樣氣體G變更為其他氣體。
其次,以控制部4為中心,參照圖6的流程圖來說明所述構成的質量流量控制器A1的動作。如圖6所示,如果變更試樣氣體G(步驟101),則設定部4c將會接收到試樣氣體G的變更,而設定由和此試樣氣體G對應的流量特性函數K及和變更前的儀器誤差修正參數α相同的儀器誤差修正參數所構成的流量算式(P2)(步驟102)。即,設定部4c僅將流量特性函數替換為從MFC側存儲部4b中讀出的、和變更後的試樣氣體G對應的新的流量特性函數K。
接著,如果從流量感測器部2輸出流量測定信號(步驟103),則流量計算部4d將會根據流量算式(P2),計算出氣體流路1中流動的試樣氣體G的流量(步驟104)。另外,如果不變更試樣氣體G,則流量計算部4d可根據已設定的流量算式來進行流量計算。這些所計算的流量將作為流量值提供至外部輸出(顯示)。
以上為止是質量流量感測器的動作情況,進而,質量流量控制器A1以及包含此質量流量控制器A1的質量流量控制器系統A的控制部4a中的偏差計算部4a1,在接收到表示由流量計算部4d所計算出的試樣氣體G的流量的實際流量信號、及在接受到從控制裝置A2輸出的流量設定信號時(步驟105),計算出所接收的實際流量信號的數值(流量測定值)和作為所述流量設定信號的數值的流量設定值之差、即偏差ε(步驟106)。
接著,控制值計算部4a2對所述偏差實施例如PID運算,而計算出對流量控制閥3的反饋控制值(步驟107)。
其次,開度控制信號輸出部4e根據所述反饋控制值而生成開度控制信號(步驟108),並將所述開度控制信號輸出到流量控制閥3中,而改變此流量控制閥3的閥開度來進行流量控制(步驟109)。
所以,根據使用有所述質量流量計及質量流量控制器A1的質量流量控制器系統A,儀器誤差修正參數α能夠獨立於流量特性函數K(氣體特性曲線確定係數和滿刻度係數)而進行處理,所以,即便在作為控制對象的氣體類型的設定發生變更時,也可通過變更流量特性函數K來避免操作的繁雜性,從而易於實現高精度的流體控制。
而且,作為構成流量特性函數的流量特性曲線,採用的是五次多項式這樣的高次多項式,所以能夠一邊較佳地近似一邊擴大滿刻度範圍,從而可使感測器輸出的範圍在其最大側增寬來精度良好地進行流量測定。其結果,可提供一種能夠實現高精度流體控制的優異的質量流量控制器A1以及質量流量控制器系統A。
所述儀器誤差修正參數α是以氮氣等便利性高的惰性氣體為基準氣體,並利用所述基準氣體來測定滿刻度點FP而求出的,且也可通用性地應用於其他試樣氣體G,所以即便試樣氣體(製程氣體)的設定發生變更,也不必在使用現場因氣體變更而實施校正作業。
控制裝置A2等的級別高於質量流量控制器A1的計算機中,設置有包含多種流量特性函數的數據庫,因此在進行試樣氣體的設定變更時,將從此處發送相應的流量特性函數,並通過和對於試樣氣體通用性高的所述儀器誤差修正參數α進行組合,而在使用現場也能夠變更測定對象氣體。最終,可極大地提高各質量流量控制器等對試樣流體的通用性。
另外,本發明並非局限於所述實施方式。例如雖然例示了在控制裝置A2中,設置有作為試樣流體受理部的受理部A22以及將流量特性函數K數據庫化的存儲部A21,但也可將這些受理部A22和存儲部A21設置在質量流量控制器A1中等,並以獨立動作方式使用此質量流量控制器A1。
雖然使流量特性曲線為五次多項式,但也可使確定流量特性的函數為四次以下或六次以上的多項式,此外,並非限於由多項式構成。
此外,作為基準流體的基準氣體並非限於氮氣。例如,也可使用其他惰性氣體等作為基準氣體。作為流體也可設想成液體等。
其他方面,各部分的具體構成也並非限於以上實施方式,在未脫離本發明精神的範圍內可進行各種變形。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
1...氣體流路(流路)
1a、1b...分流管
1b1...層流元件
2...流量感測器部(感測器部)
3...流量控制閥(控制閥)
4...處理部
4a...控制部
4a1...偏差計算部
4a2...控制值計算部
4c...設定部
4b...MFC側存儲部
4d...流量計算部
4e‧‧‧開度控制信號輸出部
11‧‧‧導入端口
12‧‧‧導出端口
21‧‧‧熱感測器
22‧‧‧橋接電路
23‧‧‧放大電路
24‧‧‧修正電路
101‧‧‧CPU
102‧‧‧內部存儲器
103‧‧‧外部存儲裝置
104‧‧‧輸入裝置
105‧‧‧顯示裝置
106‧‧‧通信介面
A‧‧‧質量流量控制器系統
A1‧‧‧質量流量控制器
A2‧‧‧控制裝置
A21‧‧‧存儲部
A22‧‧‧受理部(試樣流體受理部)
A23‧‧‧發送部
B‧‧‧儲氣罐
FV‧‧‧氣動閥
PR‧‧‧調壓器
G‧‧‧試樣氣體(試樣流體)
K‧‧‧流量特性函數
α‧‧‧儀器誤差修正參數
圖1是表示本發明的一實施方式的質量流量控制器系統的整體概略圖。
圖2是表示所述實施方式中的質量流量控制器的設備構成的示意圖。
圖3是表示所述實施方式中的質量流量控制器系統的功能構成圖。
圖4是所述實施方式中的控制裝置的設備構成圖。
圖5是所述實施方式中的感測器輸出範圍的說明圖。
圖6是表示所述實施方式中的質量流量控制器的動作的流程圖。
2...流量感測器部
3...流量控制閥
4...處理部
4a...控制部
4a1...偏差計算部
4a2...控制值計算部
4c...設定部
4b...MFC側存儲部
4d...流量計算部
4e...開度控制信號輸出部
A...質量流量控制器系統
A1...質量流量控制器
A2...控制裝置
A21...存儲部
A22...受理部(試樣流體受理部)
A23...發送部

Claims (8)

  1. 一種質量流量計,包括:感測器部,對流路中流動的試樣流體的流量進行偵測;設定部,設定所指定的試樣流體所固有的流量特性函數和質量流量計本身的誤差修正參數,所述流量特性函數是按照每一流體所確定的、用以根據來自所述感測器部的輸出值確定流量的流量特性函數,所述質量流量計本身的誤差修正參數是獨立於所述流量特性函數且為多個試樣流體所共用的參數,用以對質量流量計的儀器誤差進行修正;以及流量計算部,根據所述流量特性函數和所述質量流量計本身的誤差修正參數,來計算出所述試樣流體的流量。
  2. 如申請專利範圍第1項所述的質量流量計,其中所述流量特性函數是由五次多項式所表示的函數。
  3. 如申請專利範圍第1項或第2項所述的質量流量計,其中:所述質量流量計本身的誤差修正參數是用以消除如下兩個流量值的誤差的係數,即在以滿刻度流量測定作為試樣流體的基準流體時,通過設定基準流體所固有的流量特性函數而計算出的流量值,及在利用作為基準的質量流量計來測定出所述滿刻度流量時的流量值。
  4. 如申請專利範圍第1項或第2項所述的質量流量計,其中:所述質量流量計本身的誤差修正參數是以氮氣為試樣 流體、並對作為此試樣流體的基準氣體實際測定而求得的係數,且此係數是其他不同的多個試樣氣體也共用的係數。
  5. 如申請專利範圍第3項所述的質量流量計,其中:所述質量流量計本身的誤差修正參數是以氮氣為試樣流體、並對作為此試樣流體的基準氣體實際測定而求得的係數,且此係數是其他不同的多個試樣氣體也共用的係數。
  6. 一種質量流量控制器,包括:如申請專利範圍第1項至第5項中任一項所述的質量流量計;控制閥,設置在所述流路中;及控制部,對所計算出的流量值和流量設定值進行對比運算,並根據其運算結果來控制所述控制閥。
  7. 一種質量流量計系統,更包括:存儲部,和如申請專利範圍第1項至第5項中任一項所述的多個質量流量計進行通信,並且存儲有多個和試樣流體相關的流量特性函數;及試樣流體受理部,受理對所述流路中流動的試樣流體的指定;且所述設定部從所述存儲部接收由所述受理部所指定的試樣流體所固有的流量特性函數,並設定所指定的試樣流體所固有的流量特性函數。
  8. 一種質量流量控制器系統,更包括:存儲部,和如申請專利範圍第6項所述的多個質量流量控制器進行通信,並且存儲有多個和試樣流體相關的流 量特性函數;及試樣流體受理部,受理對所述流路中流動的試樣流體的指定;且所述設定部從所述存儲部接收由所述受理部所指定的試樣流體所固有的流量特性函數,並設定所指定的試樣流體所固有的流量特性函數。
TW099106745A 2009-03-12 2010-03-09 質量流量計、質量流量控制器以及具有其的質量流量計系統及質量流量控制器系統 TWI503525B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009060319A JP5337542B2 (ja) 2009-03-12 2009-03-12 マスフローメータ、マスフローコントローラ、それらを含むマスフローメータシステムおよびマスフローコントローラシステム

Publications (2)

Publication Number Publication Date
TW201040505A TW201040505A (en) 2010-11-16
TWI503525B true TWI503525B (zh) 2015-10-11

Family

ID=42729717

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099106745A TWI503525B (zh) 2009-03-12 2010-03-09 質量流量計、質量流量控制器以及具有其的質量流量計系統及質量流量控制器系統

Country Status (5)

Country Link
US (1) US8851105B2 (zh)
JP (1) JP5337542B2 (zh)
KR (1) KR101647151B1 (zh)
CN (1) CN101839737B (zh)
TW (1) TWI503525B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI773705B (zh) * 2016-12-31 2022-08-11 美商應用材料股份有限公司 用於基於熱的質量流量控制器(mfcs)之增進流量偵測可重複性的方法、系統及設備

Families Citing this family (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9921089B2 (en) 2005-06-27 2018-03-20 Fujikin Incorporated Flow rate range variable type flow rate control apparatus
US9383758B2 (en) * 2005-06-27 2016-07-05 Fujikin Incorporated Flow rate range variable type flow rate control apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011078242A1 (ja) * 2009-12-25 2011-06-30 株式会社堀場エステック マスフローコントローラシステム
JP5864849B2 (ja) * 2010-10-20 2016-02-17 株式会社堀場エステック 流体計測システム
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9188989B1 (en) 2011-08-20 2015-11-17 Daniel T. Mudd Flow node to deliver process gas using a remote pressure measurement device
US9958302B2 (en) 2011-08-20 2018-05-01 Reno Technologies, Inc. Flow control system, method, and apparatus
US9772629B2 (en) 2011-09-29 2017-09-26 Applied Materials, Inc. Methods for monitoring a flow controller coupled to a process chamber
US9644796B2 (en) * 2011-09-29 2017-05-09 Applied Materials, Inc. Methods for in-situ calibration of a flow controller
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102819261B (zh) * 2012-08-06 2015-01-21 北京雪迪龙科技股份有限公司 一种数据校准方法及装置
US9169975B2 (en) * 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9454158B2 (en) 2013-03-15 2016-09-27 Bhushan Somani Real time diagnostics for flow controller systems and methods
US10114389B2 (en) 2013-06-28 2018-10-30 Applied Materials, Inc. Method and system for controlling a flow ratio controller using feedback
US10108205B2 (en) 2013-06-28 2018-10-23 Applied Materials, Inc. Method and system for controlling a flow ratio controller using feed-forward adjustment
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104076830B (zh) * 2014-06-12 2018-05-01 北京七星华创电子股份有限公司 用于气体集成输送系统的质量流量控制装置、系统及方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9939416B2 (en) 2014-08-28 2018-04-10 Veltek Assoicates, Inc. Programmable logic controller-based system and user interface for air sampling in controlled environments
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6688062B2 (ja) * 2015-12-15 2020-04-28 株式会社堀場エステック 流量センサの補正装置、流量測定システム、流量制御装置、補正装置用プログラム、及び、補正方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11144075B2 (en) 2016-06-30 2021-10-12 Ichor Systems, Inc. Flow control system, method, and apparatus
US10838437B2 (en) 2018-02-22 2020-11-17 Ichor Systems, Inc. Apparatus for splitting flow of process gas and method of operating same
US10303189B2 (en) 2016-06-30 2019-05-28 Reno Technologies, Inc. Flow control system, method, and apparatus
US10679880B2 (en) 2016-09-27 2020-06-09 Ichor Systems, Inc. Method of achieving improved transient response in apparatus for controlling flow and system for accomplishing same
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP2018096848A (ja) 2016-12-13 2018-06-21 株式会社堀場エステック 流量特性関数同定方法、流量特性関数同定装置、流量特性関数同定用プログラム、及び、これらを用いた流量センサ又は流量制御装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10663337B2 (en) 2016-12-30 2020-05-26 Ichor Systems, Inc. Apparatus for controlling flow and method of calibrating same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10983538B2 (en) 2017-02-27 2021-04-20 Flow Devices And Systems Inc. Systems and methods for flow sensor back pressure adjustment for mass flow controller
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10537093B2 (en) * 2017-10-11 2020-01-21 Mark O. Hamran Electronic gas dispenser control system having backflow and pressure sensors
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP6940441B2 (ja) * 2018-03-27 2021-09-29 アズビル株式会社 熱式フローセンサ装置および流量補正方法
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7003885B2 (ja) * 2018-09-18 2022-02-04 横浜ゴム株式会社 航空機用湯水供給システム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR102160408B1 (ko) * 2019-04-15 2020-10-05 한국생산기술연구원 차압조절 및 유량적산 기능을 갖는 용적식 수차
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
CN112198905B (zh) * 2020-09-11 2023-03-10 兰州空间技术物理研究所 一种标准数字接口的气体流量控制方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20230150309A (ko) 2021-03-03 2023-10-30 아이커 시스템즈, 인크. 매니폴드 조립체를 포함하는 유체 유동 제어 시스템
US11435764B1 (en) 2021-03-30 2022-09-06 Hitachi Metals, Ltd. Mass flow controller utilizing nonlinearity component functions
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5062446A (en) * 1991-01-07 1991-11-05 Sematech, Inc. Intelligent mass flow controller
US5944048A (en) * 1996-10-04 1999-08-31 Emerson Electric Co. Method and apparatus for detecting and controlling mass flow
TWI241469B (en) * 2003-03-26 2005-10-11 Celerity Inc Flow sensor signal conversion
WO2008030454A2 (en) * 2006-09-05 2008-03-13 Celerity, Inc. Multi-gas flow device

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04262408A (ja) * 1991-02-18 1992-09-17 Nec Corp マスフロー・コントローラ
US5321992A (en) * 1991-09-26 1994-06-21 Dxl Usa Measurement of gas flows with enhanced accuracy
US5821406A (en) * 1997-02-27 1998-10-13 Koch Industries, Inc. Crude oil measurement system and method
JP3401742B2 (ja) * 1997-10-20 2003-04-28 矢崎総業株式会社 ガスメータ
JP2000065609A (ja) * 1998-08-24 2000-03-03 Yazaki Corp 流量検出方法及びガス流量計
JP3487589B2 (ja) * 1998-10-26 2004-01-19 松下電器産業株式会社 流量係数設定方法とそれを用いた流量計測装置
CN2366830Y (zh) * 1999-03-26 2000-03-01 王增瑜 大口径旋转式科里奥利原理质量流量计
KR20040024854A (ko) * 2001-04-24 2004-03-22 셀레리티 그룹 아이엔씨 질량유량 제어장치를 위한 시스템 및 방법
JP3604354B2 (ja) * 2001-06-13 2004-12-22 Smc株式会社 質量流量測定方法および質量流量制御装置
JP2005045210A (ja) * 2003-05-12 2005-02-17 Agere Systems Inc マス・フロー制御の方法、フローの検証および較正
JP2008039513A (ja) * 2006-08-03 2008-02-21 Hitachi Metals Ltd 質量流量制御装置の流量制御補正方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5062446A (en) * 1991-01-07 1991-11-05 Sematech, Inc. Intelligent mass flow controller
US5944048A (en) * 1996-10-04 1999-08-31 Emerson Electric Co. Method and apparatus for detecting and controlling mass flow
TWI241469B (en) * 2003-03-26 2005-10-11 Celerity Inc Flow sensor signal conversion
WO2008030454A2 (en) * 2006-09-05 2008-03-13 Celerity, Inc. Multi-gas flow device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI773705B (zh) * 2016-12-31 2022-08-11 美商應用材料股份有限公司 用於基於熱的質量流量控制器(mfcs)之增進流量偵測可重複性的方法、系統及設備

Also Published As

Publication number Publication date
JP2010216807A (ja) 2010-09-30
KR20100103376A (ko) 2010-09-27
CN101839737A (zh) 2010-09-22
KR101647151B1 (ko) 2016-08-09
TW201040505A (en) 2010-11-16
CN101839737B (zh) 2015-05-27
US8851105B2 (en) 2014-10-07
US20100229965A1 (en) 2010-09-16
JP5337542B2 (ja) 2013-11-06

Similar Documents

Publication Publication Date Title
TWI503525B (zh) 質量流量計、質量流量控制器以及具有其的質量流量計系統及質量流量控制器系統
US8104323B2 (en) Flow controller, flow measuring device testing method, flow controller testing system, and semiconductor manufacturing apparatus
US5975126A (en) Method and apparatus for detecting and controlling mass flow
US7363182B2 (en) System and method for mass flow detection device calibration
CN101652591B (zh) 用于测量质量流控制器中的气体的温度的方法和装置
JP4944037B2 (ja) 質量流量センサ用レイノルズ数補正関数
TWI431255B (zh) 能提供不同容積之質量流動校對機及其相關方法
JP5097132B2 (ja) プロセス変量トランスミッタにおける多相オーバーリーディング補正
JP2008039513A (ja) 質量流量制御装置の流量制御補正方法
CN111579013B (zh) 气体质量流量控制器及其流量标定方法
JP5110878B2 (ja) プロセス圧力センサのキャリブレーション
JP6209054B2 (ja) エアマイクロメータ
KR20120041136A (ko) 유체 계측 시스템, 기기, 및 프로그램이 기록된 컴퓨터 판독가능 매체
US20210303007A1 (en) Flow Rate Control System, Control Method of FlowRate Control System, and Control Program of FlowRate Control System
JP4852654B2 (ja) 圧力式流量制御装置
Jonker et al. NMISA new gas flow calibration facility
JP2021021677A (ja) 流量測定装置内の容積測定方法および流量測定装置
TW200839870A (en) Methods for performing actual flow verification
CA2240484C (en) Method and apparatus for detecting and controlling mass flow
JP2004093178A (ja) 流量計
KR20050026393A (ko) 질량 유량 검출 장치를 보정하기 위한 시스템 및 방법
JP2016085226A (ja) 流体計測システム
JPS5850010A (ja) ガス流量制御装置