CN101839737A - 质量流量计及控制器以及质量流量计及控制器系统 - Google Patents

质量流量计及控制器以及质量流量计及控制器系统 Download PDF

Info

Publication number
CN101839737A
CN101839737A CN201010135177A CN201010135177A CN101839737A CN 101839737 A CN101839737 A CN 101839737A CN 201010135177 A CN201010135177 A CN 201010135177A CN 201010135177 A CN201010135177 A CN 201010135177A CN 101839737 A CN101839737 A CN 101839737A
Authority
CN
China
Prior art keywords
flow
sample fluid
discharge characteristic
characteristic function
mass
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201010135177A
Other languages
English (en)
Other versions
CN101839737B (zh
Inventor
鹿岛利弘
米田豊
矶部泰弘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Horiba Stec Co Ltd
Original Assignee
Horiba Stec Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Horiba Stec Co Ltd filed Critical Horiba Stec Co Ltd
Publication of CN101839737A publication Critical patent/CN101839737A/zh
Application granted granted Critical
Publication of CN101839737B publication Critical patent/CN101839737B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F1/00Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow
    • G01F1/68Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow by using thermal effects
    • G01F1/684Structural arrangements; Mounting of elements, e.g. in relation to fluid flow
    • G01F1/6847Structural arrangements; Mounting of elements, e.g. in relation to fluid flow where sensing or heating elements are not disturbing the fluid flow, e.g. elements mounted outside the flow duct
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • G01F25/10Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F5/00Measuring a proportion of the volume flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7759Responsive to change in rate of fluid flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7761Electrically actuated valve

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Measuring Volume Flow (AREA)
  • Flow Control (AREA)
  • Details Of Flowmeters (AREA)

Abstract

本发明提供一种优异的质量流量计等,无需特别的工时也能够灵活应对气体类型等试样流体的变更,并且可精度良好地测定流量。所述质量流量计包括:传感器部(2),对流路(1)中流动的试样流体G的流量进行侦测;设定部(4c),设定所指定的试样流体所固有的流量特性函数K和仪器误差修正参数α,流量特性函数K是按照每一流体所确定的、用以根据来自所述传感器部(2)的输出值确定流量的流量特性函数,且仪器误差修正参数α是独立于流量特性函数且为多个试样流体所共用的参数,用以对每一质量流量计的仪器误差进行修正;以及流量计算部(4d),根据流量特性函数K和仪器误差修正参数α,来计算出试样流体G的流量。

Description

质量流量计及控制器以及质量流量计及控制器系统
技术领域
本发明涉及一种在半导体工序等中对气体或液体等流体的流量进行控制的质量流量计(mass flow meter)、具有此质量流量计的质量流量控制器(mass flow controller)、以及进而具有此等质量流量计和质量流量控制器的质量流量计系统(mass flow meter system)及质量流量控制器系统(mass flow controller system)(以下,将这些称作质量流量计等)。
背景技术
近年来,越来越多地对一台半导体制造装置搭载多个处理腔体(process chamber)来进行多个工序(process)等的半导体工序中,所使用的气体或液体之类的流体种类大幅增加,对应于此而需要大量的质量流量计等。
此情况下,提供有如下的质量流量计等,亦即,即便为一台质量流量计等,也无需从管道等中拆卸此质量流量计等,可由用户方(user side)对应于作为试样流体的气体类型等的变更或满标度(full scale)流量(最大测定或者控制流量)的变更,而使用此质量流量计等(例如,参照专利文献1)。
[先行技术文献]
[专利文献]
[专利文献1]日本专利特开平11-94604号公报(12页)
发明内容
然而,根据发明人潜心研究的结果,首先了解到如下情况。亦即,即便为用以根据气体类型的变更而计算出与此气体类型对应的流量值、且和用以计算出流量的函数相乘的系数即所谓的换算因数(conversionfactor),为了使此系数在多个质量流量计等中共用化来进行高精度的测定,也必须将此系数变更为对获取此系数的作为基准器的质量流量计等和实际使用的各个质量流量计等之间的仪器误差进行修正者。
另一方面,可认为质量流量计等的仪器误差也和气体类型等试样流体的种类相关,因此可认为修正所示仪器误差的参数(parameter),必须最终在各个试样流体实际流入各质量流量计等之后求出。
然而,根据发明人潜心研究的结果,进而了解到如下情况。即,质量流量计等的仪器误差,可通过使硬件(hardware)的各构成尽量基准化来从硬件方面加以抑制。而且,在此仪器误差得以抑制的硬件中,仪器误差即便在多个试样流体以共用的方式来处理也能够精度良好地测定流量。
本发明是根据这些知识见解,从能否提供一种无需特别的工时也能够较佳地应对气体类型等试样流体的变更的优异的质量流量计等的设想中完成的。即,本发明的目的在于提供一种优异的质量流量计等,即便在气体类型等试样流体变更时也能够作出灵活应对,并且,还可以精度良好地测定流量。
为解决此类技术性课题,本发明的质量流量计具备:传感器部,对流路中流动的试样流体的流量进行侦测;设定部,设定所指定的试样流体所固有的流量特性函数和仪器误差修正参数,所述流量特性函数是按照每一流体所确定的用以根据来自所述传感器部的输出值确定流量的流量特性函数,所述仪器误差修正参数是独立于所述流量特性函数且为多个试样流体所共用的参数,用以对每一质量流量计的仪器误差进行修正;以及流量计算部,根据所述流量特性函数和所述仪器误差修正参数,来计算出所述试样流体的流量。
根据所述质量流量计,仪器误差修正参数是独立于流量特性函数且为多个试样流体所共用的参数,所以,即便在由所述质量流量计等测量的试样流体变更时,仅通过设定和所测量试样流体对应的流量特性函数,便可精度良好地测定所变更的试样流体的流量。
即,根据本发明,只要是相同的质量流量计等,便可设定多个试样流体所共用的仪器误差修正参数。所以,即便在由所述质量流量计等测定的试样流体变更时,也不必使所变更的各个试样流体流入后对此质量流量计等进行实际校正,来求出每一试样流体或者流量特性函数所固有的仪器误差修正参数,而是仅例如设定经和所变更的试样流体不同的其他试样流体进行校正而得的、在所述质量流量计等中共用的仪器误差修正参数等,进而,从数据库(database)等中读入在传感器部或旁通路(bypass)构成等硬件构成实质上相同的质量流量计中共用化的通用的流量特性函数并加以设定等,由此便可容易地精度良好地测定所变更的试样流体的流量。
而且,所述流量特性函数如果由五次多项式表示,则可一边在宽范围(range)中精度良好地近似,一边进一步扩大可由质量流量计等测定的最大流量即满标度流量,因此,即便可由所述质量流量计来测定的范围作规定波动也可抑制误差,从而可在多种范围中实现高精度的测定。
如果在以满标度流量测定作为试样流体的基准流体时,所述仪器误差修正参数为能够消除设定基准流体所固有的流量特性函数而计算出的流量值、和利用作为基准的质量流量计来测定出所述满标度流量时的流量值之误差,则此参数也可例如利用惰性气体等的便利性高的基准流体仅单点测定满标度流量而求出,从而能够减轻质量流量计等的校正作业的繁杂性。此处,所谓满标度流量是指优选利用流量特性函数在规定误差的范围内可测定的最大流量值,但也可为将所述可测定的上限流量值限制得小到规定值时的流量值(小于可测定的上限流量值的流量值)。
所述仪器误差修正参数如果是以氮气为试样流体并实际测定作为所述试样流体的基准气体而求出的系数,且所述系数为其他不同的多个试样气体所共用,则便可尽量避免利用毒性或腐蚀性强的气体或液体等试样流体来校正各个质量流量计等,从而可安全且简易地求出作为仪器误差修正参数的系数。
进而,如果使质量流量控制器为包含所述质量流量计、设置在所述流路中的控制阀(cortrol valve)及对计算出的流量值和流量设定值进行对比运算并依据此运算结果来控制所述控制阀的控制部的质量流量控制器,则便可提供一种能够在实现高精度的流体控制的同时、根据半导体成膜工序的变更等而容易地变更作为控制对象的试样流体的通用性高的质量流量控制器。
如果质量流量计系统或者质量流量控制器系统进而具备和多个质量流量计或多个质量流量控制器进行通信并且存储多个和试样流体相关的流量特性函数的存储部、以及受理对在所述流路中流动的试样流体的指定的试样流体受理部,且所述设定部从所述存储部中接收由所述受理部指定的试样流体所固有的流量特性函数,并设定所指定的试样流体所固有的流量特性函数,则便可设置连接着多个质量流量计等的半导体制造装置或高级别的主计算机(host computer),并根据试样流体的指定,通过电力通信线路对各质量流量计等,发送和每一试样流体相关联地加以存储、且硬件实质上相同的质量流量计等所共用的通用性高的流量特性函数,由此可在提高各质量流量计等的通用性的同时,集中管理流量特性函数从而便于管理所述追加变更等。
[发明的效果]
根据所述的本发明,可提供一种在气体类型等试样流体变更时也能作出灵活应对且可精度良好地测定流量的优异的质量流量计等。
附图说明
图1是表示本发明的一实施方式的质量流量控制器系统的整体概略图。
图2是表示所述实施方式中的质量流量控制器的设备构成的示意图。
图3是表示所述实施方式中的质量流量控制器系统的功能构成图。
图4是所述实施方式中的控制装置的设备构成图。
图5是所述实施方式中的传感器输出范围的说明图。
图6是表示所述实施方式中的质量流量控制器的动作的流程图。
[符号的说明]
1气体流路(流路)            1a、1b分流管
1b1层流元件                2流量传感器部(传感器部)
3流量控制阀(控制阀)        4处理部
4a控制部                   4a1偏差计算部
4a2控制值计算部            4c设定部
4b MFC侧存储部             4d流量计算部
4e开度控制信号输出部       11导入端口
12导出端口                 21热敏传感器
22桥接电路                 23放大电路
24修正电路                 101CPU
102内部存储器              103外部存储装置
104输入装置                105显示装置
106通信接口                A质量流量控制器系统
A1质量流量控制器           A2控制装置
A21存储部                  A22受理部(试样流体受理部)
A23发送部                  B储气罐
FV气动阀                   PR调压器
G试样气体(试样流体)       K流量特性函数
α仪器误差修正参数
具体实施方式
以下,参照附图对本发明的一实施方式加以说明。
本实施方式的质量流量控制器系统A具备质量流量控制器A1、对所述质量流量控制器A1进行控制·管理的另一控制装置A2,例如图1所示,用于对半导体制造装置中的腔室供给气体的气体供给系统。以下,具体说明各装置。
如图2中示意图所示,质量流量控制器A1具备:气体流路1(本发明中的「流路」的一个示例);流量传感器部2(本发明中的「传感器部」的一个示例),对所述气体流路1内流动的试样气体G(本发明中的「试样流体」的一个示例)的流量进行测定;流量控制阀3(本发明中的「控制阀」的一个示例),设置在所述流量传感器部2的例如下游侧;处理部4,具有控制部4a(参照图3),此控制部4a对根据流量设定信号的流量设定值以及根据来自所述流量传感器部2的流量测定信号而计算出的流量值(流量测定值)进行对比运算,并基于此运算结果来控制流量控制阀3。以下,对各部分进行具体说明。另外,质量流量控制器是在质量流量计中进而附加有控制机构而得的,所以,在质量流量控制器中将此两者一起说明,而省略对质量流量计的单独说明。
如图2所示,气体流路1中将上游端作为导入端口(port)11、并将下游端作为导出端口12而分别开口,所以如图1所示,例如导入端口11上,经由外部管道而连接有气动阀FV、调压器(pressure regulator)PR以及储气罐(gas bomb)B,而在导出端口12上,经由外部管道而连接有用于半导体制造等的腔室(未图示)。而且,本实施方式中如图2所示,所述气体流路1构成为在导入端口11和导出端口12之间,具有分流为两个部分后合流的气体分流路1a、1b。其中,在气体分流路1a中安装有下述的热敏传感器(thermal sensor)21,另一方面,气体分流路1b用作配置有层流元件1b1的旁通路。
流量传感器部2虽未图示详细情况,但例如具备设置在气体流路1中的一对热敏传感器21。此流量传感器部2是在由所述热敏传感器21将试样气体G的瞬间流量作为电信号进行检测,并通过内部电气电路(桥接电路(bridge circuit)22、放大电路(amplifying circuit)23、修正电路24)使所述电信号放大等后,将所述电信号作为和检测流量对应的流量测定信号(以下也称为传感器输出)加以输出。
流量控制阀3依然未图示详细情况,但例如构成为可通过由压电元件(piezodielectric element)等构成的致动器(actuator)使阀开度发生变化,并通过被提供有来自外部的电信号即开度控制信号来驱动所述致动器,将阀开度调节为对应于所述开度控制信号的值来控制试样气体G的流量。
处理部4包含未图示的CPU(central processing unit,中央处理器)及存储器(memory)、具有A/D转换器(Analog-to-Digital converter,模拟/数字转换器)及D/A转换器(Digita)-to-Analog converter,数字/模拟转换器)等的数字乃至模拟电气电路、及用以和控制装置A2进行通信的通信接口(interface)等,处理部4既可为专用部件,也可为在部分或全部利用个人电脑(Personal Computer)等通用计算机(Computer)的部件。而且,也可构成为不使用CPU而是仅利用模拟电路来实现作为所述各部分的功能,还可无需在实体上为一体,而是由通过有线乃至无线来相互连接的多个设备构成。而且,硬件也可和控制装置A2共用。
而且,在所述存储器中存储规定的程序(program),按照所述程序而使CPU及其外围设备协同动作,由此使处理部4如图3所示构成为,至少发挥着作为控制部4a、存储仪器误差修正参数及流量特性函数的MFC(massflow controller,质量流量控制器)侧存储部4b、设定部4c、流量计算部4d以及开度控制信号输出部4e等的功能。
设定部4c是包含CPU以及通信接口而构成,且接收由控制装置A2发送而来的按照每一试样流体所确定的流量特性函数K(包含流量特性确定函数(流量特性曲线)的各系数(agas~fgas)和对应此流量特性确定函数而确定的试样流体的满标度流量(FSgas)而构成)后,将其存储到设定在所述存储器的规定区域中的MFC侧存储部4b中。而且,设定部4c读出所述存储部4b中所存储的仪器误差修正参数α(详细情况随后描述),并且依据控制装置A2中受理了指定的应进行测定(流量控制)的试样流体,自所述存储部4b中读出流量特性函数K。接着,设定部4c根据这些所述仪器误差修正参数α及所述流量特性函数K来设定流量计算部4d中所使用的流量算式。即,当用以计算出作为基准流体的基准气体N2的流量的流量算式(下式P1)已设定时,设定部4c仅变更和被指定为测定对象的试样流体(试样气体G)对应的流量特性函数K的部分,而生成用以计算出试样气体G的流量的流量算式(下式P2)。
Flow=fN2(x)×FSN2×a  …(P1)
此处,fN2(x)是依照fN2(x)=aN2×x5+bN2×x4+cN2×x3+dN2×x2+eN2×x+fN2而求得,aN2表示N2的流量特性曲线的五次项系数,bN2表示N2的流量特性曲线的四次项系数,cN2表示N2的流量特性曲线的三次项系数,dN2表示N2的流量特性曲线的二次项系数,eN2表示N2的流量特性曲线的一次项系数,fN2表示N2的流量特性曲线的0次项系数,FSN2表示N2的FS(基准气体N2的流量特性曲线中的满标度流量),α表示作为仪器误差修正参数的系数(多个试样气体所共用的系数),x表示传感器输出。
Flow=fgas(x)×FSgas×a  …(P2)
此处,fgas(x)是依照fgas(x)=agas×x5+bgas×x4+cgas×x3+dgas×x2+egas×x+fgas而求得,agas表示试样气体G的流量特性曲线GC的五次项系数,bgas表示试样气体G的流量特性曲线GC的四次项系数,cgas表示试样气体G的流量特性曲线GC的三次项系数,dgas表示试样气体G的流量特性曲线GC的二次项系数,egas表示试样气体G的流量特性曲线GC的一次项系数,fgas表示试样气体G的流量特性曲线GC的0次项系数,FSgas表示试样气体G的FS(试样气体G的流量特性曲线中的满标度流量),α表示作为仪器误差修正参数的系数,x表示传感器输出。
MFC侧存储部4b如下所述,存储有例如工厂出货前设定的仪器误差修正系数α,并形成在所述存储器的规定区域中。而且,MFC侧存储部4b也可事先追加性地存储有由所述设定部4c适时地受理的各种试样气体G的流量特性函数K。
流量计算部4d接收流量测定信号(传感器输出)和由所述设定部所生成的流量算式(P2)。接着,依据所述传感器输出和流量算式(P2),而计算出气体流路1中流动的试样气体G的流量。流量计算部4d将计算出的此实际流量值,发送到控制部4a以及控制装置A2的显示装置105(参照图4)或设置在质量流量控制器中的显示部(图示省略)。
控制部4a具备:偏差计算部4a1,获取从所述流量计算部4d中所接收的作为实际流量值的流量测定值和流量设定信号所表示的流量设定值后,计算出所述流量测定值和流量设定值的偏差ε;以及控制值计算部4a2,对所述偏差ε至少实施比例运算(优选PID(Proportion IntegrationDifferentiation,比例积分微分)运算),而计算出对流量控制阀3的反馈(feedback)控制值。
开度控制信号输出部4e生成具有根据所述反馈控制值的数值的开度控制信号,并将所述开度控制信号输出到流量控制阀3。
控制装置A2例如设置在半导体制造装置中,如个人电脑等那样具有普通的信息处理功能,且如图4所示,具备CPU101、内部存储器102、HDD(hard disk drive,硬磁盘驱动器)等外部存储装置103、鼠标(mouse)及键盘(keyboard)等输入装置104、液晶显示器等显示装置105、以及用以和质量流量控制器A1进行通信的通信接口106等。而且,如图3所示,所述控制装置A2按照例如内部存储器102中所存储的程序,使CPU101及其外围设备协同动作,由此所述控制装置A2至少发挥着作为存储部A21(流量特性函数存储部的一个示例)、受理部A22(流量特性函数受理部的一个示例)以及发送部A23的功能。另外,在图3中控制装置A2和质量流量控制器A1为以1对1对应着,但也可设置为多个质量流量控制器A1,经由包含通信接口106而构成的发送部而和一个控制装置A2进行相互通信。
存储部A21将按照每一种试样流体所定义的多个流量特性函数K数据库化后加以存储,且形成在例如内部存储器102或外部存储装置103的规定区域中。另外,在所述控制装置A2的存储部A21中也可存储有一个流量特性函数K,进而设置能够和此存储部A21进行通信的主计算机(未图示),来创建存储有多个流量特性函数K的数据库。
受理部A22在经由输入装置104而新追加有应测定的流体的指定、流量设定值、及应测定的试样流体的种类时,受理和此试样流体对应的固有的流量特性函数K等。接着,将这些参数存储在所述存储部A21中。
发送部A23将由所述受理部A22接收并存储在存储部A21中的流量特性函数K等的各种参数读出后,以规定的时序(timing)发送到质量流量控制器A1中。所述发送部A23是包含通信接口106而构成的。
此处,基于图5,对流量特性函数K进行补充说明。流量特性函数K是包含流量特性确定函数GC(流量特性曲线)及试样流体的满标度流量FP(FSgas)而构成的,所述流量特性确定函数GC具有所述各系数(agas~fgas),且满标度流量FP是对应于此流量特性确定函数而确定的。流量特性曲线是例如准备有作为基准的一个或多个质量流量计,并通过使各实际气体等实际流体(应作为测定对象的流体)实际流动而获取的,相当于表示传感器输出和流量值的关系的近似方程式。接着,确定流量特性曲线后,依据此流量特性曲线来将规定的容许误差范围内能够测定的、例如最大流量定义为满标度流量值。流量特性函数K(也包括流量特性曲线以及满标度流量)在试样流体不同时有可能不同,但如果流量传感器部2或分流管1a、1b以及层流元件等的质量流量计的硬件构成实质上相同则可共用地使用。即,此流量特性函数K被定义为相对于同一构成(同一类型)的质量流量计而具有通用性的函数。
仪器误差修正系数α是在例如出货前,按照每一个质量流量控制器A1,以下面所示的顺序来设定其数值,并分别存储在各质量流量控制器A1的MFC侧存储部4b中。
顺序1:首先,设定部4c将N2等惰性气体用作应测定的试样流体,从MFC侧存储部4b中读出设定相对应的流量特性函数K。
顺序2:接着,使N2实际流过质量流量控制器A1,并利用所读出的流量特性函数K,来测定图5所示的满标度点FP(●标记)处的气体流量。
顺序3:对多个(其中的一台包含作为基准的质量流量控制器)质量流量控制器A1,实施顺序1、2,而求出各质量流量控制器A之间的仪器误差(流量误差),并设定补偿此仪器误差的仪器误差修正参数。
如上所述,仅仅是采用惰性气体等基准流体作为所述试样气体G,且尤其是只在满标度点这一点进行校正,来规定也可应用于比重·黏度·比热等物理性质近似的多种试样气体G的仪器误差修正参数即可,所以在实际的半导体工序现场能够简易地将试样气体G变更为其他气体。
其次,以控制部4为中心,参照图6的流程图来说明所述构成的质量流量控制器A1的动作。如图6所示,如果变更试样气体G(步骤101),则设定部4c将会接收到试样气体G的变更,而设定由和此试样气体G对应的流量特性函数K及和变更前的仪器误差修正参数α相同的仪器误差修正参数所构成的流量算式(P2)(步骤102)。即,设定部4c仅将流量特性函数替换为从MFC侧存储部4b中读出的、和变更后的试样气体G对应的新的流量特性函数K。
接着,如果从流量传感器部2输出流量测定信号(步骤103),则流量计算部4d将会根据流量算式(P2),计算出气体流路1中流动的试样气体G的流量(步骤104)。另外,如果不变更试样气体G,则流量计算部4d可根据已设定的流量算式来进行流量计算。这些所计算的流量将作为流量值提供至外部输出(显示)。
以上为止是质量流量传感器的动作情况,进而,质量流量控制器A1以及包含此质量流量控制器A1的质量流量控制器系统A的控制部4a中的偏差计算部4a1,在接收到表示由流量计算部4d所计算出的试样气体G的流量的实际流量信号、及在接受到从控制装置A2输出的流量设定信号时(步骤105),计算出所接收的实际流量信号的数值(流量测定值)和作为所述流量设定信号的数值的流量设定值之差、即偏差ε(步骤106)。
接着,控制值计算部4a2对所述偏差实施例如PID运算,而计算出对流量控制阀3的反馈控制值(步骤107)。
其次,开度控制信号输出部4e根据所述反馈控制值而生成开度控制信号(步骤108),并将所述开度控制信号输出到流量控制阀3中,而改变此流量控制阀3的阀开度来进行流量控制(步骤109)。
所以,根据使用有所述质量流量计及质量流量控制器A1的质量流量控制器系统A,仪器误差修正参数α能够独立于流量特性函数K(气体特性曲线确定系数和满标度系数)而进行处理,所以,即便在作为控制对象的气体类型的设定发生变更时,也可通过变更流量特性函数K来避免操作的繁杂性,从而易于实现高精度的流体控制。
而且,作为构成流量特性函数的流量特性曲线,采用的是五次多项式这样的高次多项式,所以能够一边较佳地近似一边扩大满标度范围,从而可使传感器输出的范围在其最大侧增宽来精度良好地进行流量测定。其结果,可提供一种能够实现高精度流体控制的优异的质量流量控制器A1以及质量流量控制器系统A。
所述仪器误差修正参数α是以氮气等便利性高的惰性气体为基准气体,并利用所述基准气体来测定满标度点FP而求出的,且也可通用性地应用于其他试样气体G,所以即便试样气体(工序气体)的设定发生变更,也不必在使用现场因气体变更而实施校正作业。
控制装置A2等的级别高于质量流量控制器A1的计算机中,设置有包含多种流量特性函数的数据库,因此在进行试样气体的设定变更时,将从此处发送相应的流量特性函数,并通过和对于试样气体通用性高的所述仪器误差修正参数α进行组合,而在使用现场也能够变更测定对象气体。最终,可极大地提高各质量流量控制器等对试样流体的通用性。
另外,本发明并非局限于所述实施方式。例如虽然例示了在控制装置A2中,设置有作为试样流体受理部的受理部A22以及将流量特性函数K数据库化的存储部A21,但也可将这些受理部A22和存储部A21设置在质量流量控制器A1中等,并以独立动作方式使用此质量流量控制器A1。
虽然使流量特性曲线为五次多项式,但也可使确定流量特性的函数为四次以下或六次以上的多项式,此外,并非限于由多项式构成。
此外,作为基准流体的基准气体并非限于氮气。例如,也可使用其他惰性气体等作为基准气体。作为流体也可设想成液体等。
其他方面,各部分的具体构成也并非限于以上实施方式,在未脱离本发明精神的范围内可进行各种变形。

Claims (8)

1.一种质量流量计,其包括:
传感器部,对流路中流动的试样流体的流量进行侦测;
设定部,设定所指定的试样流体所固有的流量特性函数和仪器误差修正参数,所述流量特性函数是按照每一流体所确定的、用以根据来自所述传感器部的输出值确定流量的流量特性函数,所述仪器误差修正参数是独立于所述流量特性函数且为多个试样流体所共用的参数,用以对每一质量流量计的仪器误差进行修正;以及
流量计算部,根据所述流量特性函数和所述仪器误差修正参数,来计算出所述试样流体的流量。
2.根据权利要求1所述的质量流量计,其特征在于:
所述流量特性函数是由五次多项式所表示的函数。
3.根据权利要求1或2所述的质量流量计,其特征在于:
所述仪器误差修正参数是用以消除如下两个流量值的误差的系数,即在以满标度流量测定作为试样流体的基准流体时,通过设定基准流体所固有的流量特性函数而计算出的流量值,及在利用作为基准的质量流量计来测定出所述满标度流量时的流量值。
4.根据权利要求1或2所述的质量流量计,其特征在于:
所述仪器误差修正参数是以氮气为试样流体、并对作为此试样流体的基准气体实际测定而求得的系数,且此系数是其他不同的多个试样气体也共用的系数。
5.根据权利要求3所述的质量流量计,其特征在于:
所述仪器误差修正参数是以氮气为试样流体、并对作为此试样流体的基准气体实际测定而求得的系数,且此系数是其他不同的多个试样气体也共用的系数。
6.一种质量流量控制器,其包括:
根据权利要求1至5中任一项所述的质量流量计;
控制阀,设置在所述流路中;及
控制部,对所计算出的流量值和流量设定值进行对比运算,并根据其运算结果来控制所述控制阀。
7.一种质量流量计系统,更包括:
存储部,和根据权利要求1至5中任一项所述的多个质量流量计进行通信,并且存储有多个和试样流体相关的流量特性函数;及
试样流体受理部,受理对所述流路中流动的试样流体的指定;且
所述设定部从所述存储部接收由所述受理部所指定的试样流体所固有的流量特性函数,并设定所指定的试样流体所固有的流量特性函数。
8.一种质量流量控制器系统,更包括:
存储部,和根据权利要求6所述的多个质量流量控制器进行通信,并且存储有多个和试样流体相关的流量特性函数;及
试样流体受理部,受理对所述流路中流动的试样流体的指定;且
所述设定部从所述存储部接收由所述受理部所指定的试样流体所固有的流量特性函数,并设定所指定的试样流体所固有的流量特性函数。
CN201010135177.4A 2009-03-12 2010-03-11 质量流量计及控制器以及质量流量计及控制器系统 Active CN101839737B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009060319A JP5337542B2 (ja) 2009-03-12 2009-03-12 マスフローメータ、マスフローコントローラ、それらを含むマスフローメータシステムおよびマスフローコントローラシステム
JP2009-60319 2009-03-12

Publications (2)

Publication Number Publication Date
CN101839737A true CN101839737A (zh) 2010-09-22
CN101839737B CN101839737B (zh) 2015-05-27

Family

ID=42729717

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010135177.4A Active CN101839737B (zh) 2009-03-12 2010-03-11 质量流量计及控制器以及质量流量计及控制器系统

Country Status (5)

Country Link
US (1) US8851105B2 (zh)
JP (1) JP5337542B2 (zh)
KR (1) KR101647151B1 (zh)
CN (1) CN101839737B (zh)
TW (1) TWI503525B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102564509A (zh) * 2010-10-20 2012-07-11 株式会社堀场Stec 流体测量系统、设备、方法及流体测量设备管理方法
CN102819261A (zh) * 2012-08-06 2012-12-12 北京雪迪龙科技股份有限公司 一种数据校准方法及装置
CN103930971A (zh) * 2011-09-29 2014-07-16 应用材料公司 用于流量控制器的原位校正的方法
CN104076830A (zh) * 2014-06-12 2014-10-01 北京七星华创电子股份有限公司 用于气体集成输送系统的质量流量控制装置、系统及方法
CN110307876A (zh) * 2018-03-27 2019-10-08 阿自倍尔株式会社 热式流量传感器装置以及流量校正方法
CN112198905A (zh) * 2020-09-11 2021-01-08 兰州空间技术物理研究所 一种标准数字接口的气体流量控制系统及控制方法
US11459106B2 (en) 2018-09-18 2022-10-04 The Yokohama Rubber Co., Ltd. Aircraft water supply system
TWI837862B (zh) * 2018-03-26 2024-04-01 美商應用材料股份有限公司 用於基於壓力衰減速率來進行質量流驗證的方法、電子裝置製造系統及非暫態電腦可讀儲存媒體

Families Citing this family (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9383758B2 (en) * 2005-06-27 2016-07-05 Fujikin Incorporated Flow rate range variable type flow rate control apparatus
US9921089B2 (en) 2005-06-27 2018-03-20 Fujikin Incorporated Flow rate range variable type flow rate control apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5650548B2 (ja) * 2009-12-25 2015-01-07 株式会社堀場エステック マスフローコントローラシステム
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9188989B1 (en) 2011-08-20 2015-11-17 Daniel T. Mudd Flow node to deliver process gas using a remote pressure measurement device
US9958302B2 (en) 2011-08-20 2018-05-01 Reno Technologies, Inc. Flow control system, method, and apparatus
US9772629B2 (en) 2011-09-29 2017-09-26 Applied Materials, Inc. Methods for monitoring a flow controller coupled to a process chamber
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9169975B2 (en) * 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9454158B2 (en) 2013-03-15 2016-09-27 Bhushan Somani Real time diagnostics for flow controller systems and methods
US10108205B2 (en) 2013-06-28 2018-10-23 Applied Materials, Inc. Method and system for controlling a flow ratio controller using feed-forward adjustment
US10114389B2 (en) * 2013-06-28 2018-10-30 Applied Materials, Inc. Method and system for controlling a flow ratio controller using feedback
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9939416B2 (en) 2014-08-28 2018-04-10 Veltek Assoicates, Inc. Programmable logic controller-based system and user interface for air sampling in controlled environments
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6688062B2 (ja) * 2015-12-15 2020-04-28 株式会社堀場エステック 流量センサの補正装置、流量測定システム、流量制御装置、補正装置用プログラム、及び、補正方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11144075B2 (en) 2016-06-30 2021-10-12 Ichor Systems, Inc. Flow control system, method, and apparatus
US10303189B2 (en) 2016-06-30 2019-05-28 Reno Technologies, Inc. Flow control system, method, and apparatus
US10838437B2 (en) 2018-02-22 2020-11-17 Ichor Systems, Inc. Apparatus for splitting flow of process gas and method of operating same
US10679880B2 (en) 2016-09-27 2020-06-09 Ichor Systems, Inc. Method of achieving improved transient response in apparatus for controlling flow and system for accomplishing same
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP2018096848A (ja) 2016-12-13 2018-06-21 株式会社堀場エステック 流量特性関数同定方法、流量特性関数同定装置、流量特性関数同定用プログラム、及び、これらを用いた流量センサ又は流量制御装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10663337B2 (en) 2016-12-30 2020-05-26 Ichor Systems, Inc. Apparatus for controlling flow and method of calibrating same
US10409295B2 (en) * 2016-12-31 2019-09-10 Applied Materials, Inc. Methods and apparatus for enhanced flow detection repeatability of thermal-based mass flow controllers (MFCS)
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10983538B2 (en) 2017-02-27 2021-04-20 Flow Devices And Systems Inc. Systems and methods for flow sensor back pressure adjustment for mass flow controller
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10537093B2 (en) * 2017-10-11 2020-01-21 Mark O. Hamran Electronic gas dispenser control system having backflow and pressure sensors
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR102160408B1 (ko) * 2019-04-15 2020-10-05 한국생산기술연구원 차압조절 및 유량적산 기능을 갖는 용적식 수차
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022186971A1 (en) 2021-03-03 2022-09-09 Ichor Systems, Inc. Fluid flow control system comprising a manifold assembly
US11435764B1 (en) * 2021-03-30 2022-09-06 Hitachi Metals, Ltd. Mass flow controller utilizing nonlinearity component functions
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5062446A (en) * 1991-01-07 1991-11-05 Sematech, Inc. Intelligent mass flow controller
US5821406A (en) * 1997-02-27 1998-10-13 Koch Industries, Inc. Crude oil measurement system and method
US5944048A (en) * 1996-10-04 1999-08-31 Emerson Electric Co. Method and apparatus for detecting and controlling mass flow
CN2366830Y (zh) * 1999-03-26 2000-03-01 王增瑜 大口径旋转式科里奥利原理质量流量计

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04262408A (ja) * 1991-02-18 1992-09-17 Nec Corp マスフロー・コントローラ
US5321992A (en) * 1991-09-26 1994-06-21 Dxl Usa Measurement of gas flows with enhanced accuracy
JP3401742B2 (ja) * 1997-10-20 2003-04-28 矢崎総業株式会社 ガスメータ
JP2000065609A (ja) * 1998-08-24 2000-03-03 Yazaki Corp 流量検出方法及びガス流量計
US6622096B1 (en) 1998-10-26 2003-09-16 Matsushita Electric Industrial Co., Ltd. Method of setting flow coefficient and flow meter using the same
AU2002307547A1 (en) * 2001-04-24 2002-11-05 Unit Instruments, Inc. System and method for configuring and asapting a mass flow controller
JP3604354B2 (ja) * 2001-06-13 2004-12-22 Smc株式会社 質量流量測定方法および質量流量制御装置
US7043374B2 (en) * 2003-03-26 2006-05-09 Celerity, Inc. Flow sensor signal conversion
TW200507141A (en) 2003-05-12 2005-02-16 Agere Systems Inc Method of mass flow control flow verification and calibration
JP2008039513A (ja) * 2006-08-03 2008-02-21 Hitachi Metals Ltd 質量流量制御装置の流量制御補正方法
TW200834275A (en) * 2006-09-05 2008-08-16 Celerity Inc Multi-gas flow device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5062446A (en) * 1991-01-07 1991-11-05 Sematech, Inc. Intelligent mass flow controller
US5944048A (en) * 1996-10-04 1999-08-31 Emerson Electric Co. Method and apparatus for detecting and controlling mass flow
US5821406A (en) * 1997-02-27 1998-10-13 Koch Industries, Inc. Crude oil measurement system and method
CN2366830Y (zh) * 1999-03-26 2000-03-01 王增瑜 大口径旋转式科里奥利原理质量流量计

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9719829B2 (en) 2010-10-20 2017-08-01 Horiba Stec, Co., Ltd. Fluid measurement system
CN102564509A (zh) * 2010-10-20 2012-07-11 株式会社堀场Stec 流体测量系统、设备、方法及流体测量设备管理方法
CN103930971A (zh) * 2011-09-29 2014-07-16 应用材料公司 用于流量控制器的原位校正的方法
CN103930971B (zh) * 2011-09-29 2016-06-22 应用材料公司 用于流量控制器的原位校正的方法
CN102819261A (zh) * 2012-08-06 2012-12-12 北京雪迪龙科技股份有限公司 一种数据校准方法及装置
CN102819261B (zh) * 2012-08-06 2015-01-21 北京雪迪龙科技股份有限公司 一种数据校准方法及装置
CN104076830A (zh) * 2014-06-12 2014-10-01 北京七星华创电子股份有限公司 用于气体集成输送系统的质量流量控制装置、系统及方法
CN104076830B (zh) * 2014-06-12 2018-05-01 北京七星华创电子股份有限公司 用于气体集成输送系统的质量流量控制装置、系统及方法
TWI837862B (zh) * 2018-03-26 2024-04-01 美商應用材料股份有限公司 用於基於壓力衰減速率來進行質量流驗證的方法、電子裝置製造系統及非暫態電腦可讀儲存媒體
CN110307876A (zh) * 2018-03-27 2019-10-08 阿自倍尔株式会社 热式流量传感器装置以及流量校正方法
CN110307876B (zh) * 2018-03-27 2021-10-29 阿自倍尔株式会社 热式流量传感器装置以及流量校正方法
US11459106B2 (en) 2018-09-18 2022-10-04 The Yokohama Rubber Co., Ltd. Aircraft water supply system
CN112198905A (zh) * 2020-09-11 2021-01-08 兰州空间技术物理研究所 一种标准数字接口的气体流量控制系统及控制方法
CN112198905B (zh) * 2020-09-11 2023-03-10 兰州空间技术物理研究所 一种标准数字接口的气体流量控制方法

Also Published As

Publication number Publication date
US8851105B2 (en) 2014-10-07
US20100229965A1 (en) 2010-09-16
JP5337542B2 (ja) 2013-11-06
TWI503525B (zh) 2015-10-11
JP2010216807A (ja) 2010-09-30
KR101647151B1 (ko) 2016-08-09
TW201040505A (en) 2010-11-16
CN101839737B (zh) 2015-05-27
KR20100103376A (ko) 2010-09-27

Similar Documents

Publication Publication Date Title
CN101839737B (zh) 质量流量计及控制器以及质量流量计及控制器系统
CN101536159B (zh) 进行实际流量检验的方法
CN101501597B (zh) 使用了质量流量控制装置的流量控制
CN1688948B (zh) 在质量流动控制器中用于压力补偿的方法和装置
CN102483344B (zh) 上游体积质量流量检验系统和方法
TW554164B (en) Pressure sensor, pressure controller, and temperature drift correction device of pressure type flow controller
US5911238A (en) Thermal mass flowmeter and mass flow controller, flowmetering system and method
US8104323B2 (en) Flow controller, flow measuring device testing method, flow controller testing system, and semiconductor manufacturing apparatus
CN101652591B (zh) 用于测量质量流控制器中的气体的温度的方法和装置
JP5512517B2 (ja) 異なる体積を提供可能な質量流量検証装置及び関連する方法
EP2283324B1 (en) Multivariable process fluid flow device with energy flow calculation
CN100425955C (zh) 用于物质流检测设备校准的系统和方法
CN109416275A (zh) 流量控制设备、流量控制设备的流量校正方法、流量测定设备及使用流量测定设备的流量测定方法
CN111579013B (zh) 气体质量流量控制器及其流量标定方法
CN102047089A (zh) 多变量压力变送器的改进温度补偿
CN102644787A (zh) 流体控制装置和压力控制装置
JP5110878B2 (ja) プロセス圧力センサのキャリブレーション
CN102564509B (zh) 流体测量系统、设备、方法及流体测量设备管理方法
CN104204759A (zh) 温度补偿的压力换能器
US20100217531A1 (en) Hydrocarbon measurement station preventative maintenance interval determination
EP2347224B1 (en) Multivariable fluid flow measurement device with fast response flow calculation
CN201094048Y (zh) 质量流量传感器及质量流量控制装置
CN101430216B (zh) 质量流量传感器及控制系统及其实现质量流量控制的方法
CN108227765A (zh) 流体特性测量系统、程序存储介质和流体特性测量方法
JPH01116414A (ja) 流量測定方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant