JP2005045210A - マス・フロー制御の方法、フローの検証および較正 - Google Patents

マス・フロー制御の方法、フローの検証および較正 Download PDF

Info

Publication number
JP2005045210A
JP2005045210A JP2004141993A JP2004141993A JP2005045210A JP 2005045210 A JP2005045210 A JP 2005045210A JP 2004141993 A JP2004141993 A JP 2004141993A JP 2004141993 A JP2004141993 A JP 2004141993A JP 2005045210 A JP2005045210 A JP 2005045210A
Authority
JP
Japan
Prior art keywords
gas
mass flow
flow controller
controller
fluid path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004141993A
Other languages
English (en)
Inventor
William Daniel Bevers
ダニエル ビーバーズ ウィリアム
Joseph William Buckfeller
ウィリアム バックフェラー ジョセフ
James L Flack
エル.フラック ジェームス
Robert Francis Jones
フランシス ジョーンズ ロバート
Bennett J Ross
ジェー.ロス ベネット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Agere Systems LLC
Original Assignee
Agere Systems LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Agere Systems LLC filed Critical Agere Systems LLC
Publication of JP2005045210A publication Critical patent/JP2005045210A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • G01F25/10Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters
    • G01F25/17Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters using calibrated reservoirs

Landscapes

  • Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • General Physics & Mathematics (AREA)
  • Flow Control (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】半導体製造プロセスにおいてマス・フロー・コントローラを通るガス流量を監視または較正するための方法および装置を提供する。
【解決手段】 基準マス・フロー・コントローラ70は、同様に複数の供給ガスに関連付けられた複数のマス・フロー・コントローラ12〜18の1つからガス・フローを受け取るためにバイパス・ループに配設される。ガス供給マス・フロー・コントローラ12〜18の1つは選択され、特定のガス流量に対して命令される。選択されたマス・フロー・コントローラ12〜18を通るガス・フローも通気口へのガス・フローとして基準マス・フロー・コントローラ70を通る。ガス供給マス・フロー・コントローラ12〜18命令流量を基準マス・フロー・コントローラ70によって決定された実際の流量と比較することはガス供給マス・フロー・コントローラ12〜18の監視および較正を実現する。
【選択図】図2

Description

本発明は、一般に半導体集積回路の製造に関し、より詳細には、集積回路製造中に使用されるプロセス・チャンバにガスがそれを通って供給されるマス・フロー・コントローラの検証および較正のための方法および装置に関する。
本出願は、2003年5月12日に出願され、出願番号第60/469669号が割り当てられた仮特許出願の、米国特許法第119(e)条による特典を主張するものである。
集積回路(またはチップ)は、基板内のドープされた領域から形成された、トランジスタなどの半導体デバイスを有するシリコン基板を備える。半導体基板上にある複数の平行な層に形成された導電性相互接続構造は、集積回路内で電気回路を形成するために半導体デバイスを電気的に接続する。
集積回路の製造は、シリコン・ウエハを複数の連続的な製造プロセスにかけて、ウエハに多数の同じチップを形成することから始まり、各チップは所望の機能を与えるように動作する能動デバイス(たとえばトランジスタ)と受動デバイス(たとえばコンデンサおよび抵抗)を備える。
いくつかの異なる連続的なプロセスが実行され、デバイスを形成し、相互接続する。一般に、プロセスは、限定はしないが、ドーパント不純物を埋め込み、拡散する工程と、物理および化学気相蒸着によって基板の上面に要素を配設する工程と、導電性および誘電性構造をマスキングし、パターニングし、エッチングする工程と、上面からの誘電性および半導体材料を成長させる工程とを含む。
各プロセスは、1つまたは複数のウエハを包囲するプロセス・チャンバ(同じくプロセス・ツールとも呼ばれる)で実施される。プロセスの最後に、ウエハは電流チャンバから取り外され、別のチャンバに移送され、そこで次のプロセスが実行される。各プロセスは、プロセス・コントローラによって自動的に開始および制御される複数のプロセス工程を備える。いくつかのプロセス工程中、様々なガスおよび材料がチャンバに導入されると同時に、チャンバ温度および圧力が慎重に制御される。各工程の持続時間、チャンバに供給されるガスおよび材料の量およびタイミング、ならびにチャンバの温度および圧力は、各プロセス工程の制御を実施するプロセス・コントローラに入力されるプロセス・レシピで指定される。
図1はガス供給1、2、3および4からの複数のガス種の1つまたは複数を受け取るためのプロセス・チャンバ10を示す。当業者に周知のように、図1に示されるガス供給の数は単に例示的なものである。プロセス・チャンバ10内で実行されるプロセスに応じて、より多くまたはより少ないガス供給が必要とされることがある。たとえば、ゲルマニウムがシリコン上に選択的に堆積されるプロセス・チャンバでは、ガスはジクロロシラン(SiHCl)、水素(H)、ジボラン(B)、塩酸(HCl)およびゲルマン(GeH)を含むことができる。
ガスは、それぞれマス・フロー・コントローラ12、14、16および18、と通気バルブ22、24、26および28の直列構成を通してガス供給1、2、3および4の各々から流体ノード19に供給される。マス・フロー・コントローラ12、14、16および18はプロセス・チャンバ10への種ガスのフローを調整する。正確なプロセス制御にはガス・フローを慎重に調節する必要があるので、それができないと欠陥集積回路が製造されることがある。正確なガス・フローを保証するために、各マス・フロー・コントローラの較正が定期的にチェックされる。
さらに図1に示すように、通気バルブ30が流体ノード19とプロセス・チャンバ10の間のプロセス・ライン20に配設される。
プログラマブル・システム・コントローラ40は通気バルブ22、24、26、28および30を制御し、特定のプロセス工程中にプロセス・チャンバ10へのガス・フローの要件に従ってバルブを開放または閉鎖する。さらに、システム・コントローラ40は、各プロセス工程のレシピに従ってガス流量を確立するためにマス・フロー・コントローラ12、14、16および18の各々に設定点値を供給する。ガス流量は一般にsccm(標準温度および圧力での立方センチメートル毎分)またはslm(標準温度および圧力でのリットル毎分)で測定される。
図1は、通気バルブ48が開いているときに排気および減少のために種ガスを通気するために流体ノード19と直列流体連通する通気ライン46および通気バルブ48をも示す。
システム・コントローラ40がマス・フロー・コントローラの流量を設定するとき、ガス・フローが新しい流量に達するために有限の過渡間隔が必要なことが知られている。ガスを間違った流量でプロセス・チャンバ10に導入するのを防ぐために、この過渡間隔中に、ガス供給は通気バルブ48を介して通気ライン46に通気される。比較的平坦または一定の流量によって示される過渡間隔後、通気バルブ48は閉鎖され、プロセス・ライン・バルブ30は開放され、ガスはプロセス・チャンバ10に流れる。
各マス・フロー・コントローラは特定のガス種に対して較正され、一度設置されると、マス・フロー・コントローラがプロセス工程に対してガスを命令されたまたは所望の流量で供給しているかどうかを判定することが困難なことがある。ガス流量を検証するための1つの従来技術技法によれば、マス・フロー・コントローラが取り外され、ガスを命令された流量で供給することが知られているマス・フロー・コントローラと交換される。これは、マス・フロー・コントローラの交換の前および後に、チャンバの完全性が損なわれた場合、流体ラインをパージしなければならず、プロセス・チャンバ10を清浄化しなければならないことがあるので、時間がかかり、労働集約的なプロセスである。
別の検証技法によれば、マス・フロー・コントローラを通る実際のガス流量は、プロセス・チャンバがガスでいっぱいになる速度から決定される。プロセス・チャンバ10を排気した後、テスト中のマス・フロー・コントローラからのガス・フローはプロセス・ライン20を通してプロセス・チャンバ10に導入される。チャンバ容積が既知なので、チャンバへの流量を理想気体の法則PV=nRTから計算することが可能である。チャンバ温度および容積は既知であり、定数Rは既知である。チャンバ圧力が、ガス・フローが停止する時点のガス供給圧力に等しくなるまで、ガスはチャンバ10に供給される。これらのパラメータを使用して、ガスのモル数を理想気体の法則の式から決定することができる。チャンバを充填するための持続時間が測定されるので、流量はモル数を、チャンバを充填するために必要な時間で割ることによって決定される。
米国仮特許出願第60/469669号
このいわゆる「上昇率」測定技法は誤りを生じやすいことが知られている。たとえば、チャンバ壁の不均一性のためにチャンバ容積を正確に知れないことがある。また、チャンバ温度を一定に保持すること、またはチャンバ温度を決定することが可能でないことがある。
いくつかのガス種はチャンバ構成要素と反応し、したがって構成要素がこれらのガスに曝されることによって損傷することが知られている。正規のチャンバの動作中、これらのガスは、チャンバ構成要素の損傷を防ぐために損傷の原因になるガスと反応する中和ガスが伴うときにのみチャンバに供給される。そのようなプロセス・システムでは、流量確度を決定するために上昇率技法を使用することは賢明でない。
これらのチャンバに対して流量を決定するために、多数のガス・フローがプロセス・チャンバに導入され、その時間中、チャンバに配設されたウエハ上に独自のフィルムが形成される。いくつかのガス流量をフィルムの測定特性から決定することができる。
本発明は、プロセス・チャンバに入る前に多数のプロセス・ガスでシステム中のガス・フローを監視または較正するための方法を備える。本方法は、プロセス・チャンバに入る前にいくつかのガスの各々を異なるマス・フロー・コントローラに流す工程と、基準マス・フロー・コントローラと前記ガスに固有のマス・フロー・コントローラとの間の流量決定を相関させるためにガス・フローを基準マス・フロー・コントローラに分流する工程とを備える。
本発明は、プロセス・チャンバへのガス・フローを監視または較正するための装置をさらに備える。本装置は多数の供給ガスと、多数の供給ガス・マス・フロー・コントローラとを備え、各供給ガスは、その中を通る供給ガスの流量を制御するための供給ガス・マス・フロー・コントローラに関連付けられる。供給ガスの1つまたは複数が第1流体経路からプロセス・チャンバに流れる。基準マス・フロー・コントローラが第2流体経路に配設され、基準マス・フロー・コントローラと供給ガスに関連付けられた供給ガス・マス・フロー・コントローラとの間の流量決定を相関させるために、供給ガスの少なくとも1つが基準マス・フロー・コントローラを流れるようになされる。
本発明の上記および他の特徴は、同じ参照符号が異なる図を通じて同じ部品をさす添付の図面に示す、本発明についての以下の詳細な説明から明らかになろう。図面は必ずしも一定の縮尺であるとは限らず、代わりに本発明の原理を示すことに強調を置いている。
本発明による特定の半導体集積回路プロセス方法および装置について詳細に説明する前に、本発明はハードウェア要素とプロセス工程の新規の明確でない組合せにあることに気付くべきである。したがって、これらの要素は図面および明細書の従来の要素によって表されており、当技術分野で従来知られている要素およびプロセス工程についてはあまり詳細には説明せず、本発明を理解するのに関連する要素およびプロセス工程については詳細に説明する。
図2に示すように、本発明による流量較正または検証のためのプロセス・ツール構成は、バイパス・ループ68を形成するために通気ライン46内に配設されたバルブ60、62および64を備える。バルブ60はバイパス・ループ68の流体入口経路に配設され、バルブ62はバイパス・ループ68の流体出口経路に配設される。好ましい実施形態では、バルブ60、62および64の各々は手動バルブを備える。別の実施形態では、本発明によるフロー検証または較正プロセスを開始する前にバルブ60、62および64に(図2には示されない導体を介して)システム・コントローラ40によって開放を命令することができる。さらに別の実施形態では、他のバルブ作用構成を使用して、供給ガス・フローを監視または較正することが望まれるときに流体フローを基準マス・フロー・コントローラ70に入れ、プロセス実行中に供給ガス・フローをプロセス・チャンバに入れる。たとえば、必要に応じて流体フローを制御するためにバルブ48、60、62および64の1つまたは複数を単一のバルブに組み合わせることができる。
バイパス・ループ68は、そこを通る流量を決定するための基準マス・フロー・コントローラ70をさらに備える。基準マス・フロー・コントローラ70は双方向電気リンク73上でコンピュータまたはプログラマブル・コントローラ72から情報を受け取り、それに情報を供給する。好ましい実施形態では、デジタル・マス・フロー・コントローラがアナログ・バージョンよりも正確になる傾向があることが知られているので、マス・フロー・コントローラ70はデジタル・マス・フロー・コントローラを備える。別の実施形態では、マス・フロー・コントローラ70はアナログ・マス・フロー・コントローラを備える。
本発明の教示によるマス・フロー・コントローラ12、14、16または18の1つの検証または較正を実行するために、バルブ64は閉鎖され、バルブ60および62は開放される。また、通気バルブ48は開放され、プロセス・ライン・バルブ30は閉鎖される。これらのバルブ設定はガス供給1、2、3および4の1つからのガス種をそのそれぞれのマス・フロー・コントローラおよびバイパス・ループ68に流れさせる。
マス・フロー・コントローラ12が検証または較正のために選択されたと仮定すると、システム・コントローラ40はガス流量に対してマス・フロー・コントローラ12に命令する。ガスは、基準マス・フロー・コントローラ70を含むマス・フロー・コントローラ12およびバイパス・ループ68を直列に通るガス供給1から流れる。コンピュータ72は基準マス・フロー・コントローラ70によって測定された流量、すなわち基準流量を決定する。
基準流量は、コンピュータ72に記録すること、またはマス・フロー・コントローラ12に対する命令された流量と基準マス・フロー・コントローラ70によって測定された基準流量との間の差を表す、マス・フロー・コントローラ70に対する補正係数の計算に使用するためにシステム・コントローラ40への入力として供給すること、またはその両方が可能である。マス・フロー・コントローラ12がプロセス工程中に動作可能のとき、システム・コントローラ40は補正係数を使用して所望のガス流量から訂正されたガス流量を計算する。システム・コントローラ40は、そこを通る実際の流量が所望の流量に等しくなることを保証するために訂正されたガス流量に対してマス・フロー・コントローラ12を命令する。この技法を使用すると、残りのマス・フロー・コントローラ14、16および18も較正することができる。
基準流量(または補正係数)はマス・フロー・コントローラ12に対するベース・ライン流量をも表す。後で、マス・フロー・コントローラ12は同じガス種に対して上述の別の検証/較正プロセスを受ける。ベース・ラインと後で決定される基準流量との間の差は、マス・フロー・コントローラ12の流量制御機構の変化を示す。
有利には、本発明による装置および方法は、基準マス・フロー・コントローラ70での障害の自動チェックである。基準マス・フロー・コントローラ70を使用したガス流量の通常の検証中、すべての流量が前に決定されたベース・ライン流量から外れている場合、基準マス・フロー・コントローラの不適切な動作が示される。
当技術分野で知られているように、マス・フロー・コントローラの流量制御機構がガス種のいくつかの特性(たとえば分子サイズおよびガス温度)に基づいているので、マス・フロー・コントローラを特定のガス種に対して較正するのが有利である。基準マス・フロー・コントローラ70が特定のガス種に対して較正されていない実施形態では、基準マス・フロー・コントローラ70によって決定される基準流量と所与のガス種に対する実際の流量との間の相関を決定するために一連の較正テストを行うことができる。ひとたび相関係数が分かると、数学アルゴリズムを使用してガス種に対する基準流量から実際のガス流量を計算することができる。
基準マス・フロー・コントローラが窒素などの「一般的な」ガスに対して較正されることが予想される。約5%またはそれ以下のフロー偏位を認識するために基準マス・フロー・コントローラ70を正しく寸法決定することが有利である。すなわち、100sccmの流量が所望される場合、基準マス・フロー・コントローラは約95sccm(95%)から約105sccm(105%)までの流量を提供することが可能なはずである。
以下に記載する手順を使用すると、基準マス・フロー・コントローラを任意のガス種に対して較正することができる。特定のガス種に対して生成される相関曲線および較正係数は、基準マス・フロー・コントローラのフロー読みの、任意の種に対する実際のガス流量への変換を可能にする。相関曲線は基準マス・フロー・コントローラ70によって測定された流量からの特定のガスに対する実際の流量の決定を可能にする。たとえば、基準マス・フロー・コントローラがガスAの40sccmの流量を示す場合、相関曲線を使用して、ガスAが実際に50sccmで流れていることを決定することができる。相関曲線から、基準マス・フロー・コントローラの読みと実際のガス流量との間のずれは、基準値の1.25倍(50/40=1.25)である。したがって、較正係数は1.25である。基準マス・フロー・コントローラ70がガスAに対して80sccmの流量を後で測定した場合、コンピュータ72は1.25の較正係数を使用して100sccm(80×1.25=100)の実際の流量を決定する。
各ガス種に対する相関曲線を決定するための1つの方法について以下で説明する。マス・フロー・コントローラ12などの選択されたマス・フロー・コントローラおよび基準マス・フロー・コントローラ70は良好なマス・フロー・コントローラであることが分かっていると仮定する。注目するガス(すなわち、マス・フロー・コントローラ12が選択されたのでガス供給1からのガス)に対する流量が、たとえば100sccmの流量に識別される。注目する流量の上および下の十分なマージンを保証するために低流量と高流量の間の流量範囲が選択される。たとえば、+/−50%のマージンが一般に十分であると考えられる。流量増分、すなわち流量が各テスト・トライアル中に変化する量が選択される。選択された増分は、製造プロセスが耐えることができる最大ガス・フロー偏位、たとえばターゲット・フローの5%すなわち5sccmよりも少なくすべきである。
選択されたマス・フロー・コントローラは低流量に対して命令され、増分率で高流量に増分的に増加される。たとえば、本例では、50、55、60、65...140、145および150の流量が使用される。これらの流量の各々に対して、基準流量が基準マス・フロー・コントローラ70によって決定される。相関曲線はx軸上の命令されたガス・フロー値対y軸上の基準流量をプロットすることによって作成される。曲線の等式も決定でき、選択されたガス種に対する相関等式または較正係数として使用される。基準マス・フロー・コントローラ70によって決定された将来の流量値は、所与のガス種のために基準マス・フロー・コントローラ70によって測定された実際のガス流量を決定するために相関等式で使用できる。この手順は各種に対して相関曲線を生成するためにプロセス・システムでの各ガス種に対して実行される。
以上、本発明について好ましい実施形態を参照しながら説明したが、様々な変更を行うことができ、本発明の範囲を逸脱せずに均等な要素をその要素の代わりに使用することができることを当業者なら理解されよう。本発明の範囲は、本明細書に記載の様々な実施形態からの要素の任意の組合せをさらに含む。さらに、本発明の本質的な範囲から逸脱せずに特定の状況を本発明の教示に適合させるために改変を行うことができる。たとえば、本発明の教示は半導体製造工業でのマス・フロー・コントローラの使用に限定されず、食品および薬品工業でのマス・フロー・コントローラに適用することもできる。したがって、本発明は開示された特定の実施形態に限定されず、本発明は首記の特許請求の範囲に入るすべての実施形態を含むものとする。
従来技術チャンバ・プロセス構成の概略図である。 本発明の教示によるチャンバ・プロセス構成の概略図である。

Claims (17)

  1. プロセス・チャンバに入る前に多数のプロセス・ガスでシステム中のガス・フローを監視または較正するための方法であって、
    a)前記プロセス・チャンバに入る前にいくつかのガスの各々を異なるマス・フロー・コントローラに流す工程と、
    b)基準マス・フロー・コントローラと前記ガスに固有のマス・フロー・コントローラとの間の流量決定を相関させるためにガス・フローを前記基準マス・フロー・コントローラに分流する工程と
    を備える方法。
  2. 前記基準マス・フロー・コントローラと前記ガスに固有の前記マス・フロー・コントローラとの間の前記流量決定に応答して較正係数を決定する工程をさらに備える請求項1に記載の方法。
  3. 前記較正係数によってその流量を調節するために前記ガスに固有の前記マス・フロー・コントローラへの入力として前記較正係数を供給する工程
    をさらに備える請求項2に記載の方法。
  4. 前記分流する工程が、ガス・フローを前記基準マス・フロー・コントローラに通し、前記プロセス・チャンバへのガス・フローを防ぐガス・フロー経路を構成する工程をさらに備える請求項1に記載の方法。
  5. 前記ガス・フロー経路を構成する工程が、前記基準マス・フロー・コントローラが配設される通気流路に前記ガスを流す工程をさらに備える請求項4に記載の方法。
  6. 多数のプロセス・ガス種を含むシステムで特定のガス種に対する基準マス・フロー・コントローラに対する較正係数を決定するための方法であって、前記多数のガス種の各々が関連するプロセス・ガス・マス・フロー・コントローラとともに動作し、
    a)複数のガス流量で前記関連するプロセス・ガス・マス・フロー・コントローラに特定のガス種を流す工程と、
    b)前記複数のガス流量の各々に対して前記基準マス・フロー・コントローラから基準流量を決定する工程と、
    c)前記基準流量と前記ガス流量の間の関係に基づいて前記特定のガス種に対する前記較正係数を決定する工程と
    を備える方法。
  7. プロセス・チャンバへのガス・フローを監視または較正するための装置であって、
    多数の供給ガスと、
    各供給ガスが、その中を通る前記供給ガスの流量を制御するための供給ガス・マス・フロー・コントローラに関連付けられる、複数の供給ガス・マス・フロー・コントローラと、
    前記プロセス・チャンバが第1流体経路に配設される、前記複数の供給ガス・マス・フロー・コントローラと選択的流体連通する第1および第2流体経路と、
    基準マス・フロー・コントローラを通る選択された供給ガスのフローが前記基準マス・フロー・コントローラと前記選択された供給ガスに関連付けられた供給ガス・マス・フロー・コントローラの間の流量決定を相関させる、前記第2流体経路に配設された前記基準マス・フロー・コントローラと
    を備える装置。
  8. 前記第2流体経路が通気経路およびバイパス・ループを備え、前記基準マス・フロー・コントローラが前記バイパス・ループに配設される請求項7に記載の装置。
  9. 前記第2流体経路を通って前記選択された供給ガスを前記基準マス・フロー・コントローラに導くための第1構成と、前記第1流体経路を通って前記供給ガスを前記プロセス・チャンバに導くための第2構成とを有する1つまたは複数のバルブをさらに備える請求項8に記載の装置。
  10. 前記第1または第2構成への前記1つまたは複数のバルブに命令するためのシステム・コントローラをさらに備える請求項9に記載の装置。
  11. 前記基準マス・フロー・コントローラと前記供給ガス・マス・フロー・コントローラの間の流量決定に応答し、それに応答して前記供給ガス・マス・フロー・コントローラを制御するためのシステム・コントローラをさらに備える請求項7に記載の装置。
  12. 前記第2流体経路が、その中に直列に配設された第1および第2バルブと、通気端部と、前記第1および第2バルブ間の前記第2流体経路から分岐した入口流体経路と、前記第2バルブと前記通気端部の間の前記第2流体経路から分岐した出口流体経路とを備え、前記入口流体経路に直列に配設された第3バルブと、前記出口流体経路に直列に配設された第4バルブとをさらに備え、前記基準マス・フロー・コントローラが前記第3および第4バルブ間に配設される請求項7に記載の装置。
  13. ガス・フローを監視または較正するために、前記第1、第3および第4バルブが開放位置にあり、前記第2バルブが閉鎖位置にある請求項12に記載の装置。
  14. 半導体集積回路を製造するのに使用する装置であって、
    プロセス・チャンバと、
    複数の供給ガスと、
    前記複数の供給ガスの各々が、そこを通るガス流量を制御するための複数の供給ガス・マス・フロー・コントローラの1つに関連付けられる、前記同じ複数の供給ガス・マス・フロー・コントローラと、
    前記プロセス・チャンバが第1流体経路に配設される、前記複数の供給ガス・マス・フロー・コントローラと選択的流体連通する第1および第2流体経路と、
    選択された供給ガスに関連付けられた供給ガス・マス・フロー・コントローラによって決定された流量と相関させるために前記基準マス・フロー・コントローラによって前記選択された供給ガスの流量が決定される、前記第2流体経路に配設された基準マス・フロー・コントローラと
    を備える装置。
  15. 前記第2流体経路が通気経路およびバイパス・ループを備え、前記基準マス・フロー・コントローラが前記バイパス・ループに配設される請求項14に記載の装置。
  16. 前記第2流体経路が、前記第2流体経路を通るガス・フローを制御するための通気バルブと、前記バイパス・ループを通るガス・フローを制御するためのバイパス・ループ・バルブとを備える請求項15に記載の装置。
  17. ガス・フローを前記第1流体経路に分流するための第1構成と、ガス・フローを前記第2流体経路に分流するための第2構成とを有するバルブをさらに備える請求項14に記載の装置。
JP2004141993A 2003-05-12 2004-05-12 マス・フロー制御の方法、フローの検証および較正 Pending JP2005045210A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US46966903P 2003-05-12 2003-05-12

Publications (1)

Publication Number Publication Date
JP2005045210A true JP2005045210A (ja) 2005-02-17

Family

ID=34272423

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004141993A Pending JP2005045210A (ja) 2003-05-12 2004-05-12 マス・フロー制御の方法、フローの検証および較正

Country Status (4)

Country Link
US (1) US20040250600A1 (ja)
JP (1) JP2005045210A (ja)
CN (1) CN1574200A (ja)
TW (1) TW200507141A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008211218A (ja) * 2007-02-26 2008-09-11 Applied Materials Inc 処理チャンバへのガスフローを制御する方法及び装置
KR20100103376A (ko) * 2009-03-12 2010-09-27 가부시키가이샤 호리바 에스텍 매스 플로우 미터, 매스 플로우 컨트롤러, 이들을 포함한 매스 플로우 미터 시스템 및 매스 플로우 컨트롤러 시스템
WO2022220900A1 (en) * 2021-04-13 2022-10-20 Applied Materials, Inc. Methods, systems, and apparatus for conducting a calibration operation for a plurality of mass flow controllers (mfcs) of a substrate processing system

Families Citing this family (313)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
TWI416619B (zh) * 2006-11-17 2013-11-21 Lam Res Corp 執行實際流動驗證的方法
US7822570B2 (en) * 2006-11-17 2010-10-26 Lam Research Corporation Methods for performing actual flow verification
US7881886B1 (en) 2006-11-17 2011-02-01 Lam Research Corporation Methods for performing transient flow prediction and verification using discharge coefficients
US7950267B2 (en) * 2008-07-30 2011-05-31 Bi-Phase Technologies, Llc Liquid propane gas injector testing system and methods
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8707754B2 (en) * 2010-04-30 2014-04-29 Applied Materials, Inc. Methods and apparatus for calibrating flow controllers in substrate processing systems
JP5538119B2 (ja) * 2010-07-30 2014-07-02 株式会社フジキン ガス供給装置用流量制御器の校正方法及び流量計測方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5824372B2 (ja) * 2012-01-25 2015-11-25 東京エレクトロン株式会社 処理装置及びプロセス状態の確認方法
US9004107B2 (en) * 2012-08-21 2015-04-14 Applied Materials, Inc. Methods and apparatus for enhanced gas flow rate control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN104731116B (zh) * 2013-12-24 2017-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 半导体加工设备中气路控制的方法及系统
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102628015B1 (ko) * 2017-12-01 2024-01-23 삼성전자주식회사 질량 유량 제어기, 반도체 소자의 제조장치 및 그의 관리방법
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6904231B2 (ja) * 2017-12-13 2021-07-14 東京エレクトロン株式会社 基板処理方法、記憶媒体及び原料ガス供給装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
CN111101115B (zh) * 2018-10-25 2022-03-22 北京北方华创微电子装备有限公司 气路切换装置及其控制方法、半导体加工设备
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5233861A (en) * 1990-12-03 1993-08-10 Motorola, Inc. Apparatus and method for in situ calibration of a metering device
US5744695A (en) * 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
US6074691A (en) * 1997-06-24 2000-06-13 Balzers Aktiengesellschaft Method for monitoring the flow of a gas into a vacuum reactor
US6205409B1 (en) * 1998-06-26 2001-03-20 Advanced Micro Devices, Inc. Predictive failure monitoring system for a mass flow controller
JP3830670B2 (ja) * 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6343617B1 (en) * 1999-07-09 2002-02-05 Millipore Corporation System and method of operation of a digital mass flow controller
US6389364B1 (en) * 1999-07-10 2002-05-14 Mykrolis Corporation System and method for a digital mass flow controller
US6332348B1 (en) * 2000-01-05 2001-12-25 Advanced Micro Devices, Inc. Gas flow calibration of mass flow controllers
US6405745B1 (en) * 2000-03-22 2002-06-18 Delphi Technologies, Inc. Ultra accurate gas injection system

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008211218A (ja) * 2007-02-26 2008-09-11 Applied Materials Inc 処理チャンバへのガスフローを制御する方法及び装置
KR20100103376A (ko) * 2009-03-12 2010-09-27 가부시키가이샤 호리바 에스텍 매스 플로우 미터, 매스 플로우 컨트롤러, 이들을 포함한 매스 플로우 미터 시스템 및 매스 플로우 컨트롤러 시스템
KR101647151B1 (ko) 2009-03-12 2016-08-09 가부시키가이샤 호리바 에스텍 매스 플로우 미터, 매스 플로우 컨트롤러, 이들을 포함한 매스 플로우 미터 시스템 및 매스 플로우 컨트롤러 시스템
WO2022220900A1 (en) * 2021-04-13 2022-10-20 Applied Materials, Inc. Methods, systems, and apparatus for conducting a calibration operation for a plurality of mass flow controllers (mfcs) of a substrate processing system
US11733081B2 (en) 2021-04-13 2023-08-22 Applied Materials, Inc. Methods, systems, and apparatus for conducting a calibration operation for a plurality of mass flow controllers (MFCs) of a substrate processing system

Also Published As

Publication number Publication date
US20040250600A1 (en) 2004-12-16
TW200507141A (en) 2005-02-16
CN1574200A (zh) 2005-02-02

Similar Documents

Publication Publication Date Title
JP2005045210A (ja) マス・フロー制御の方法、フローの検証および較正
CN108140588B (zh) 用于半导体设备的匹配腔室性能的方法
US6773931B2 (en) Dynamic targeting for a process control system
US9234775B2 (en) Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7502660B2 (en) Feature dimension deviation correction system, method and program product
US7137400B2 (en) Bypass loop gas flow calibration
TWI305372B (ja)
KR102203557B1 (ko) 배기 시스템 및 이것을 사용한 기판 처리 장치
US10760944B2 (en) Hybrid flow metrology for improved chamber matching
JP7105905B2 (ja) 圧力減衰速度に基づく質量流量点検の方法、システム、及び装置
KR100906048B1 (ko) Lpcvd 장치 및 lpcvd 장치를 이용한 폴리 실리콘증착 방법
US7254453B2 (en) Secondary process controller for supplementing a primary process controller
US20220333972A1 (en) Flow metrology calibration for improved processing chamber matching in substrate processing systems
US20060099805A1 (en) Heat treating system and heat treating method
US6698009B1 (en) Method and apparatus for modeling of batch dynamics based upon integrated metrology
US6834211B1 (en) Adjusting a trace data rate based upon a tool state
Richard et al. Implementation of MIMO R2R control regulation on furnaces processes
JP7113507B2 (ja) 活性ガス供給システムとそれを用いた半導体製造装置
JP2004063968A (ja) 半導体装置の製造装置及び半導体装置の製造方法
JP2022076382A (ja) 処理装置及び処理方法
KR20240004672A (ko) 높은 tcr 기반 제어에서 신호 필터링 방식들의 사용
JPH11186249A (ja) 半導体プロセス制御装置及び制御方法
CN110648910A (zh) 半导体器件的制造方法、零件的管理方法、基板处理装置及记录介质
JP2006121099A (ja) 半導体プロセス制御装置及び制御方法