TWI466170B - 用於光阻劑剝離室之鋁裸板 - Google Patents

用於光阻劑剝離室之鋁裸板 Download PDF

Info

Publication number
TWI466170B
TWI466170B TW094120703A TW94120703A TWI466170B TW I466170 B TWI466170 B TW I466170B TW 094120703 A TW094120703 A TW 094120703A TW 94120703 A TW94120703 A TW 94120703A TW I466170 B TWI466170 B TW I466170B
Authority
TW
Taiwan
Prior art keywords
bare aluminum
photoresist stripping
bare
aluminum plate
alumina
Prior art date
Application number
TW094120703A
Other languages
English (en)
Other versions
TW200612480A (en
Inventor
Fred D Egley
Michael Kang
Anthony L Chen
Jack Kuo
Hong Shih
Duane Outka
Bruno Morel
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200612480A publication Critical patent/TW200612480A/zh
Application granted granted Critical
Publication of TWI466170B publication Critical patent/TWI466170B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/10Oxidising
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Description

用於光阻劑剝離室之鋁裸板
本發明係有關一種適應於一電漿加工裝置之裸鋁板。
半導體基板材料,例如矽晶圓,其係藉由以下技術加工,包括沉積製程,諸如金屬、介電質及半導體材料之化學氣相沉積(CVD)或電漿增強性化學氣相沉積(PECVD);蝕刻製程;及光阻劑剝離製程。
半導體積體電路(IC)製程包括將多數個裝置形成於基板上。傳導性及絕緣性材料層沉積於基板上。光阻劑可施加於該層堆疊上而被使用作為一遮蔽層,且經圖案化以保護不想要蝕刻之下層材料部分。蝕刻製程完成後,光阻劑即藉由一剝離技術以自該結構去除,例如使用有機剝離器、氧化型剝離器、或藉由電漿蝕刻之乾式剝離。
本發明提供一種裸鋁板,其適用於一電漿加工裝置之光阻劑剝離室,該裝置包括一遠端電漿源,以將反應物供給至該光阻劑剝離室內。該板之一較佳實施例係構形為由該光阻劑剝離室之一側壁支撐,且該板之一多孔性表面係面向一欲在該室內加工之半導體基板。該板之多孔性表面包括多數個用於施配該反應物之氣體通道。
該裸鋁板包括一外氧化鋁層,其形成該板之一外表面。該外層較佳具有一大約50至300埃厚度,及較佳具有一至少為大約90%氧化鋁理論密度之密度。該外氧化鋁層可為一天然氧化鋁層,或其可以藉由將一新或舊裸鋁板化學處理而形成。
一種光阻劑剝離裝置之一較佳實施例包含一光阻劑剝離室;一遠端電漿源,其可操作以產生一電漿及將反應物導送入該光阻劑剝離室;及一裸鋁板,其係由該光阻劑剝離室之一側壁支撐。該遠端電漿源較佳為包括一微波產生器,其放射微波以將一製程氣體激發成電漿狀態。
提供一種在一光阻劑剝離室內從一基板剝離光阻劑之方法之一較佳實施例,該方法包含:遠從該光阻劑剝離室將一製程氣體激發成電漿狀態,及將反應物供給至該光阻劑剝離室內,其中一包括一光阻劑在內之半導體基板被支撐在一基板支撐件上。該光阻劑剝離室包括一側壁及一裸鋁板,該裸鋁板形成一頂壁且係由該側壁支撐。該反應物係通過該板內之氣體通道而被施配至該室內,以將該光阻劑從該基板去除。
提供一種處理一適用於一光阻劑剝離室之裸鋁板的方法之一較佳實施例,該方法包含:以一化學溶液處理一具有一第一外氧化鋁層之裸鋁板,該化學溶液可以從該板有效去除汙染物及該第一外氧化鋁層,以曝露出鋁材;及將一第二外氧化鋁層形成於該鋁材上。該第二外氧化鋁層具有一大約50至300埃厚度及一至少為大約90%氧化鋁理論密度之密度。
用於半導體基板諸如矽晶圓者之電漿處理裝置包括光阻劑剝離室,其被用在半導體裝置之製造過程中,以將光阻劑(或"光阻材料")去除,該光阻劑係作為一用於半導體結構之遮罩。例如,該光阻劑係在一或多層已被蝕刻而在其內部形成圖形後才從下層去除。一項在光阻劑剝離室內執行以利於自半導體結構去除光阻劑之技術為乾剝離,亦稱為"灰化",其使用電漿乾蝕刻技術。
在一光阻劑剝離操作期間,反應物被分布在一包括有一光阻劑層在內之基板上方,其係在光阻劑剝離室內進行處理。經發現包括陽極化鋁與陶瓷在內之材料擋板有其特定之缺點,陶瓷係如石英、碳化矽及藍寶石。陽極化鋁擋板包括一外氧化物塗層,係由鋁材料在一電解液中之陽極氧化所形成。惟,由陽極化過程所形成之陽極化層包括一內層及一外層,其可能呈不必要之多孔性、低密度及包括瑕疵。再者,陽極化層厚,其典型上具有一大約5,000至10,000埃厚度。
吾人亦發現陶瓷擋板有低熱傳導率,致使其在半導體基板處理期間易遭受熱震失效,亦使其在光阻劑剝離期間有不良之空間溫度均一性,減低了光阻劑從基板去除之均一性。陶瓷擋板亦容易脆裂,且因而,甚至會在例行之清潔與處理操作期間斷裂。再者,石英擋板為消耗性組件,亦即其在光阻劑剝離室內之性能會隨著連續性使用而退化。
有鑑於在光阻劑剝離室內使用陽極化鋁與陶瓷材料擋板之上述相關缺點,因此已作進一步研究以發展出供使用於光阻劑剝離室內之不同材料擋板。由於諸此研究,竟意外地確定"裸鋁"擋板可用於光阻劑剝離室內,且無陽極化鋁與陶瓷材料擋板之上述缺點。如本文所述,"裸鋁"一詞係指一具有"天然"外氧化物層之鋁或鋁合金材料,或是此一鋁或鋁合金材料具有一由本文內所述之方法實施例形成之薄外氧化鋁層。如本文所述,一"薄"外氧化鋁層較佳具有一大約50埃至300埃厚度,最佳為大約50埃至100埃。當在常溫下曝露於一含氧之環境時,天然氧化鋁層會自然形成於鋁材料上。本文所用之"裸鋁"一詞並不包括含有一陽極化氧化鋁層之陽極化鋁材料。
圖1說明一光阻劑剝離室10之示範性實施例,裸鋁板50之一較佳實施例係安裝於其內。光阻劑剝離室10包括一側壁12、一底壁14及一頂蓋16。光阻劑剝離室10之壁12、14及頂蓋16可為任意適當之材料,例如陽極化鋁、或裸鋁。頂蓋16較佳為藉由鉸鏈而樞接於側壁12,以供頂蓋16開啟而通達光阻劑剝離室10內部,可將裸鋁板50去除以便清潔或更換,或為了其他目的。光阻劑剝離室10包括設於底壁14內之真空孔18。
光阻劑剝離室10亦包括一基板支撐件20,可在光阻劑剝離期間供一半導體基板22安裝於其上,例如一晶圓。基板22包括一光阻劑,其係在光阻劑剝離過程期間提供一遮蔽層,以保護基板22之下層。該等下層可為傳導性、絕緣性及/或半導體性材料。基板支撐件20較佳為包含一適用於夾持基板22之靜電式夾頭。基板支撐件20較佳為包括一加熱器,例如一電阻式加熱元件,其適用於在光阻劑剝離過程期間將基板22維持於一適當溫度,較佳為大約200℃至300℃,最佳為大約250℃至300℃。基板22可以通過一提供於側壁12內之基板進入孔26而送入與移離光阻劑剝離室10。例如,基板22可以在真空下從一鄰近於該光阻劑剝離室之蝕刻室轉移至光阻劑剝離室10內部。
在該實施例中,一遠端之電漿源30係經配置以供流體流通於光阻劑剝離室10。電漿源30可在操作上產生電漿,及通過一連接於光阻劑剝離室10之通道32而供給反應物至光阻劑剝離室10內部。該反應物將該光阻劑從被支撐於基板支撐件20上之基板22去除。電漿源30之所示實施例包括一遠端之能源34及一剝離氣體源36。能源34可為任意適當之源,且較佳為一微波產生器。包括一微波產生器在內之示範性裝置可取自加州弗利蒙市之Lam Research公司。在一較佳實施例中,微波產生器係以2.45 GHz頻率操作,且較佳為具有一在大約500至1500瓦範圍內之功率,最佳為大約1000至1500瓦範圍。以箭頭38表示之微波係由微波產生器34產生,且傳送通過一波導40而進入通道32。
氣體源36可在操作上將箭頭42所示之製程氣體供給至通道32內,該氣體在此處被能源34所產生之微波激勵成電漿狀態。反應物通過一開孔44而進入光阻劑剝離室10內部。
在反應物流至基板22上且將光阻劑剝離之前,反應物係由一設置於頂蓋16與基板支撐件20之間之裸鋁板50施配於光阻劑剝離室10內。在光阻劑剝離期間,基板22較佳由一設置於基板支撐件20內之加熱器加熱。光阻劑剝離期間所產生之廢棄物則透過排放孔18以泵出光阻劑剝離室10外。
如圖2所示,裸鋁板50較佳為一圓形、單體式裸鋁。光阻劑剝離室10較佳呈圓筒狀,以利單一晶圓處理。當被裝設在一圓筒狀之光阻劑剝離室10內時,裸鋁板50較佳具有一大於光阻劑剝離室10內部寬度之直徑,該寬度例如為直徑,以致使該擋板可以由側壁12支撐。裸鋁板50包括一備有一突出中央部分52之內部,該中央部分設有一上表面54及貫穿之通道56。在裸鋁板50之揭示實施例中,中央部分52包括6個沿周側間隔之通道56。在其他實施例中,通道56之數量可以較多或較少於6個。在本實施例中,通過通道32之紫外線(UV)輻射係在一大致垂直於該上表面之方向中撞擊於上表面54。通道56較佳為相對於上表面54而以一銳角定向,以防止UV輻射之直接視線通過裸鋁板50。因此,UV輻射係自上表面54與通道56壁面反射,使其不致於損傷基板22。
裸鋁板50亦包括配置於中央部分52與一周邊部分60間之貫穿通道58。通道58係適應於依一要求流動模式將反應物施配至光阻劑剝離室10內部。如圖2所示,通道58較佳為同中心配置之孔列形式。通道58較佳具有一圓形截面,且較佳為沿裸鋁板50之放射方向朝外之方向中從中央部分52增大截面尺寸(例如直徑)至周邊部分60。
如圖2所示,裸鋁板50之周邊部分60包括一凸緣62,其具有沿周側間隔之孔64,用於容納固接件66,例如螺栓(圖1),以將裸鋁板50接附於光阻劑剝離室10之側壁12之頂表面68。裸鋁板50可以自側壁12卸離及自光阻劑剝離室10去除,以利於必要時處理或更換該裸鋁板。
裸鋁板50係鋁或一鋁合金,例如6061鋁,其包含大約96至99重量百分比之鋁、大約0.8至1.2%之鎂、大約0.4至0.8%之矽、銅、鉻,及選項性之鐵、錳、鋅及/或鈦。
一內襯70係適應於被支撐在裸鋁板50之上表面72上,以利於在光阻劑剝離過程期間將沉積於頂蓋16之底表面上之材料最少化。周側間隔物65係提供於上表面72上,以支撐內襯70及在其間形成一空間74(如圖1)。間隔物65可為任意適當之材料,且較佳為"鐵弗龍"。內襯70包括一中央定位之通道44,供反應物從通道32通過此處而進入空間74。內襯70較佳由裸鋁構成,例如6061鋁。
裸鋁板50可為一未曾用於一光阻劑剝離室內之"新"擋板且包括一天然之氧化鋁外層,或是一"舊"擋板,亦即一在先前已用於一光阻劑剝離室內,且包括一天然之氧化鋁外層或一由本文內所述方法實施例形成之薄氧化鋁外層。此"新"及"舊"裸鋁板皆可利用本文內所述之方法處理,以產生一薄氧化鋁外層。易言之,"舊"裸鋁板可以藉由實施本文內所述之方法而復原。包括一薄氧化鋁外層在內之"復原"裸鋁板可以重新裝設於光阻劑剝離室內,及重新用於光阻劑剝離處理。
如上所述,包括一天然氧化鋁外層在內之新裸鋁板可以用於光阻劑剝離室內。天然氧化鋁外層較佳具有一大約25至75埃厚度。在新裸鋁板裝設於一光阻劑剝離室內之前,其較佳經過處理以將擋板製造上所生成之殘留汙染物去除,例如潤滑油。
根據該等裸鋁板之另一較佳實施例,包括一天然氧化鋁外層在內之新裸鋁板可以藉由將天然氧化鋁外層去除處理,因而僅留下以鋁為主之材料;及隨後在鋁材料之曝露表面上形成一薄氧化鋁外層。若經確定其具有不足以使用在一光阻劑剝離室內之性質,例如天然氧化鋁外層具有一不足之密度、厚度及/或均一性,則天然氧化鋁外層即被去除。將天然氧化鋁外層去除後所形成之氧化鋁外層較佳為一單層;較佳具有一大約50至300埃厚度,最佳為大約50至100埃;及較佳具有一至少為大約90%氧化鋁理論密度之密度,最佳為至少大約95%。據此,薄氧化鋁層具有比陽極化之氧化鋁層者小的孔隙度。同樣地,厚陽極化之氧化鋁層可能包括不想要之金屬間夾雜物,例如矽化鎂或矽化鎂鐵,此將降低其品質。
根據該等裸鋁板之另一較佳實施例,包括一天然氧化鋁外層在內之舊裸鋁板可以藉由一處理過程復原,該處理過程包括從擋板去除表面汙染物及天然氧化鋁外層,藉此僅留下以鋁為主之材料;及隨後在以鋁為主之材料之曝露表面上形成一薄氧化鋁外層。該氧化鋁外層較佳為一單層;較佳具有一大約50至300埃厚度,最佳為大約50至100埃;及較佳具有一至少為大約90%氧化鋁理論密度之密度,最佳為至少大約95%。
根據另一較佳實施例,包括一由本文內所述方法實施例形成之薄氧化鋁外層在內之舊裸鋁板可以經過處理以將氧化鋁外層上之汙染物去除且將氧化鋁外層本身亦去除,隨後在生成之以鋁為主之材料上形成一新的氧化鋁外層。必要時可以執行此處理,以容許該額外處理之裸鋁板在一光阻劑剝離室內重複使用。例如,該處理可以在確定出現光阻劑剝離率降低、通過晶圓之剝離不均一性、及/或在容置有裸鋁板之光阻劑剝離室內處理之基板上發生顆粒沉積時執行。該處理可以執行一或多次,亦即該等裸鋁板可以至少復原一次。
在另一較佳實施例中,該包含如所成之氧化鋁層之裸鋁板可經後處理以便從氧化鋁層移除微污染物、顆粒及瑕疵。
根據一較佳實施例,新及舊裸鋁板皆可利用一化學處理過程處理,該過程包括從新或舊裸鋁板去除表面汙染物及天然氧化鋁層、或從舊裸鋁板去除一先前形成之薄氧化鋁層及汙染物。該氧化鋁層被去除後,一薄氧化鋁層即形成於以鋁為主之材料上。依據包括光阻劑成分、基板之諸層成分、及用於將光阻劑自基板去除之製程氣體混合物在內之多項因素,沉積於裸鋁板之曝露表面上之汙染物可包括例如碳、鈦、四氟化鈦及三氟化鋁。該化學處理過程包含以下步驟:將表面汙染物及天然或先前形成之薄氧化鋁層去除,以曝露出以鋁為主之材料,及隨後在該以鋁為主之材料上形成一薄氧化鋁層。該化學處理過程較佳為亦包括以下步驟:將氧化鋁層去除後,將該以鋁為主之材料表面重新光製,及處理該裸鋁板之重新光製表面,以在形成薄氧化鋁層之前將汙染物去除。
根據該化學處理過程之一較佳實施例,一新或舊裸鋁板係經初期清潔以去除沉積物。此沉積物可包括從基板剝離之光阻材料之有機物質,以及其他物質,例如碳、鈦、四氟化鈦及三氟化鋁。該清潔較佳為包括先使用一適當之鹼性清潔液,例如可取用密西根州麥迪遜黑茨市Henkel Surface Technologies公司之Nova 120溶液。此溶液為一含有四溴酸鈉與專用衍生物之非矽酸鹽、鹼性清潔液。該裸鋁板較佳為浸泡在大約110℉至130℉溫度之該溶液內大約5至15分鐘,接著用水清洗該裸鋁板大約3至5分鐘,以將其溶液清除。
在該實施例中,該裸鋁板外表面較佳為隨後用一適當之鹼性蝕刻液蝕刻,例如取自Henkel Surface Technologies公司之Nova SC603B溶液。此溶液為一主要含有氫氧化鈉與專用衍生物之鹼性清潔液。該裸鋁板較佳為浸在大約110℉至130℉溫度之該溶液內大約30秒至2分鐘,接著用充分時間以水清洗該裸鋁板,以將溶液自該裸鋁板清除,典型上為大約5至10分鐘。清洗水較佳為超純水,其在大約周圍溫度下具有一至少15 Mohm-cm電阻係數。
在該實施例中,該裸鋁板外表面隨後使用一適當之溶液氧化,例如取自Henkel Surface Technologies公司之Nova 310A&B溶液。該裸鋁板較佳浸在該溶液內達到一充分時間以利自該裸鋁板去除外氧化鋁層,典型上為大約5至10分鐘。該溶液較佳為大約周圍溫度。該裸鋁板隨後被清洗,較佳使用超純水,且達到一充分時間以利去除該溶液,典型上為大約5至10分鐘。清洗後之裸鋁板例如使用乾淨之乾燥空氣或過濾之氮氣予以乾燥。
將該氧化鋁層去除後,該裸鋁板較佳被重新光製,以形成一可用在光阻劑剝離室內之要求表面粗度。例如,該重新光製表面粗度可為大約15至20微吋。該裸鋁板可以使用任意適當之研磨劑而重新光製,例如包括一氧化鋁研磨劑在內之研磨紙,例如一220砂粒之研磨紙。或者,較粗或較細之研磨紙亦可使用,其取決於該裸鋁板之要求表面精度。該裸鋁板可以在重新光製期間旋轉,以增進表面精度之均一性。該重新光製後之裸鋁板隨後被清洗,較佳使用超純水,且達到一充分時間以利將鬆釋之粒子自該裸鋁板表面去除,典型上為大約5至10分鐘。清洗後之裸鋁板例如使用乾淨之乾燥空氣或過濾之氮氣予以乾燥。
在該實施例中,重新光製後殘留在該裸鋁板上之汙染物即被去除;其較佳為先使用一適當之鹼性清潔液,例如Nova 120。該裸鋁板較佳為浸泡在大約110℉至130℉溫度之該溶液內大約5至15分鐘。該裸鋁板隨後被清洗,較佳使用超純水大約3至10分鐘,以將殘留之鹼性清潔液自該裸鋁板清除。
在鹼性液清潔步驟後,該裸鋁板係用一酸性清潔液清潔,以利在該裸鋁板上形成一氧化鋁層,其在此步驟完成後將繼續在空氣中生長。任意適當之酸性清潔液皆可使用。一較佳之酸性清潔液含有一大約0.25%磷酸與大約0.05%氫氟酸之混合物。該裸鋁板較佳為浸泡在一大約周圍溫度之酸性清潔液內大約1至3分鐘。該裸鋁板隨後被清洗,較佳使用超純水大約3至10分鐘,以將殘留之酸性清潔液自該裸鋁板清除。
在該實施例中,該裸鋁板較佳為隨後在一適當之乾淨環境中在超純水內以超音波清洗,較佳為一等級1000之乾淨室。水較佳為大約周圍溫度。在超音波清洗後,該裸鋁板較佳使用超純水清洗,且隨後例如使用乾淨之乾燥空氣或過濾之氮氣予以乾燥。
在另一較佳實施例中,新及/或舊裸鋁板皆可利用一過程處理,該過程包括去除表面汙染物及一天然外氧化鋁層或一先前形成之薄外氧化鋁層,及藉由一電拋光過程將一薄外氧化鋁層形成於該裸鋁板上。在該實施例中,汙染物及外氧化鋁層可以藉由上述步驟去除。
外氧化鋁層從該裸鋁板去除而將以鋁為主之材料曝露後,藉由將該裸鋁板放置於一含有適當酸性溶液之電拋光槽內,且較佳為至少含有磷酸,該裸鋁板即被電拋光。電拋光條件可經選擇,以產生一要求厚度,較佳為大約50至100埃。該氧化鋁層較佳具有一至少為大約90%氧化鋁理論密度之密度,最佳為至少大約95%。典型上,電拋光可以進行大約30秒至5分鐘,以產生一要求厚度之氧化鋁層。
在該實施例中,具有一外氧化鋁層之該裸鋁板較佳以去離子水清洗,及在一適當之乾淨環境中在超純水內以超音波清洗,較佳為一等級10,000或1000之乾淨室。該裸鋁板隨後使用氮氣或超純空氣予以乾燥。
由上述方法實施例形成之具有一天然氧化鋁外層或一薄氧化鋁外層的該裸鋁板即可用於光阻劑剝離室內,而不會造成基板如半導體基板者之金屬汙染、降低之光阻劑剝離率、或降低之光阻劑剝離均一性。該裸鋁板可以藉由蝕刻製程氣體以抗氧化及/或腐蝕,其包括氟化氣體。
相較於陶瓷材料與陽極化鋁之裸鋁板,該裸鋁板可以提供特定優點。特別是,該裸鋁板具有比陶瓷裸鋁板者高之熱傳導率,其可消除熱震問題及在裸鋁板內提供較佳之溫度均一性,依次可改善基板上之光阻劑剝離均一性。鋁亦比高純度之陶瓷材料低廉。相較於陽極化之鋁板,該裸鋁板具有一外氧化鋁層,其係一單層且比此陽極化鋁板之該等陽極化層薄及較高密度。
可以在光阻劑剝離室10內加工之一基板22之示範性實施例被揭示於圖4中。基板22係說明金屬蝕刻已完成後,但是在光阻劑剝離之前。在其他實施例中,其他層可以提供於所示諸層之上方、下方或其間。再者,並非圖4中之所有層皆需存在,某些或所有層可由其他不同層替代。
基板22包括一底基板102,其典型上為矽。一氧化物層104,例如二氧化矽,係形成於基板102上。一或多個障壁層106,例如鈦、氮化鈦、鎢化鈦或類此者,其可以形成於氧化物層104與一上方金屬層108之間。
金屬層108可以包含例如鎢、鋁或一鋁合金,諸如鋁-銅、鋁-矽、或鋁-銅-矽。基板22亦可包括一任意適當材料之抗反射塗料(ARC)層110,例如氮化鈦或鎢化鈦。一圖案化之光阻劑層112被提供於ARC層110上方。加工副產物120則揭示於該等壁面上。
用於形成遠端電漿之製程氣體包括氧,其被激發成一電漿狀態,使O2 解離成氧基與離子物,二者皆流入光阻劑剝離室10內部,且與基板22上之光阻劑層112反應(即氧化或"灰化")。光阻材料被剝離過程去除之速率稱為"剝離率"。該製程氣體可以有任意適當之成分,例如一含氧之氣體混合物,諸如O2 /N2 、O2 /H2 O、O2 /N2 /CF4 、或O2 /N2 /H2 O等氣體混合物。該氣體混合物較佳包含O2 、N2 、及一含氟之成分,例如CF4 或C2 F6 。N2 可被添入該氣體混合物內,以利相關於光阻材料而比較一第二材料例如一障蔽物及/或下方材料者更增進選擇性。本文所用相關於光阻材料而比較一第二材料者之"選擇性"一詞係指定義為光阻材料蝕刻率對第二材料蝕刻率之比。
以總氣體體積為例,較佳之氣體混合物可以含有大約40%至99%,理想為大約60%至95%,及最佳為大約70%至90%O2 ;大約0.5%至30%,理想為大約2.5%至20%,及最佳為大約5%至15%含氟氣體;及大約0.5%至30%,理想為大約2.5%至20%,及最佳為大約5%至15%N2 。在光阻劑剝離期間,該製程氣體之總流動率較佳在大約500至6000 sccm範圍內,理想在大約2000至5000 sccm,且光阻劑剝離室10內之壓力較佳在大約200毫托至10托範圍內。
本發明已參考較佳實施例說明於前。惟,習於此技者可以瞭解的是在不脫離本發明範疇下,仍可用上述者以外之特定形式具體實施本發明。較佳實施例係闡釋性,不應被視為侷限性,本發明之範疇係由文後之請求項所定,而非前述說明,且在請求項範圍內之諸此變化與等效技術皆應被包括在本發明範疇內。
10...光阻劑剝離室
12...側壁
14...頂蓋
18...真空孔
20...基板支撐件
22...半導體基板
26...基板進入孔
30...電漿源
32、56、58...通道
34...能源/微波產生器
36...剝離氣體源
38...微波
40...波導
42...製程氣體
44...開孔
50...鋁裸板
52...中央部分
54、72...上表面
60...周邊部分
62...凸緣
64...周側間隔孔
65...周側間隔物
66...固接件
68...頂表面
70...內襯
74...空間
102...底基板
104...氧化物層
106...障壁層
108...金屬層
110...抗反射塗料層
112...光阻層
120...加工副產物
圖1說明一包括一裸鋁板較佳實施例在內之光阻劑剝離室之實施例。
圖2說明裸鋁板之一較佳實施例。
圖3說明一定位於圖2所示裸鋁板上之內襯。
圖4說明一可在圖1所示光阻劑剝離室內加工之基板之實施例。
10...光阻劑剝離室
12...側壁
14...底壁
16...頂蓋
18...真空孔
20...基板支撐件
22...半導體基板
26...基板進入孔
30...電漿源
32、58...通道
34...能源/微波產生器
36...剝離氣體源
38...微波
40...波導
42...製程氣體
44...開孔
50...鋁裸板
52...中央部分
62...凸緣
66...固接件
68...頂表面
70...內襯
74...空間

Claims (20)

  1. 一種適應於一電漿加工裝置之裸鋁板,該裝置包含一光阻劑剝離室及一可在操作上將反應物供給至該光阻劑剝離室內之遠端電漿源,其中該板係構形為由該光阻劑剝離室之一側壁支撐且形成該光阻劑剝離室之一頂壁,該裸鋁板具有一裸鋁及一突出中央突起,該突出中央突起包括一上表面以及自該上表面延伸並相對於該上表面而以一銳角定向之複數個貫穿通道,以致使該等貫穿通道沿放射方向朝外延伸,且該板進一步包括位於不同於該突出中央突起之一部分中並用於施配該反應物之若干貫穿氣體通道。
  2. 如請求項1之裸鋁板,包含一外氧化鋁層,其形成該裸鋁板之外表面,且具有一大約50至300埃厚度及一至少為大約90%氧化鋁理論密度之密度。
  3. 如請求項2之裸鋁板,其中該外氧化鋁層具有一大約50至100埃厚度及一至少為大約95%氧化鋁理論密度之密度。
  4. 如請求項2之裸鋁板,其中該外氧化鋁層係一天然氧化鋁層,其具有一大約25至75埃厚度。
  5. 如請求項1之裸鋁板,包含一內部分及一周邊部分,其中該內部分包括該中央突起及複數個圍繞於該中央突起之同中心配置式氣體通道列,且該周邊部分包括一具有複數個孔之凸緣,其適應於接納固接件,以將該板接附於該側壁。
  6. 如請求項1之裸鋁板,其中該裸鋁板係適應於將一裸鋁內襯支撐在該裸鋁板之一上表面上之複數個內襯支撐件上,以致使當該裸鋁板被支撐在該側壁上時,該內襯鄰近於該光阻劑剝離室之一蓋件且一空間界定於該內襯之一底表面與該裸鋁板之一上表面之間,該空間係供流體流通於該遠端電漿源與該光阻劑剝離室。
  7. 如請求項1之裸鋁板,其係一鋁合金。
  8. 如請求項1之裸鋁板,其係呈圓形且具有一較大於該光阻劑剝離室內部寬度之直徑,因此當該板被支撐在該光阻劑剝離室之該側壁上時,該板之一周邊部分即疊覆於該側壁。
  9. 一種光阻劑剝離裝置,其包含:一光阻劑剝離室;一遠端電漿源,其可操作以產生一電漿及將反應物導送入該光阻劑剝離室;及一如請求項1之裸鋁板,其係由該光阻劑剝離室之一側壁支撐且形成該光阻劑剝離室之一頂壁。
  10. 如請求項9之光阻劑剝離裝置,其中該遠端電漿源包括一微波產生器,其適應於放射微波,以將一製程氣體激發成電漿狀態。
  11. 一種在一光阻劑剝離室內從一基板剝離光阻劑之方法,該方法包含:遠從該光阻劑剝離室將一製程氣體激發成電漿狀態,及將反應物供給至該光阻劑剝離室內,其中一包括一光 阻劑在內之半導體基板被支撐在一基板支撐件上,該光阻劑剝離室包括一側壁及一如請求項1之裸鋁板,該裸鋁板係由該側壁支撐且形成該光阻劑剝離室之一頂壁;及將該反應物通過該板內之氣體通道而施配至該基板上,以利於將該光阻劑從該基板去除。
  12. 如請求項11之方法,其中該板包含一外氧化鋁層,其具有一大約50至300埃厚度及一至少為大約90%氧化鋁理論密度之密度。
  13. 如請求項11之方法,其中該板包含一天然氧化鋁外層,其具有一大約25至75埃厚度。
  14. 如請求項11之方法,其中該製程氣體包含氧及氟。
  15. 一種處理如請求項1之裸鋁板的方法,其包含:a)以一化學溶液處理一具有一第一外氧化鋁層之裸鋁板,該化學溶液可以從該板有效去除汙染物及該第一外氧化鋁層,以曝露出鋁材;及b)將一第二外氧化鋁層形成於該鋁材上,該第二外氧化鋁層具有一大約50至300埃厚度及一至少為大約90%氧化鋁理論密度之密度。
  16. 如請求項15之方法,其中該第二外氧化鋁層具有一大約50至100埃厚度及一至少為大約95%氧化鋁理論密度之密度。
  17. 如請求項15之方法,其中該第一外氧化鋁層係一天然氧化鋁層。
  18. 如請求項15之方法,尚包含在步驟a)及b)之間將該裸鋁 板之表面重新光製。
  19. 如請求項15之方法,其中該第二外氧化鋁層係藉由一電拋光過程而形成於該鋁材上。
  20. 如請求項15之方法,其中該鋁材係一鋁合金。
TW094120703A 2004-06-24 2005-06-21 用於光阻劑剝離室之鋁裸板 TWI466170B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/874,566 US20050284573A1 (en) 2004-06-24 2004-06-24 Bare aluminum baffles for resist stripping chambers

Publications (2)

Publication Number Publication Date
TW200612480A TW200612480A (en) 2006-04-16
TWI466170B true TWI466170B (zh) 2014-12-21

Family

ID=35504326

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094120703A TWI466170B (zh) 2004-06-24 2005-06-21 用於光阻劑剝離室之鋁裸板

Country Status (4)

Country Link
US (4) US20050284573A1 (zh)
KR (1) KR101117054B1 (zh)
CN (1) CN1713078B (zh)
TW (1) TWI466170B (zh)

Families Citing this family (346)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050284573A1 (en) 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
TWI329136B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US8128750B2 (en) 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
KR100997104B1 (ko) 2008-07-04 2010-11-29 주식회사 테스 반도체 제조용 샤워헤드 및 이 샤워헤드를 구비한 반도체제조장치
US20100025370A1 (en) * 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
JP5268626B2 (ja) * 2008-12-26 2013-08-21 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101450015B1 (ko) 2009-09-25 2014-10-13 어플라이드 머티어리얼스, 인코포레이티드 유도 결합 플라즈마 반응기에서의 고효율 가스 해리 방법 및 장치
CN102468217B (zh) * 2010-11-03 2014-06-04 中芯国际集成电路制造(上海)有限公司 接触孔的形成方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US9859145B2 (en) 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
GB2534878A (en) * 2015-02-02 2016-08-10 Isis Innovation Improvements in fluid storage systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN106972139B (zh) * 2017-03-10 2023-05-30 深圳中兴新材技术股份有限公司 一种聚烯烃微孔膜亲水性改性的方法及装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US20190006154A1 (en) * 2017-06-28 2019-01-03 Chaolin Hu Toroidal Plasma Chamber
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309814A (zh) * 2019-07-26 2021-02-02 上海先进半导体制造股份有限公司 等离子体设备、等离子体设备的腔体及其中心圈结构
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6117794A (en) * 1998-01-16 2000-09-12 Lucent Technologies, Inc. Method for improved metal oxide bonding of optical elements
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US20030066607A1 (en) * 2000-01-20 2003-04-10 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US20030150530A1 (en) * 2002-02-08 2003-08-14 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2567877A (en) * 1947-07-11 1951-09-11 Ment Jack De Electrochemical bonding of aluminum with other materials
US3766030A (en) * 1971-12-27 1973-10-16 Muroc Prod Corp Method of electropolishing
US3970529A (en) * 1975-04-30 1976-07-20 Oxy Metal Industries Corporation Electropolishing aluminum and aluminum alloys
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US5268034A (en) * 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5411607A (en) * 1993-11-10 1995-05-02 Novamax Technologies Holdings, Inc. Process and composition for sealing anodized aluminum surfaces
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US6025862A (en) 1995-01-03 2000-02-15 Eastman Kodak Company Accent color image forming method and apparatus
US5635278A (en) * 1995-02-03 1997-06-03 Minnesota Mining And Manufacturing Company Scratch resistant optical films and method for producing same
US6083451A (en) * 1995-04-18 2000-07-04 Applied Materials, Inc. Method of producing a polycrystalline alumina ceramic which is resistant to a fluorine-comprising plasma
US5792672A (en) * 1996-03-20 1998-08-11 Chartered Semiconductor Manufacturing Ltd. Photoresist strip method
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5819434A (en) * 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
JPH10167859A (ja) * 1996-12-05 1998-06-23 Ngk Insulators Ltd セラミックス部品およびその製造方法
US6080680A (en) * 1997-12-19 2000-06-27 Lam Research Corporation Method and composition for dry etching in semiconductor fabrication
KR20000024902A (ko) * 1998-10-02 2000-05-06 윤종용 웨이퍼 식각 장치
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
JP4849705B2 (ja) * 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6461974B1 (en) * 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
US6579439B1 (en) * 2001-01-12 2003-06-17 Southern Industrial Chemicals, Inc. Electrolytic aluminum polishing processes
JP2003158127A (ja) * 2001-09-07 2003-05-30 Arieesu Gijutsu Kenkyu Kk 成膜方法、成膜装置、及び半導体装置
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6693043B1 (en) * 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US6844082B2 (en) * 2003-04-28 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate with anodized alumnium coating
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
JP2005064037A (ja) * 2003-08-12 2005-03-10 Shibaura Mechatronics Corp プラズマ処理装置及びアッシング方法
US20050056546A1 (en) * 2003-09-17 2005-03-17 Kia Sheila Farrokhalaee Aluminum vehicle body
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US20050217585A1 (en) * 2004-04-01 2005-10-06 Blomiley Eric R Substrate susceptor for receiving a substrate to be deposited upon
US20050284573A1 (en) 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6117794A (en) * 1998-01-16 2000-09-12 Lucent Technologies, Inc. Method for improved metal oxide bonding of optical elements
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6647993B2 (en) * 1998-07-13 2003-11-18 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US20030066607A1 (en) * 2000-01-20 2003-04-10 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US20030150530A1 (en) * 2002-02-08 2003-08-14 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus

Also Published As

Publication number Publication date
US20100319813A1 (en) 2010-12-23
US20080178906A1 (en) 2008-07-31
US7811409B2 (en) 2010-10-12
US8859432B2 (en) 2014-10-14
US8313635B2 (en) 2012-11-20
US20050284573A1 (en) 2005-12-29
KR101117054B1 (ko) 2012-02-22
CN1713078A (zh) 2005-12-28
TW200612480A (en) 2006-04-16
CN1713078B (zh) 2011-04-13
US20130056022A1 (en) 2013-03-07
KR20060049704A (ko) 2006-05-19

Similar Documents

Publication Publication Date Title
TWI466170B (zh) 用於光阻劑剝離室之鋁裸板
JP6737899B2 (ja) プラズマ処理チャンバでのインシトゥチャンバ洗浄効率向上のためのプラズマ処理プロセス
JP5390846B2 (ja) プラズマエッチング装置及びプラズマクリーニング方法
TWI462174B (zh) 具有改良的粒子表現之主動加熱鋁擋板元件及使用方法及其製造方法
US7402523B2 (en) Etching method
US7662723B2 (en) Methods and apparatus for in-situ substrate processing
EP2913845A1 (en) Cleaning method for plasma processing apparatus
WO2001004936A1 (en) Method of cleaning a semiconductor device processing chamber after a copper etch process
WO2006057236A1 (ja) 基板処理方法および半導体装置の製造方法
JP2006128485A (ja) 半導体処理装置
JP2011054825A (ja) チャンバ内クリーニング方法
JP2010520646A (ja) 誘電体カバーを伴うエッジ電極
EP1827871A2 (en) Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
JP4077241B2 (ja) 半導体装置の製造方法
JP2007080850A (ja) プラズマアッシング方法
US20120108072A1 (en) Showerhead configurations for plasma reactors
US11515195B2 (en) Semiconductor chamber components with high-performance coating
JP2010003807A (ja) 半導体装置の製造方法
US20190323127A1 (en) Texturing and plating nickel on aluminum process chamber components
US20050161435A1 (en) Method of plasma etching
TW201618156A (zh) 電漿處理裝置及電漿處理方法
TWI398914B (zh) 傾斜電漿處理以強化潮濕緣部之清理
JPH07106300A (ja) 静電チャック装置
US20230290616A1 (en) Semiconductor chamber components with multi-layer coating
JP2006196833A (ja) 半導体製造装置及び半導体装置の製造方法