TWI443775B - 半導體構造及於開口內提供導電材料之方法 - Google Patents

半導體構造及於開口內提供導電材料之方法 Download PDF

Info

Publication number
TWI443775B
TWI443775B TW100128399A TW100128399A TWI443775B TW I443775 B TWI443775 B TW I443775B TW 100128399 A TW100128399 A TW 100128399A TW 100128399 A TW100128399 A TW 100128399A TW I443775 B TWI443775 B TW I443775B
Authority
TW
Taiwan
Prior art keywords
copper
containing material
metal
openings
cobalt
Prior art date
Application number
TW100128399A
Other languages
English (en)
Other versions
TW201216410A (en
Inventor
Dale W Collins
Joe Lindgren
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW201216410A publication Critical patent/TW201216410A/zh
Application granted granted Critical
Publication of TWI443775B publication Critical patent/TWI443775B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)

Description

半導體構造及於開口內提供導電材料之方法
本發明係關於半導體構造及於開口內提供導電材料之方法。
製造積體電路可涉及跨越半導體基板形成導電線。可利用鑲嵌製程形成該等線。圖1至3圖解說明實例性先前技術鑲嵌製程。
參照圖1,半導體構造10包括基底12、及在基底上方所形成之電絕緣材料14。基底可包括單晶矽。絕緣材料14可包括(例如)以下中之一或多者:二氧化矽、氮化矽、及各種摻雜氧化矽(例如,硼磷矽酸鹽玻璃(BPSG)、磷矽酸鹽玻璃(PSG)、氟矽酸鹽玻璃(FSG)等)中之任一者。絕緣材料14可為均質的(如圖所示),或可在離散層中包括多種材料。
形成複數個延伸至材料14中之開口16至18。該等開口可藉由以下方式來形成:利用圖案化光阻劑遮罩(未顯示)界定開口之位置,利用一或多種蝕刻劑將開口延伸至該等位置中,且然後移除光阻劑遮罩以留下在圖1中所顯示之結構。
參照圖2,在開口內提供材料20及22以襯砌開口,且然後用銅24填充所襯砌開口。
材料20可包括氮化鈦、氮化鉭、鉭/釕、鉭、或氧化鈦,且可用作障壁以封阻銅擴散。
材料22可包括(例如)釕及氮,或作為另一實例可由釕組成。材料22可以層片(stratum)形式用於黏附隨後沈積之銅24。
參照圖3,使構造10經受拋光處理(例如,化學-機械拋光)以在開口16至18內自材料20、22及24形成複數個電隔離導線25至27。
在圖3中所顯示之導線25至27係理想化形式,其中銅24完全且實質上均勻地填充開口16至18中之每一者。在實踐中,在試圖用銅實質上均勻地填充開口時可能會遇到困難,在開口隨著整合程度變高而逐漸變窄時尤其如此。
圖4圖解說明在開口16至18內形成銅24期間可能出現的問題。具體而言,銅未均勻地沈積於開口內,並因而在一些開口中形成空隙28。如圖所示,空隙可具有不同大小,且開口內最終形成之各個導線之導電性因此將各不相同。該導電性之不均勻性可損害或破壞包括該等導線之積體電路之可操作性及/或可靠性。因而,期望研發製造導電線之經改良方法。
在一些實施例中,利用銅來填充窄開口。可使銅經受在銅內產生足夠移動性之條件(例如,表面擴散)以使銅能夠流入開口中。在特定實施例中,開口可足夠窄以產生幫助將銅帶入開口中之毛細管力。可相對於銅之移動性達成平衡(例如,其可係表面傳輸型機制),以使銅具有足夠動態性以流入開口中,且具有足夠靜態性(或受約束)以避免凝聚。
參照圖5至16闡述一些實例性實施例。
參照圖5,顯示半導體構造30包括基底32、及在基底上方之電絕緣材料34。基底32及電絕緣材料34可分別包含與上文所論述之基底12及電絕緣材料14相同之組成。
在一些實施例中,基底32可包括單晶矽、基本上由其組成、或由其組成,且可稱為半導體基板,或稱為半導體基板之一部分。術語「半導電基板」、「半導體構造」及「半導體基板」意指任一包括半導電材料之構造,該半導電材料包含(但不限於)諸如半導電晶圓等體半導電材料(單獨或在包括其他材料之總成中)、及半導電材料層(單獨或在包括其他材料之總成中)。術語「基板」係指任一支撐結構,包含(但不限於)上文所闡述之半導電基板。雖然顯示基底32為均質的,但在一些實施例中基底可包括許多層。例如,基底32可對應於與積體電路製造相關之含有一或多個層的半導體基板。在該等實施例中,該等層可對應於耐火金屬層、障壁層、擴散層、絕緣體層等中之一或多者。
開口40至42延伸至電絕緣材料34中。該等開口可利用與上文參照圖1所論述用於形成開口16至18之相同製程來形成。
視情況在開口內提供障壁材料36以襯砌開口。障壁材料可包括上文參照圖2之障壁材料20所論述之組成中之任一者。
在障壁材料36上方提供襯墊38。襯墊38係包括釕及鈷中之一或兩者之含金屬材料。在一些實施例中,襯墊可基本上由釕及鈷中之一或兩者組成,或由其組成。在其他實施例中,襯墊可包括一或多種與釕及鈷中之一或兩者形成合金之過渡金屬;且可包括(例如)與釕及鈷中之一或兩者形成合金之鉭、基本上由其組成、或由其組成。在一些實施例中,襯墊可包括氮與釕及鈷中之一或兩者之組合。
參照圖6,跨越構造30並於開口40至42內沈積含銅材料44。含銅材料可包括銅、基本上由其組成、或由其組成。顯示在形成具有如下構形之材料之條件下沈積含銅材料:不均勻填充開口40至42,且在一些開口(具體而言,在所圖解說明實施例中係開口40及41)中留下空隙及/或凹陷。在一些實施例中,含銅材料44可視為圖6中處理階段之含銅塗層,其中該塗層不均勻地填充開口40至42。
較佳在維持含銅材料內之較小粒度(具體而言,粒度小於或等於約四分之一間距)之條件下沈積該材料以避免不期望之表面粗糙度。例如,可在維持構造30及所沈積含銅材料之溫度低於或等於約40℃時物理氣相沈積含銅材料。物理氣相沈積可利用任一用於自靶濺射銅之適當技術,例如,利用自電離電漿。物理氣相沈積可在適宜條件下實施適宜持續時間,以跨越構造30之上表面形成含銅材料44之連續層。
參照圖7,可使含銅材料44經受熱條件,其使得該材料能夠跨越構造30回流,且因而使得能用含銅材料實質上均勻地填充開口40至42。含銅材料之熱處理可係退火,其使含銅材料達到在約180℃至約600℃範圍內之溫度(在一些實施例中,在約180℃至約450℃之範圍內,且在一些實施例中,在約350℃至約450℃之範圍內),且其將含銅材料在該溫度下維持適宜持續時間以使含銅材料能夠回流至開口中。實例性持續時間可係至少約1秒至小於或等於約30秒(例如,約20秒)。回流銅可視為採取第二構形,其較圖6之第一構形在開口40至42中更均勻。具體而言,當含銅材料回流以填充開口時,將圖6開口40及41中之空隙(或凹陷)自開口排出。
雖然圖6及7闡述單一沈積/退火順序將開口40至42填充至期望均勻性之實施例,但在其他實施例中,可實施兩個或更多個沈積/退火順序以將開口填充至期望均勻性。因此,圖6及7之處理可視為處理順序之單一反覆,且可將該反覆重複適當次數以使含銅材料形成期望厚度及均勻性。在一些實施例中,至少一次反覆之溫度、時間、及/或一或多個其他條件可相對於另外至少一次反覆有所不同。在利用兩個或更多個沈積/退火順序之實施例中,可將基板上之含銅材料維持在約180℃至約250℃之溫度範圍內。
圖5至7之處理之優勢在於,隨著開口變得更窄,該處理對於填充開口可變得愈來愈有效。具體而言,該處理可利用窄開口內之毛細管力來幫助將回流之含銅材料抽吸至開口中。因此,除可用於填充目前半導體製程之窄開口以外,圖5至7之方法可容易地應用於填充在較當前製程具有更高整合程度之未來製程中所用之更窄開口。
參照圖8,使構造30經受平面化以在開口40至42內自導電材料36、38及44形成導電線50至52。平面化可包括任一適宜處理,例如化學-機械拋光(CMP)。
雖然圖5至8之實例性處理利用單獨之熱條件用於含銅材料之沈積(圖6)及含銅材料之退火(圖7),但在其他實施例中,可在足夠熱之熱條件下實施沈積以同時實現退火。例如,可在維持所沈積含銅材料之溫度大於100℃之條件下實施含銅材料之沈積。在一些實施例中,該所沈積含銅材料之溫度可在約180℃至約600℃之範圍內;且在特定實施例中可在約300℃至約600℃之範圍內、在約300℃至約450℃之範圍內、或在約500℃至約600℃之範圍內。可將沈積溫度(即,所沈積銅之溫度;其可藉由控制沈積銅之基板之溫度來控制)維持在適宜程度以在含銅材料內維持適宜表面移動性,以使含銅材料達成期望回流,而不會引起材料之凝聚。
若在足夠高溫度下實施沈積,則可省略圖7之單獨退火。替代地,含銅材料可同時沈積及回流,以便材料形成期望之均勻構形。
在一些實施例中,可實施高溫沈積/退火(即,在大於100℃之溫度下之沈積/退火)以在襯墊(例如圖5之襯墊38)上直接形成銅。在其他實施例中,可首先在低溫條件下(例如,在一些實施例中,在所沈積銅之溫度低於或等於0℃之條件下)在襯墊上方形成薄銅晶種層,且然後可實施高溫沈積/退火以在銅晶種層上形成銅。
在一些實施例中,使用間歇性電漿脈衝來實施含銅材料之沈積,以達成溝槽或其他空腔之實質上均勻之填充。具體而言,在所沈積材料之適宜溫度(例如,約400℃)下利用電漿濺射沈積含銅材料;且然後熄滅(即,「消滅」)電漿,同時將所沈積含銅材料之適宜高溫維持足夠持續時間(例如,約15秒),以允許含銅材料表面擴散至溝槽、開口或其他類型之空腔中。表面擴散可允許銅填充空腔,而不會在空腔之頂部夾斷(pinching off)(亦稱為「頸縮(necking off)」)。在空腔頂部之夾斷可在空腔內形成空隙,因此,避免夾斷可緩和、或甚至預防空隙形成。濺射沈積及隨後之電漿消滅可視為用於在空腔內形成含銅材料之製程之單一反覆。可實施多次反覆以將空腔填充至期望程度。
在含銅材料之高溫沈積期間可能出現之困難係,若沈積發生過快或過慢,則材料可能具有較大粒度。該較大粒度可干擾含銅材料之一致回流並導致含銅材料之凝聚,而非均勻填充開口40至42。在一些實施例中,當將沈積室內之溫度維持在約180℃至約450℃之範圍內時,以約20埃/秒至約50埃/秒之速率沈積含銅材料。
可利用任一適宜製程實施沈積。實例性製程包括自含銅靶濺射材料。在一些實施例中,可期望利用具有相對低之濺射速率之沈積製程,其中實例性製程利用稀有氣體(例如氬)或另一惰性物質以自濺射靶濺射含銅材料。
圖9顯示可用於一些實施例中之實例性物理氣相沈積(PVD)裝置56。將含銅靶58保持於裝置之反應室內,並提供於半導體基板30上方。在作業中,自靶濺射含銅材料60,並將該含銅材料沈積於基板30之上表面上方。自靶濺射含銅材料60可使用許多技術中之任一者來實現,包含(例如)利用自電離電漿以自靶移出材料及/或利用惰性氣體以自靶移出材料。可於反應室周圍提供溫度控制設備(未顯示)以使得能夠控制所沈積含銅材料之溫度;且可利用控制溫度之卡盤來支撐基板30,以便可在PVD製程期間準確控制基板30之溫度。
如上文參照圖5所論述,一些實施例包含利用金屬氮化物用於襯墊38。圖10至13顯示形成並利用含氮材料用於襯墊之實例性實施例。
參照圖10,顯示處於與圖5類似之處理階段之半導體構造30a。該構造包含基底32、絕緣材料34、障壁層36及襯墊38。在一些實施例中,圖10之襯墊38可包括釕及鈷中之一或兩者、基本上由其組成、或由其組成。在其他實施例中,圖10之襯墊38可包括一或多種過渡金屬(例如鉭)與釕及鈷中之一或兩者之組合、基本上由其組成、或由其組成。
參照圖11,將襯墊38暴露於含氮組合物70以使襯墊材料氮化。含氮組合物70可係任一適宜組合物,且在一些實施例中,可包括NH3 、NH2 、及N2 中之一或多者。在一些實施例中,含氮組合物可與另一組合物組合使用。例如,NH3 可與O2 組合使用;且N2 可與H2 組合使用。
襯墊材料之氮化可將部分或全部襯墊轉化成含有金屬及氮之組合物。在一些實施例中,氮化襯墊可含有氮與鈷及釕中之一或兩者之組合。在一些實施例中,氮化襯墊可含有氮與過渡金屬(例如鉭)及鈷及釕中之一或兩者之組合。
參照圖12,將含銅材料44沈積於開口40至42內。該沈積可利用任一適宜方法,且在一些實施例中可利用上文參照圖5至9所論述方法中之一者。在一些實施例中,使襯墊38內之金屬氮化可有利於使銅均勻回流至開口40至42中。
參照圖13,使構造30a經受平面化以形成電隔離線50至52。該等線中之每一者包括含銅材料44(在一些實施例中,其可由銅組成),其直接抵靠含氮材料38(在一些實施例中,其可由氮與釕及鈷中之一或兩者之組合組成;且在一些實施例中,可由氮及一或多種過渡金屬與釕及鈷中之一或兩者之組合組成)。
雖然將圖10至13之含氮襯墊闡述為藉由將含金屬襯墊暴露於氮而形成,但在其他實施例中,可利用ALD、CVD及PVD中之一或多者直接沈積含氮襯墊。例如,含氮襯墊可包括氮與釕及鈷中之一或兩者之組合、基本上由其組成、或由其組成,且可藉由ALD、CVD及/或PVD直接沈積。在其他實施例中,含氮襯墊可包括氮及一或多種過渡金屬與釕及鈷中之一或兩者之組合、基本上由其組成、或由其組成,且可藉由ALD、CVD及/或PVD直接沈積。
在襯墊38包括一或多種與釕及鈷中之一或兩者形成合金之過渡金屬的應用中,可期望省略障壁36。具體而言,襯墊38可能能夠達成作為銅遷移之障壁及作為保持含銅材料之層片之兩種功能。
圖14至16圖解說明省略障壁36(圖5)之實例性實施例。圖14顯示處於與圖5類似之處理階段之半導體構造30b。構造30b包含上文參照圖5所論述之基底32及絕緣材料34。開口40至42延伸至絕緣材料34中。襯墊80跨越絕緣材料34之上表面及在開口40至42內延伸,其中該襯墊襯砌該等開口之側壁。襯墊80可包括與釕及鈷中之一或兩者形成合金之過渡金屬(例如鉭)、基本上由其組成、或由其組成。在一些實施例中,襯墊80可包括氮及一或多種過渡金屬(例如鉭)與釕及鈷中之一或兩者之組合、基本上由其組成、或由其組成。
襯墊80直接抵靠電絕緣材料34。在一些實施例中,電絕緣材料34可係含氧化矽材料(例如,二氧化矽、BPSG、PSG、FSG等),且襯墊80可直接抵靠該含氧化矽材料。
參照圖15,將含銅材料44沈積於開口40至42內。該沈積可利用任一適宜方法,且在一些實施例中可利用上文參照圖5至9所論述方法中之一者。
參照圖16,使構造30b經受平面化以形成電隔離線90至92。該等線中之每一者包括直接抵靠襯墊80之含銅材料44,襯墊80進而直接抵靠電絕緣材料34。
上文所論述實施例可用於形成積體電路。該電路可用於電子系統中,例如,電腦、汽車、飛機、時鐘、蜂巢式電話等。在一些應用中,本文所闡述實施例可用於形成積體記憶體,例如快閃記憶體。
各個實施例在圖式中之特定定向僅用於圖解說明之目的,且在一些應用中,實施例可相對於所顯示定向而旋轉。本文所提供之說明書及下文之申請專利範圍係關於任一在各個特徵之間具有所闡述關係之結構,不管結構是否處於該等圖式之特定定向中或相對於該定向而旋轉。
當上文提及一元件「位於」另一元件上或「抵靠」另一元件時,其可直接位於另一元件上或亦可存在插入元件。相反,當提及一元件「直接位於」另一元件上或「直接抵靠」另一元件時,不存在插入元件。當提及一元件「連接」或「耦合」至另一元件時,其可直接連接或耦合至另一元件或可存在插入元件。相反,當提及一元件「直接連接」或「直接耦合」至另一元件時,不存在插入元件。
10...半導體構造
12...基底
14...電絕緣材料
16...開口
17...開口
18...開口
20...障壁材料
22...材料
24...銅
25...電隔離導線
26...電隔離導線
27...電隔離導線
28...空隙
30...半導體構造
30a...半導體構造
30b...半導體構造
32...基底
34...電絕緣材料
36...障壁材料
38...襯墊
40...開口
41...開口
42...開口
44...含銅材料
50...導電線
51...導電線
52...導電線
56...物理氣相沈積(PVD)裝置
58...含銅靶
60...含銅材料
70...含氮組合物
80...襯墊
90...電隔離線
91...電隔離線
92...電隔離線
圖1至3係半導體晶圓之一部分在先前技術製程之各個階段中之圖解性剖視圖。
圖4係半導體晶圓之一部分之圖解性剖視圖,其顯示在一些先前技術製程中可能出現之問題。
圖5至8係半導體晶圓之一部分的圖解性剖視圖,據顯示其處於實例性實施例製程之各個階段中。
圖9係正在反應室中物理氣相沈積於晶圓上之材料之圖解性剖視圖。
圖10至13係半導體晶圓之一部分的圖解性剖視圖,據顯示其處於另一實例性實施例製程之各個階段中。
圖14至16係半導體晶圓之一部分的圖解性剖視圖,據顯示其處於另一實例性實施例製程之各個階段中。
30...半導體構造
32...基底
34...電絕緣材料
36...障壁材料
38...襯墊
40...開口
41...開口
42...開口
44...含銅材料
50...導電線
51...導電線
52...導電線

Claims (35)

  1. 一種將不含空隙之含銅材料沈積於複數個開口內之方法,其包括該含銅材料在所沈積含銅材料之溫度大於100℃之條件下之物理氣相沈積,該經沈積之含銅材料不含空隙且具有小於四分之一間距之粒度。
  2. 如請求項1之方法,其中該沈積包括以下順序之至少一次反覆:利用電漿濺射沈積該含銅材料,其中所濺射沈積材料之溫度大於100℃;及當維持該所濺射沈積材料之溫度大於100℃時,熄滅該電漿並提供足夠之持續時間以使該所濺射沈積材料表面擴散至空腔中,從而避免夾斷(pinching off)該等空腔。
  3. 如請求項1之方法,其中該溫度在約300℃至約600℃之範圍內。
  4. 如請求項1之方法,其中該溫度在約500℃至約600℃之範圍內。
  5. 如請求項1之方法,其中在該物理氣相沈積期間利用稀有氣體自靶濺射含銅材料。
  6. 如請求項1之方法,其進一步包括在該含銅材料之該物理氣相沈積之前,在該等開口內形成含有金屬及氮之組合物以襯砌該等開口。
  7. 如請求項6之方法,其中該金屬包括釕及鈷中之一或兩者。
  8. 如請求項1之方法,其進一步包括在該含銅材料之該物理氣相沈積之前,使用包括釕及鈷中之一或兩者之含金屬材料襯砌該等開口。
  9. 如請求項1之方法,其進一步包括在該含銅材料之該物理氣相沈積之前:使用第一金屬襯砌該等開口;及藉由將該第一金屬暴露於氮源以使該第一金屬氮化。
  10. 如請求項9之方法,其中該金屬由釕及鈷中之一或兩者組成。
  11. 一種在複數個開口內提供包括不含空隙之含銅材料之導電材料之方法,其包括:使用含金屬組合物襯砌該等開口;及以下之至少一次反覆:在該含金屬組合物上物理氣相沈積含銅材料,該物理氣相沈積係在維持所沈積銅之溫度低於或等於約0℃之條件下實施,以形成具有第一構形之含銅材料塗層;及在該含銅材料之溫度在約180℃至約250℃之範圍內之條件下使該含銅材料退火,以使該塗層之該含銅材料回流並由此形成該含銅材料之第二構形,該含銅材料之第二構形不含空隙且具有小於四分之一間距之粒度。
  12. 如請求項11之方法,其中該含金屬組合物由釕及鈷中之一或兩者組成。
  13. 如請求項11之方法,其中該含金屬組合物由氮與釕及鈷中之一或兩者之組合組成。
  14. 如請求項11之方法,其中該含銅材料之該第一構形在該等開口內留下一些空隙,且該含銅材料之該第二構形自該等開口移出該等空隙。
  15. 一種在複數個開口內提供包括不含空隙之含銅材料之導電材料之方法,其包括:使用含有金屬及氮之組合物來襯砌該等開口;及使用不含空隙且具有小於四分之一間距之粒度之含銅材料至少部分地填充所襯砌開口。
  16. 如請求項15之方法,其中該使用含銅材料至少部分地填充該等開口係利用該含銅材料在使所沈積含銅材料之溫度大於100℃之條件下之物理氣相沈積。
  17. 如請求項16之方法,其中該溫度在約300℃至約600℃之範圍內。
  18. 如請求項15之方法,其中該使用含銅材料至少部分地填充該等開口係利用:該含銅材料在維持該所沈積含銅材料之溫度低於或等於約40℃之條件下之沈積,以形成該含銅材料之第一構形;及在該沈積之後,使該含銅材料在該所沈積含銅材料之溫度在約180℃至約250℃之範圍內之條件下退火,以使該含銅材料回流並由此形成該含銅材料之第二構形。
  19. 如請求項18之方法,其中該含銅材料之該第一構形在該 等開口內留下一些凹陷,且該含銅材料之該第二構形自該等開口移出該等凹陷。
  20. 如請求項15之方法,其中該形成該所襯砌開口係包括該含有金屬及氮之組合物沿該等開口之側壁之沈積。
  21. 如請求項20之方法,其中該金屬由釕及鈷中之一或兩者組成。
  22. 如請求項20之方法,其中該含有金屬及氮之組合物之該沈積係使用ALD、CVD及PVD中之一或多者。
  23. 如請求項15之方法,其中該形成該所襯砌開口係包括:使用該金屬塗佈該等開口之側壁;及藉由將該金屬暴露於氮源以使該金屬氮化。
  24. 如請求項23之方法,其中該金屬包括釕及鈷中之一或兩者。
  25. 如請求項23之方法,其中該金屬包括與釕及鈷中之一或兩者形成合金之過渡金屬。
  26. 如請求項23之方法,其中該金屬包括與釕及鈷中之一或兩者形成合金之鉭。
  27. 如請求項23之方法,其中該氮化係包括使該金屬暴露於NH3 、NH2 、及N2 中之一或多者中。
  28. 一種包含不含空隙之含銅材料之半導體構造,其包括:開口,其僅部份延伸至電絕緣材料中;金屬氮化物襯墊,其襯砌該開口之側壁;及含銅材料,其位於該開口內且直接抵靠該金屬氮化物襯墊,且該含銅材料不含空隙及具有小於四分之一間距 之粒度。
  29. 如請求項28之構造,其中該金屬氮化物襯墊之該金屬包括釕及鈷中之一或兩者。
  30. 如請求項28之構造,其中該金屬氮化物襯墊之該金屬包括與釕及鈷中之一或兩者形成合金之過渡金屬。
  31. 如請求項30之構造,其中該金屬氮化物襯墊直接抵靠該電絕緣材料。
  32. 如請求項28之構造,其中該金屬氮化物襯墊之該金屬包括與釕及鈷中之一或兩者形成合金之鉭。
  33. 如請求項28之構造,其中該金屬氮化物襯墊之該金屬包括與釕及鈷中之一或兩者形成合金之鉭;其中該電絕緣材料包括含氧化矽電絕緣材料;且其中該金屬氮化物襯墊直接抵靠該含氧化矽電絕緣材料。
  34. 如請求項28之構造,其中該金屬氮化物襯墊之該金屬由釕組成。
  35. 如請求項28之構造,其中該金屬氮化物襯墊之該金屬由鈷組成。
TW100128399A 2010-08-20 2011-08-09 半導體構造及於開口內提供導電材料之方法 TWI443775B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/860,745 US9177917B2 (en) 2010-08-20 2010-08-20 Semiconductor constructions

Publications (2)

Publication Number Publication Date
TW201216410A TW201216410A (en) 2012-04-16
TWI443775B true TWI443775B (zh) 2014-07-01

Family

ID=45593412

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100128399A TWI443775B (zh) 2010-08-20 2011-08-09 半導體構造及於開口內提供導電材料之方法

Country Status (7)

Country Link
US (3) US9177917B2 (zh)
JP (1) JP2013534370A (zh)
KR (1) KR20130044354A (zh)
CN (1) CN103081066A (zh)
SG (1) SG188236A1 (zh)
TW (1) TWI443775B (zh)
WO (1) WO2012024056A2 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8791018B2 (en) * 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
US9177917B2 (en) 2010-08-20 2015-11-03 Micron Technology, Inc. Semiconductor constructions
JP5767570B2 (ja) * 2011-01-27 2015-08-19 東京エレクトロン株式会社 Cu配線の形成方法およびCu膜の成膜方法、ならびに成膜システム
US8859422B2 (en) * 2011-01-27 2014-10-14 Tokyo Electron Limited Method of forming copper wiring and method and system for forming copper film
US8530320B2 (en) * 2011-06-08 2013-09-10 International Business Machines Corporation High-nitrogen content metal resistor and method of forming same
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
JP2014033139A (ja) * 2012-08-06 2014-02-20 Ulvac Japan Ltd デバイスの製造方法
JP2014086537A (ja) * 2012-10-23 2014-05-12 Ulvac Japan Ltd Cu層形成方法及び半導体装置の製造方法
JP6227440B2 (ja) * 2014-02-24 2017-11-08 東京エレクトロン株式会社 凹部にコバルトを供給する方法
CN105633005A (zh) * 2014-10-30 2016-06-01 中芯国际集成电路制造(上海)有限公司 铜互连结构的制作方法
CN107924868B (zh) 2015-08-12 2021-12-03 盛美半导体设备(上海)股份有限公司 加工互连结构使阻挡层侧壁凹进最小化的方法
KR20190042461A (ko) * 2017-10-14 2019-04-24 어플라이드 머티어리얼스, 인코포레이티드 Beol 인터커넥트를 위한 고온 pvd 구리 증착을 이용한 ald 구리의 집적
US10438846B2 (en) * 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
NO20201328A1 (en) * 2018-07-18 2020-12-02 Halliburton Energy Services Inc EXTRAORDINARY IR-ABSORPTION IN SiO2 THIN FILMS WITH A FOREIGN OR ATTENUATING MATERIAL APPLIED
CN112201618A (zh) * 2020-09-30 2021-01-08 上海华力集成电路制造有限公司 一种优化衬垫层质量的方法
US20230005789A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Methods for copper doped hybrid metallization for line and via

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3294041B2 (ja) 1994-02-21 2002-06-17 株式会社東芝 半導体装置
US6090701A (en) 1994-06-21 2000-07-18 Kabushiki Kaisha Toshiba Method for production of semiconductor device
JP3337876B2 (ja) * 1994-06-21 2002-10-28 株式会社東芝 半導体装置の製造方法
JP3386889B2 (ja) 1994-07-01 2003-03-17 マツダ株式会社 稼動管理装置
JP2985692B2 (ja) 1994-11-16 1999-12-06 日本電気株式会社 半導体装置の配線構造及びその製造方法
US5891803A (en) 1996-06-26 1999-04-06 Intel Corporation Rapid reflow of conductive layers by directional sputtering for interconnections in integrated circuits
US6605197B1 (en) * 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
JPH1154612A (ja) 1997-07-30 1999-02-26 Sony Corp 半導体装置およびその製造方法
KR100562215B1 (ko) 1997-09-30 2006-03-22 지멘스 악티엔게젤샤프트 다단계 증착/어닐링 처리를 가진 도핑된 실리케이트 유리를 사용하여 반도체 구조물의 갭 충전을 개선시키는 방법
JPH11186273A (ja) 1997-12-19 1999-07-09 Ricoh Co Ltd 半導体装置及びその製造方法
JP3815875B2 (ja) 1997-12-24 2006-08-30 株式会社カネカ 集積型薄膜光電変換装置の製造方法
US6068785A (en) 1998-02-10 2000-05-30 Ferrofluidics Corporation Method for manufacturing oil-based ferrofluid
JP3939426B2 (ja) 1998-03-13 2007-07-04 株式会社アルバック 銅系配線膜の加圧埋込方法
JP2000150653A (ja) * 1998-09-04 2000-05-30 Seiko Epson Corp 半導体装置の製造方法
JP3892621B2 (ja) 1999-04-19 2007-03-14 株式会社神戸製鋼所 配線膜の形成方法
JP2001007049A (ja) 1999-06-25 2001-01-12 Hitachi Ltd 半導体集積回路装置の製造方法およびその製造装置
KR100361207B1 (ko) 1999-12-29 2002-11-18 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US6292052B1 (en) 2000-03-06 2001-09-18 Tektronix, Inc. Output amplifier for a discrete filter-less optical reference receiver
JP4005295B2 (ja) 2000-03-31 2007-11-07 富士通株式会社 半導体装置の製造方法
US6399512B1 (en) * 2000-06-15 2002-06-04 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer
US6433402B1 (en) * 2000-11-16 2002-08-13 Advanced Micro Devices, Inc. Selective copper alloy deposition
TW518680B (en) * 2001-06-13 2003-01-21 Matsushita Electric Ind Co Ltd Semiconductor device and method for fabricating the same
JP4555540B2 (ja) * 2002-07-08 2010-10-06 ルネサスエレクトロニクス株式会社 半導体装置
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US7074721B2 (en) * 2003-04-03 2006-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming thick copper self-aligned dual damascene
DE10319135B4 (de) * 2003-04-28 2006-07-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Elektroplattieren von Kupfer über einer strukturierten dielektrischen Schicht, um die Prozess-Gleichförmigkeit eines nachfolgenden CMP-Prozesses zu verbessern
US7192495B1 (en) * 2003-08-29 2007-03-20 Micron Technology, Inc. Intermediate anneal for metal deposition
US6958291B2 (en) 2003-09-04 2005-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect with composite barrier layers and method for fabricating the same
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US7709958B2 (en) * 2004-06-18 2010-05-04 Uri Cohen Methods and structures for interconnect passivation
KR100602086B1 (ko) * 2004-07-13 2006-07-19 동부일렉트로닉스 주식회사 반도체 소자의 배선 형성방법
US7098128B2 (en) * 2004-09-01 2006-08-29 Micron Technology, Inc. Method for filling electrically different features
JP4595464B2 (ja) 2004-09-22 2010-12-08 ソニー株式会社 Cmos固体撮像素子の製造方法
US7367486B2 (en) 2004-09-30 2008-05-06 Agere Systems, Inc. System and method for forming solder joints
US7115985B2 (en) * 2004-09-30 2006-10-03 Agere Systems, Inc. Reinforced bond pad for a semiconductor device
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
JP3904578B2 (ja) * 2005-04-08 2007-04-11 シャープ株式会社 半導体装置の製造方法
US20060251872A1 (en) * 2005-05-05 2006-11-09 Wang Jenn Y Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof
US7749896B2 (en) * 2005-08-23 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
US7666776B2 (en) * 2005-09-01 2010-02-23 Micron Technology, Inc. Methods of forming conductive structures
US7626815B2 (en) 2005-11-14 2009-12-01 Nvidia Corporation Drive bay heat exchanger
US8916232B2 (en) * 2006-08-30 2014-12-23 Lam Research Corporation Method for barrier interface preparation of copper interconnect
JP2008071850A (ja) 2006-09-13 2008-03-27 Sony Corp 半導体装置の製造方法
US7625815B2 (en) 2006-10-31 2009-12-01 International Business Machines Corporation Reduced leakage interconnect structure
JP2008141051A (ja) 2006-12-04 2008-06-19 Ulvac Japan Ltd 半導体装置の製造方法及び半導体装置の製造装置
US20080132050A1 (en) * 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US20080296768A1 (en) 2006-12-14 2008-12-04 Chebiam Ramanan V Copper nucleation in interconnects having ruthenium layers
US7786006B2 (en) * 2007-02-26 2010-08-31 Tokyo Electron Limited Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming
US7859113B2 (en) * 2007-02-27 2010-12-28 International Business Machines Corporation Structure including via having refractory metal collar at copper wire and dielectric layer liner-less interface and related method
US7858525B2 (en) * 2007-03-30 2010-12-28 Intel Corporation Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
EP2142682B1 (en) * 2007-04-09 2014-12-03 President and Fellows of Harvard College Cobalt nitride layers for copper interconnects and methods for forming them
JP2009016520A (ja) 2007-07-04 2009-01-22 Tokyo Electron Ltd 半導体装置の製造方法及び半導体装置の製造装置
JP5377844B2 (ja) 2007-10-23 2013-12-25 Ntn株式会社 固定式等速自在継手
JP2009105289A (ja) 2007-10-24 2009-05-14 Tokyo Electron Ltd Cu配線の形成方法
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
JP2010153487A (ja) 2008-12-24 2010-07-08 Panasonic Corp 半導体装置及びその製造方法
US7964966B2 (en) * 2009-06-30 2011-06-21 International Business Machines Corporation Via gouged interconnect structure and method of fabricating same
US8232646B2 (en) * 2010-01-21 2012-07-31 International Business Machines Corporation Interconnect structure for integrated circuits having enhanced electromigration resistance
US20110204518A1 (en) * 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
US9177917B2 (en) 2010-08-20 2015-11-03 Micron Technology, Inc. Semiconductor constructions
US8517769B1 (en) * 2012-03-16 2013-08-27 Globalfoundries Inc. Methods of forming copper-based conductive structures on an integrated circuit device

Also Published As

Publication number Publication date
US20180374745A1 (en) 2018-12-27
WO2012024056A3 (en) 2012-04-19
US20120043658A1 (en) 2012-02-23
WO2012024056A2 (en) 2012-02-23
TW201216410A (en) 2012-04-16
KR20130044354A (ko) 2013-05-02
SG188236A1 (en) 2013-04-30
US10879113B2 (en) 2020-12-29
JP2013534370A (ja) 2013-09-02
US20160056073A1 (en) 2016-02-25
CN103081066A (zh) 2013-05-01
US10121697B2 (en) 2018-11-06
US9177917B2 (en) 2015-11-03

Similar Documents

Publication Publication Date Title
TWI443775B (zh) 半導體構造及於開口內提供導電材料之方法
KR100446300B1 (ko) 반도체 소자의 금속 배선 형성 방법
US6554914B1 (en) Passivation of copper in dual damascene metalization
JP2020523484A (ja) ニッケルシリサイドの抵抗値を調整するためのプロセス統合方法
JP2002118109A (ja) 半導体装置のダマシン配線形成方法およびそれによって形成されたダマシン配線構造体
TW201816162A (zh) 釕(Ru)配線及該釕配線的製造方法
JP2011091242A (ja) 半導体装置の製造方法
JP7309697B2 (ja) 基板のフィーチャをコバルトで充填する方法および装置
TWI427737B (zh) 形成積體電路結構的方法
JP2004134667A (ja) 半導体装置の製造方法および半導体装置
US7141880B2 (en) Metal line stacking structure in semiconductor device and formation method thereof
JP2018117065A (ja) 金属膜の埋め込み方法
JP2004311545A (ja) 半導体装置の製造方法及び高融点金属膜の堆積装置
US20070141822A1 (en) Multi-step anneal method
JP7449790B2 (ja) 金属配線の形成方法及び金属配線の構造体
JPH08330424A (ja) 半導体集積回路装置およびその製造方法ならびにそれに用いる製造装置
TWI683919B (zh) Cu膜之形成方法
TW457683B (en) Cu damascene processes preventing hillock on the surface
KR100237682B1 (ko) 반도체 소자의 배선 형성 방법
TWI409880B (zh) 一種用來製造半導體裝置的方法
TW396523B (en) Method for producing seed layer
US7485577B2 (en) Method of forming metal line stacking structure in semiconductor device
KR100640962B1 (ko) 반도체 소자의 배선 형성방법
JP2003282572A (ja) 銅配線膜形成方法
JPH1074761A (ja) 銅配線製造方法