TW457683B - Cu damascene processes preventing hillock on the surface - Google Patents

Cu damascene processes preventing hillock on the surface Download PDF

Info

Publication number
TW457683B
TW457683B TW89120824A TW89120824A TW457683B TW 457683 B TW457683 B TW 457683B TW 89120824 A TW89120824 A TW 89120824A TW 89120824 A TW89120824 A TW 89120824A TW 457683 B TW457683 B TW 457683B
Authority
TW
Taiwan
Prior art keywords
copper
semiconductor substrate
layer
patent application
item
Prior art date
Application number
TW89120824A
Other languages
Chinese (zh)
Inventor
Jung-Shi Liou
Chen-Hua Yu
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW89120824A priority Critical patent/TW457683B/en
Application granted granted Critical
Publication of TW457683B publication Critical patent/TW457683B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The present invention discloses a manufacturing method for Cu damascene structure which includes the following steps: first, forming the dielectric on the semiconductor substrate; defining via pattern in the dielectric layer to expose the upper surface of part of the semiconductor substrate; then, depositing the copper layer on the semiconductor substrate to fill in the via pattern; conducting the first chemical mechanical polishing procedure on the semiconductor substrate to remove part of the copper layer on the upper surface of the dielectric layer; defining the copper damascene structure in the via pattern; then, conducting thermal annealing procedure on the semiconductor substrate to reduce the stress of the copper damascene structure, in which after the thermal annealing procedure, the hillock structure on the upper surface of the copper damascene structure is generated; then, conducting the second chemical mechanical polishing procedure on the semiconductor substrate to remove the hillock structure so as to produce a planarized upper surface for the copper damascene structure.

Description

457683 五、發明說明α) 發明領域: 本發明與一種半導體工業令製作銅鑲嵌(Cu damasce -ne)的方法有關’特別是一種運用熱回火裎序以降低銅鑲 嵌結構表面產生凸起缺陷(hillock)的方法。 發明背景: 隨著半導體工業快速的發展,在進入超大型積體電路 (ULSI)的設計規格後,高密度積體電路的設計趨勢,使得 晶圓上某特定區域中,便會定義出數以百萬計的元件,以 及連接這些元件的電子連線結構。然而隨著積體電路尺寸 不斷的縮小,再加上微影解析度的限制、曝光聚焦的誤 差、影像傳遞的精確程度,皆導致在製作各式元件與線路 時’遭遇極大的困難。並且,為了有效的解決上述問題, 大力與精神投注於鑲喪製程(da_Cene procesd 的開發”運用’以期能在微影規格 步提昇製程與產品的良率。 貝卜降扪N吁退 一般而言,在傳統的金 於具有極佳的導電性與便宜 進行沉積與姓刻,是以成為 然而,在半導體元件積集度 來製作連線結構,也遭遇了 屬連線製程中,鋁金屬材料由 的造價’並且可隨著製程所需 業界應用最廣泛的導線材料。 不斷上昇的同時,使用鋁金屬 極多的問題。例如在高溫環境457683 V. Description of the invention α) Field of the invention: The present invention relates to a method for making copper damasce-ne by the semiconductor industry, especially a method using thermal tempering to reduce the surface of the copper damascene structure. hillock). Background of the Invention: With the rapid development of the semiconductor industry, after entering the design specifications of ultra-large integrated circuits (ULSI), the design trend of high-density integrated circuits makes it possible to define a number of Millions of components, and electronic wiring structures that connect them. However, with the continuous shrinking of the size of integrated circuits, coupled with the limitations of lithographic resolution, errors in exposure and focus, and the accuracy of image transmission, all have encountered great difficulties in the production of various components and circuits. In addition, in order to effectively solve the above problems, we vigorously and spiritually bet on the development of da_Cene procesd "use" in order to improve the yield of processes and products in the lithography specification step. Beibu Jiang N. Calling back in general In the traditional gold, which has excellent conductivity and low cost for depositing and engraving, it is to become a semiconductor. However, in the integration of semiconductor components to make the connection structure, it also encountered the connection process. Cost and can be used as the most widely used wire material in the industry as the process requires. While rising, the use of aluminum is extremely problematic. For example, in high temperature environments

第4頁 4 5 7 6 8 3 五、發明說明(2) ' --- 中,鋁原子容易與矽底材發生交互擴散(1討61·-diffusion) ’而造成”尖峰現象",並導致鋁線接觸不良。 此外,當鋁線的尺寸隨著元件縮小時,由於M電致遷移„所 導致的銘原子移動,很容易使所製作的鋁連線結構發生短 路三因此,在目前的半導體工業中,往往試著使用導電性 較向且電阻率較低的銅金屬,來取代傳統大量使用之鋁金 屬。特別是由於銅金屬具有較低的電致遷移率,是以可應 用於半導體製裎中之連線結構。 〜 ,請參照第一圖’在典型的鋼鑲嵌結構製作方法中,首 先形成介電層12於半導體底材1〇上,並使用微影蝕刻製程 在介電層1 2上疋義開口圖案。然後,可沿著開口表面形成 一阻障層14,以防止後續製作的銅鑲嵌結構會由開口圖案 的側壁’入侵並擴散至半導體底材丨〇中。隨後,可使用化 學電鍍(electrical chemical plating; ECP)製程,形成 銅層16於半導體底材10上,且填充於上述開口圖案中。再 使用化學機械研磨程序(CMP)對半導體底材1〇進行研磨, 以移除位於半導體底材1Q上表面的部份銅層16與阻障層 14 ’而定義出銅鑲嵌結構18,如第二圖所示。 但值得注意的是’沉積銅層1 6的化學電鍍程序,往往 是在室溫下的環境來進行。並且’為了讓製作的銅連線具 有較好的品質’在整個製作銅鑲嵌結構丨8的過程中,不會 對半導體底材10進行高溫熱回火的裎序。是以,在進行化Page 4 4 5 7 6 8 3 V. Description of the invention (2) '--- In the aluminum atom, it is easy to cross-diffuse with the silicon substrate (1 discuss 61 · -diffusion) and cause "spike phenomenon", and In addition, when the size of the aluminum wire is reduced with the component, the atomic movement caused by M electromigration can easily cause a short circuit in the aluminum wiring structure. Therefore, in the current In the semiconductor industry, it is often tried to replace the traditionally used aluminum metal with a copper metal with a relatively conductive and low resistivity. In particular, copper metal has a low electromobility, and is a wiring structure applicable to semiconductor semiconductors. ~, Please refer to the first figure. In a typical method of manufacturing a steel mosaic structure, a dielectric layer 12 is first formed on a semiconductor substrate 10, and an opening pattern is defined on the dielectric layer 12 using a lithographic etching process. Then, a barrier layer 14 may be formed along the opening surface to prevent the copper damascene structure produced later from invading and spreading into the semiconductor substrate from the sidewall of the opening pattern. Subsequently, a copper electroplating (ECP) process may be used to form a copper layer 16 on the semiconductor substrate 10 and fill the opening pattern. The chemical mechanical polishing process (CMP) is used to polish the semiconductor substrate 10 to remove a portion of the copper layer 16 and the barrier layer 14 ′ on the upper surface of the semiconductor substrate 1Q to define a copper damascene structure 18, as As shown in the second figure. However, it is worth noting that the electroless plating process for the deposition of the copper layer 16 is often performed in an environment at room temperature. In addition, "in order to make the produced copper wires have better quality", the high temperature thermal tempering sequence of the semiconductor substrate 10 will not be performed during the entire process of manufacturing the copper damascene structure. So, in progress

第5頁 457683 ^ — —— " - - __ 五、發明說明(3) 學機械研磨程序後,所定義的銅鑲嵌結構18會具有不平均 的應力分佈,而呈現極不穩定的材質特性。更者,在銅鑲 嵌結構18的上’亦會因為銅原子的不穩定狀態,而產生較 粗縫的表面,並產生略微凸起的缺陷β 要特別說明的’為了符合高積集度的要求,製作於半 導體底材10上的積體電路,會具有多重層的結構。因此, 在定義出銅鑲嵌結構18所在的金屬圖案層後,會再形成氮 化珍層20以覆蓋住銅鑲嵌結構丨8與介電層丨2,以產生電性 隔離的效果,並防止在後續的蝕刻製程中受到不當的侵 钱。然後,可依序沉積金屬間介電層22與24於氮化矽層2〇 上’並分別使用上述的微影製程與電鍍程序,來定義這些 膜層間的金屬圖案。 但是1由於在沉積氮化矽層2 0或是後續的金.屬間介電 層2 2、2 4時’皆是在高溫的環境下進行。因此,位於銅鑲 喪結構1 8中的銅原子’將會受到此高溫環境的影響,而產 生晶粒成長的現象。此時’銅原子將會因為彼此的擠壓作 用而產生較大的位移’以便釋放分佈不均的應力。是以, 原本在銅鑲嵌結構18上表面的輕微凸起將會逐漸嚴重,而 形成如第三圖中所示的凸起結構26。並且,這些凸起結構 26的形狀’會沿著金屬間介電層22、24的表面向上傳遞, 並呈現出倍增的效果。換言之,在金屬間介電層24的上表 面’亦會產生此種凸起的結構,並且其形狀、分佈將更形Page 5 457683 ^ — —— "--__ V. Description of the invention (3) After the mechanical grinding process is learned, the defined copper mosaic structure 18 will have an uneven stress distribution and present extremely unstable material characteristics. Furthermore, on the copper damascene structure 18, because of the unstable state of the copper atoms, a rougher surface will be generated, and a slightly convex defect will be generated. Β To be specified, in order to meet the requirements of high accumulation degree The integrated circuit fabricated on the semiconductor substrate 10 will have a multi-layer structure. Therefore, after defining the metal pattern layer where the copper damascene structure 18 is located, a nitride layer 20 will be formed to cover the copper damascene structure 丨 8 and the dielectric layer 丨 2 to produce the effect of electrical isolation and prevent Improper money invasion was encountered in the subsequent etching process. Then, the intermetal dielectric layers 22 and 24 can be sequentially deposited on the silicon nitride layer 20 'and the above-mentioned lithography process and electroplating procedure are used to define the metal patterns between these film layers. However, 1 is because the deposition of the silicon nitride layer 20 or the subsequent intermetallic dielectric layers 2 2, 2 and 4 'are performed in a high temperature environment. Therefore, the copper atoms' in the copper buried structure 18 will be affected by this high temperature environment, and the phenomenon of grain growth will occur. At this time, the "copper atoms will have a large displacement due to the squeezing effect of each other" in order to release the unevenly distributed stress. Therefore, the slight protrusions originally on the upper surface of the copper damascene structure 18 will gradually become serious, and a protrusion structure 26 as shown in the third figure is formed. Moreover, the shape of these raised structures 26 is transmitted upward along the surfaces of the intermetal dielectric layers 22, 24, and exhibits a multiplier effect. In other words, this convex structure will also be produced on the upper surface of the intermetal dielectric layer 24, and its shape and distribution will be more shaped.

第6頁 457683 五、發明說明(4) 放大且嚴重。 如此一來,陸續沉積的介電層將具有較差的平坦性 而容易造成在定義金屬連線圖案時,由於棋橋效應 (Bridging Effect)造成連線斷路的問題。請參辟第四 圖’由半導體底材的截面照 進行目前製作銅鑲嵌結構的 上方的介電層32、34皆會呈 由於此圖中的介電層32、34 於介電層34表面的凸起缺陷 梯形狀的特性而更加顯著β 發明目的及概述: 片中’可以很明顯的看出,在 相關製程後,於鋼鑲嵌結構3〇 現上述的凸起缺陷。特別是, 是由F S G材料所構成,因此位 ,會由於此種材料複製下方階 本發明之目&生u 屬連線圖案產生一種可防止由於拱橋效應造成 生斷路的銅鑲嵌製程。 本發明之再 之方法。 的為提供一種增進銅鑲嵌結構平坦化 本發明之又一 應力分佈,以吃, 的為提供一種有效釋放銅鑲嵌結構中 6'於其表面產生凸起缺陷之方法。 —種製作鋼鑲嵌結構的方法。首先,形 本發明揭露了 —Page 6 457683 V. Description of the invention (4) Magnified and serious. In this way, the successively deposited dielectric layers will have poor flatness and easily cause the problem of disconnection of the connection due to the bridging effect when defining the metal connection pattern. Please refer to the fourth picture, 'The cross-section photos of the semiconductor substrate are used to make the dielectric layers 32 and 34 on top of the copper damascene structure. The dielectric layers 32 and 34 on this figure are on the surface of the dielectric layer 34. The characteristic of the convex defect ladder shape is more significant β Purpose and summary of the invention: In the film, it can be clearly seen that after the related process, the above-mentioned convex defect was found in the steel mosaic structure 30. In particular, it is composed of FSG material, so this material will reproduce the lower stage because of this material. The purpose of the present invention is to create a copper inlaying process that can prevent disconnection due to the arch bridge effect. Another method of the present invention. In order to provide a method for improving the flatness of the copper mosaic structure, another stress distribution of the present invention is to provide a method for effectively releasing the 6 'in the copper mosaic structure to generate convex defects on its surface. -A method for making steel mosaic structures. First, the present invention discloses-

第7頁 457683Page 457683

定義開口圖案於介電層中, 。#著,可沉積銅層於半導 行第-次化學機械研案中。並且’胃半導體底材進 ^,以移除位於介電層上表面之 : !結構於開口圖案中。隨後,對半 導體底材進仃熱回火程序,其中熱回火 35〇至糊。。的環境中’進行約15至45分鐘的時m ^ +導禮㈣進行第二次化學機械研磨程序,以便使銅鎮 喪結構具有平坦化的上表面。 發明詳細說明: 請參照第五圖,首先提供一具&lt;100&gt;晶向之單晶矽底 材5 0。一般而言,其它種類之半導體材料,諸如砷化鎵— (gallium arsenide)、鍺(germanium)或是位於絕緣層上 之矽底材(silicon on insulator, SOI)皆可作為半導體 底材使用。另外’由於半導體底材表面的特性對本發明而 言,並不會造成特別的影晌’是以其晶向亦可選擇〈丨丨〇&gt; 或&lt; 111 &gt; 〇 接著’可在半導體底材50上形成介電層52。此處要說 明的是在形成介電層52之前’半導體底材5〇上已製作了積 體電路所需之各式主動元件、被動元件、與週圍電路等、 等。換言之,在此半導體底材50表面上,已具有各式所需Define the opening pattern in the dielectric layer. # 着 , Copper layer can be deposited in the semi-conductor chemical-mechanical case. And the stomach substrate is advanced to remove the structure located on the upper surface of the dielectric layer:! Structure in the opening pattern. Subsequently, the semiconductor substrate is subjected to a thermal tempering procedure, in which the thermal tempering is 350 to a paste. . In the environment ', the second chemical mechanical polishing process is performed at a time of about 15 to 45 minutes, m ^ +, so that the copper structure has a flat upper surface. Detailed description of the invention: Please refer to the fifth figure, and first provide a single crystal silicon substrate 50 with a &lt; 100 &gt; crystal orientation. Generally speaking, other types of semiconductor materials, such as gallium arsenide, germanium, or silicon on insulator (SOI), can be used as the semiconductor substrate. In addition, 'because of the characteristics of the surface of the semiconductor substrate, the present invention does not cause any special influence', depending on its crystal orientation, you can also choose <丨 丨 〇 &gt; or &lt; 111 &gt; 〇 A dielectric layer 52 is formed on the material 50. It is explained here that before the formation of the dielectric layer 52, various active elements, passive elements, peripheral circuits, and the like required for integrated circuits have been fabricated on the semiconductor substrate 50. In other words, on the surface of this semiconductor substrate 50, there are already various required

第8頁 457683 五、發明說明(6) --- 的功能層與材料層《—般而言,介電層52可使用氧化矽、 未#雜矽玻璃(USG)、摻氟矽玻璃(FSG)、低介電值(低κ 值)材料或其它適合的絕緣材料構成。例如,可使用化學 氣相沈積法(CVD)以四乙基矽酸鹽(TE0S)在溫度約6〇〇至 800。C ,壓力約〇. 1至i0torr間來形成氧化矽;或著也可以 利用熱氧化方式來製作氧化矽β另外,也可利用四乙基矽 酸鹽(TEOS)作為反應材料,並加入氟原子,再以化學氣相 沉積法(LPCVD)形成氟矽玻璃(FSG),來作為上述之介 52。 然後,可藉由傳統微影及蝕刻技術,在介電層52上定 義開口圖案,以曝露出半導體底材5〇之上表面。在較佳實 施例中,可使用諸如電漿蝕刻術的乾蝕刻法,來定義上述 的開口圖案。其中,當介電層52的材料是由氧化矽構成 時,對應的蝕刻劑可選擇^丨乂,、CHF3/CF CiiF3/02、 CH3CHF2、CF4/02。 接著,形成阻障層54於開口圖案的側壁與所曝露的半 導體底材50上表面’以防止後續製作之銅層與介電層52或 半導體底材50間發生擴散現象,而造成尖峰效應(叩丨以叩 ef feet)。在較佳實施例中,阻障層54的材質可選擇钽 (Ta)、氮化鈕(TaN)或其任意組合。一般而言,可先進行 濺鍍程序’沉積-钽層於開口圖案上表面,再將半導體底 材50放置於N2或龍3的環境中’經由高溫處理而形成所需之Page 8 457683 V. Description of the invention (6) --- Functional layer and material layer "-In general, the dielectric layer 52 can be made of silicon oxide, non-doped silicon glass (USG), fluorine-doped silicon glass (FSG ), Low dielectric value (low κ value) material or other suitable insulating materials. For example, chemical vapor deposition (CVD) can be used with tetraethyl silicate (TEOS) at a temperature of about 600 to 800. C, the pressure is about 0.1 to i0torr to form silicon oxide; or thermal oxidation can also be used to make silicon oxide β In addition, tetraethyl silicate (TEOS) can also be used as a reaction material, and fluorine atoms are added Then, a chemical vapor deposition (LPCVD) method is used to form a fluorosilicate glass (FSG) as the above-mentioned intermediary 52. Then, an opening pattern can be defined on the dielectric layer 52 by conventional lithography and etching techniques to expose the upper surface of the semiconductor substrate 50. In a preferred embodiment, the above-mentioned opening pattern can be defined using a dry etching method such as plasma etching. When the material of the dielectric layer 52 is made of silicon oxide, the corresponding etchant can be selected from CHF3 / CF CiiF3 / 02, CH3CHF2, CF4 / 02. Next, a barrier layer 54 is formed on the side wall of the opening pattern and the exposed upper surface of the semiconductor substrate 50 to prevent the diffusion phenomenon between the copper layer and the dielectric layer 52 or the semiconductor substrate 50 produced in the subsequent process, resulting in a spike effect (叩 丨 to 叩 ef feet). In a preferred embodiment, the material of the barrier layer 54 can be selected from tantalum (Ta), nitride button (TaN), or any combination thereof. Generally speaking, a sputtering process can be performed first-depositing a tantalum layer on the upper surface of the opening pattern, and then placing the semiconductor substrate 50 in an environment of N2 or Dragon 3 'to form the required

457683457683

五、發明說明CO 氮化钽,。或著,也可藉著利用電漿離子轟擊鉅金屬,且 通入氬氣與氮氣,以便經轟擊所濺出的钽原子,可與經由 解離反應(^dissociation reacti〇n)所形成的氮原子,反 應並形成氮化鋰而沉積於開口圖案與半導體底材5〇的表 面。 種層(Cu seeding 施例中,銅晶種層 如物理氣相沉積法 濺鍍法等類似製程 沉浸於硫酸銅溶液 ical Plating; 上方,且填充於開 種層5 6電性連接至 之銅離子,進行還 在形成阻障層54後’接著形成銅晶 iayer)56於阻障層54上表面。在較佳實 5 6的沉積’可使用熟知的相關技術,例 (Physical vapor deposition; PVD)、 而加以形成。接著,可將半導體底材5〇 中’以進行化學電鍍(Electrical Chem ECP)反應,而形成銅層58於銅晶種層56 口圖案之中。一般而言,可藉著將銅晶 —電源之陰極,而使位於硫酸銅溶液中 原並;儿積.於銅晶種層56的表面。 然後’請參照第六圖’對半導體底材5 〇進行化學 研磨程序(CMP) ’以移除位於介電層52上表面之部份銅声 58、銅晶種層56與阻障層54,以定義銅鑲嵌結構6〇於3口 圖案中。其中,所形成的銅鑲欲結構6〇可根據積體電ς 設計’作為連線(ν i a)或插塞(ρ 1 u g )使用,要特別說明 的’如同上述’由於ECP程序’是在室溫下的環境進行 因此所定義的銅鎮被結構60會具有不平均的應力分佈_而V. Description of the invention CO tantalum nitride. Alternatively, it is also possible to bombard the giant metal with plasma ions, and pass in argon and nitrogen, so that the tantalum atoms splashed by the bombardment can interact with the nitrogen atoms formed by the dissociation reaction (^ dissociation reactio). , React and form lithium nitride and deposit on the surface of the opening pattern and the semiconductor substrate 50. Seed layer (In the Cu seeding example, a copper seed layer such as physical vapor deposition sputtering or the like is immersed in a copper sulfate solution ical Plating; the top is filled with copper ion to which the seed layer 5 6 is electrically connected. After the barrier layer 54 is formed, a copper crystal iayer) 56 is formed on the upper surface of the barrier layer 54. The deposition in the preferred embodiment 5 can be formed using well-known related techniques, such as physical vapor deposition (PVD). Next, the semiconductor substrate 50 may be subjected to an Electrochemical Chem ECP reaction to form a copper layer 58 in the copper seed layer 56 pattern. In general, copper crystals can be used as the cathode of the power source to merge them in the copper sulfate solution; they can be deposited on the surface of the copper seed layer 56. Then "refer to the sixth figure" to perform a chemical polishing process (CMP) on the semiconductor substrate 50 to remove a portion of the copper sound 58, the copper seed layer 56 and the barrier layer 54 on the upper surface of the dielectric layer 52. To define the copper damascene structure 60 in a 3-port pattern. Among them, the formed copper inlaid structure 60 can be used as a connection (ν ia) or plug (ρ 1 ug) according to the integrated electrical design. The 'as mentioned above' due to the ECP procedure is to be specified The environment at room temperature proceeds so that the defined copper ballast structure 60 will have an uneven stress distribution_ and

457683 五、發明說明(8) 呈現極不穩定的材質特性。並且,在銅鑲嵌結構18的表面 上’亦會因為銅原子的不穩定狀態,而產生具有略微凸起 的表面缺陷。 接著’如第七圖所示,可對半導體底材50進行高溫熱 回火程序’而降低銅鑲嵌結構6 〇間的結構應力,且修復其 中的缺陷。此時,銅鑲嵌結構60中的銅原子,亦會受到此 南溫回火的影響’而產生晶粒成長的現象,造成銅鑲嵌結 構6 0上表面的凸起結構62更加嚴重。在較佳實施例中,此 高溫回火程序的可在溫度約350至4 〇〇 〇c間、且充滿氮氣與 氫氣的環境中’進行約15至45分鐘。至於,較佳的製裡^ 間,則可控制在3 〇分鐘左右,以便充分有效的釋放鋼原子 間的應力。 睛參,、、' 第八圖,在進行高溫程序而使銅鑲嵌結構6〇驅 ,穩定後,可再對半導體底材50進行一次化學機械研磨程 序,以便移除凸出於銅鑲嵌結構6〇上表面的凸起結構62, 並使銅鑲嵌結構60具有較佳的平坦化效果。一般而古, :此次的研磨程序,祇用來移除凸起結觸,{以進 序的時間僅需1 0至30秒,便可達到所需的效果。 成遮蓋層64於銅鑲嵌結構 此遮蓋層64除了使鋼鑲嵌 電性隔離外,亦可作為底 接著’請參照第九圖,可形 60與介電層62的上表面。其中, 結構6 0與後續沉積的膜層間產生 457683 五、發明說明(9) 下介電層6 2與銅鑲嵌結構6 〇的防護層使用,以便在後續的 蝕刻程序卡,可防止介電層62與銅鑲嵌結構6〇受到蝕刻劑 的侵蝕。在較佳實施例中’此遮蓋層64可使用氮化矽 (Si N)或碳化矽(Si C)材料來構成。其中,當選擇氮化矽材 料時,可以使用低壓化學氣相沈積法(LpcvD),或電漿增 強化學氣相沈積法(PECVD),在溫度大約4〇〇_8〇〇它的環境 中,通入SiH4、NH3、N2、N20 或是SiH2Cl2、NH3、N2、N20 等 反應氣體而形成。 在沉積 於遮蓋層64 果。在較佳 間會具有蚀 於其中時, 介電層62的 使用氧化材 的,在此第 停止層6 8, 遮蓋層64後,接著 上表面,以提供不 實施例中,此第一 刻選擇性的差異, 遮蓋層64可產生防 材料相同的,此處 料、FSG 、 USG 、或 一金屬間介電層66 以便有效的防護其 可形成第一金屬間 同金屬圖案層間的 金屬間介電層6 6與 以便在定義溝渠或 護下方膜層的功能 的第一金屬間介電 低K值材料來製作t 的上表面,亦形成第一蝕刻 下的第—金屬間介電層66。 介電層6 6 絕緣效 遮蓋層6 4 連線圖案 。與上述 層6 6亦可 同樣 隨後’重覆上述的步驟’在第一蝕刻停止層以的上表 =沉積第二金J間介電層70,以便㈣定義所需的金屬 圖案。一般而言,可藉著對第二金屬間介電層7〇盥 金 =介電層66進行微影银刻程序,而定義所需^ 於此二膜層中。隨後,可再利用上述的Ecp製程,義457683 V. Description of the invention (8) Presents extremely unstable material characteristics. Also, on the surface of the copper damascene structure 18, a surface defect having a slight protrusion is generated due to the unstable state of the copper atom. Next, as shown in the seventh figure, the semiconductor substrate 50 may be subjected to a high-temperature thermal tempering process to reduce the structural stress of the copper damascene structure 60 and repair the defects therein. At this time, the copper atoms in the copper damascene structure 60 will also be affected by this south temperature tempering 'and cause the phenomenon of grain growth, causing the convex structure 62 on the upper surface of the copper damascene structure 60 to be more serious. In a preferred embodiment, this high-temperature tempering process can be performed in an environment filled with nitrogen and hydrogen 'at a temperature of about 350 to 4,000c' for about 15 to 45 minutes. As for the better system, it can be controlled to about 30 minutes, in order to fully and effectively release the stress between steel atoms. Eyes ,,,, and eighth, after the high temperature process is performed to drive the copper mosaic structure 60, after stabilization, the semiconductor substrate 50 may be subjected to a chemical mechanical polishing process to remove the protruding copper mosaic structure 6 The convex structure 62 on the upper surface makes the copper damascene structure 60 have a better planarization effect. Normal and ancient: The grinding process is only used to remove the raised contact. {The sequence time is only 10 to 30 seconds to achieve the desired effect. A cover layer 64 is formed on the copper mosaic structure. In addition to electrically isolating the steel mosaic, the cover layer 64 can also be used as a bottom. Next, please refer to the ninth figure, the shape 60 and the upper surface of the dielectric layer 62. Among them, 457683 is generated between the structure 60 and the subsequently deposited film layer. 5. Description of the invention (9) The protective layer of the lower dielectric layer 62 and the copper damascene structure 60 is used in order to prevent the dielectric layer in the subsequent etching process card. 62 and the copper damascene structure 60 are eroded by the etchant. In the preferred embodiment, the masking layer 64 may be made of a silicon nitride (Si N) or silicon carbide (Si C) material. Among them, when the silicon nitride material is selected, low pressure chemical vapor deposition (LpcvD), or plasma enhanced chemical vapor deposition (PECVD) can be used. At a temperature of about 400-800, its environment, It is formed by passing SiH4, NH3, N2, N20 or SiH2Cl2, NH3, N2, N20 and other reaction gases. After deposition on the cover layer 64. When it is better to etch in it, the dielectric layer 62 is made of an oxidizing material. Here, the stop layer 68, the cover layer 64, and then the upper surface are provided to provide a first choice in this embodiment. The difference in the properties of the cover layer 64 can be the same as the anti-material. The material, FSG, USG, or an intermetal dielectric layer 66 can effectively protect the intermetal dielectric that can form the first intermetal and the metal pattern layer. The layer 66 and the first intermetal dielectric low-K material to define the function of the film layer under the trench or the guard are used to form the upper surface of t, and the first intermetal dielectric layer 66 under the first etch is also formed. Dielectric layer 6 6 Insulation effect Cover layer 6 4 Connection pattern. It can be the same as the above-mentioned layer 66, and then 'repeat the above steps' on the first surface of the first etch stop layer = deposit a second gold-J dielectric layer 70 so as to define a desired metal pattern. Generally speaking, by performing a lithographic silver engraving process on the second intermetallic dielectric layer 70 and the dielectric layer 66, it is possible to define the required ^ in these two film layers. Subsequently, the Ecp process described above can be reused.

4 5768^ 五、發明說明(ίο) 出位於第一金屬間介電層66與第二金屬間介電層70中的雙 重鎮嵌結構或單重鎮喪結構。 值得注意的是,由於在進行第一次研磨程序後,已對 銅鑲嵌結構60進行高溫熱回火程序,並且藉著進行第二次 研磨程序,將產生的凸起結構62移除。是以,對銅鑲嵌結 構60中的銅原子來說,其已具有較穩定的材料特性。因 此,在後續沉積遮蓋層64、第一金屬間介電層66與第二金 屬間介電層70的程序中,高溫度的製程環境將不會再造成 銅鑲嵌結構60表面的凸起缺陷產生。如此一來,對後續沉 積的第一金屬間介電層66與第二金屬間介電層70而言,其 將如第九圖中所示,具有平坦的上表面形狀。 藉著運用本發明的方法來製作銅鑲嵌結構,將可使銅 原子在高溫熱回火的程序中,產生晶粒成長與應力釋放的 效果。並且’利用額外的化學機械研磨程序,將可使銅鑲 嵌結構具有極平坦的表面。如此,在後續的沉積程序中, 將可有效的避免凸起結構的產生,並防止產生拱橋效應 (Bridging Effect),而造成金屬連線產生斷路。請參照 第十A~C圖’此部份附圖顯示由半導體底材上方俯視之情 形。在第十A圖中,於進行化學機械研磨程序後,並未進 行熱回火程序’疋以半導體底材上表面,在後續沉積金屬 間介電層後’其表面將會產生嚴重的凸起缺陷(圖中散佈 的黑點)。相對的’在第十B圖中,則在化學機械研磨後,4 5768 ^ V. Description of the Invention (Double) A double-embedded structure or a single-depressed structure located in the first inter-metal dielectric layer 66 and the second inter-metal dielectric layer 70 is described. It is worth noting that, after the first grinding process, the copper damascene structure 60 has been subjected to a high-temperature thermal tempering process, and by performing the second grinding process, the generated convex structure 62 is removed. Therefore, the copper atoms in the copper damascene structure 60 already have relatively stable material properties. Therefore, in the subsequent process of depositing the cover layer 64, the first intermetallic dielectric layer 66, and the second intermetallic dielectric layer 70, the high temperature process environment will no longer cause convex defects on the surface of the copper damascene structure 60. . In this way, as shown in the ninth figure, the first intermetal dielectric layer 66 and the second intermetal dielectric layer 70 that are subsequently deposited will have a flat upper surface shape. By using the method of the present invention to make a copper damascene structure, copper atoms can have the effects of grain growth and stress release in the process of high temperature thermal tempering. And ’using an extra chemical-mechanical grinding process, the copper-embedded structure will have an extremely flat surface. In this way, in the subsequent deposition process, the generation of the raised structure can be effectively avoided, and the bridging effect can be prevented from causing the metal connection to be disconnected. Please refer to the tenth drawings A to C. This part of the drawings shows the top view from above the semiconductor substrate. In the tenth diagram A, after the chemical mechanical polishing process is performed, the thermal tempering process is not performed. 'The upper surface of the semiconductor substrate is used. After subsequent deposition of the intermetallic dielectric layer, its surface will have severe protrusions. Defects (black dots scattered in the picture). In contrast, in the tenth B diagram, after chemical mechanical grinding,

第13頁 457683 五、發明說明(ιυ 進行溫度約250 °C的熱回火程序。此時,位於半導體底材 上的凸起缺陷,顯示已大量的減少。更者,如第十C圖所 示,在化學機械研磨後,進行溫度約4 0 0 °C的熱回火程序 後,位於半導體底材上的凸起缺陷,會更大幅度的減少。 本發明雖以一較佳實例闡明如上,然其並非用以限定 本發明精神與發明實體,僅止於此一實施例爾。是以,在 不脫離本發明之精神與範圍内所作之修改,均應包含在下 述之申請專利範圍内。Page 13 457683 V. Description of the invention (ιυ The thermal tempering process is performed at a temperature of about 250 ° C. At this time, the raised defects on the semiconductor substrate have been shown to have been greatly reduced. Moreover, as shown in Figure 10C It is shown that after the chemical mechanical polishing, a thermal tempering process at a temperature of about 400 ° C, the raised defects on the semiconductor substrate will be greatly reduced. Although the present invention is explained above with a preferred example However, it is not intended to limit the spirit and the inventive entity of the present invention, but only to this embodiment. Therefore, all modifications made without departing from the spirit and scope of the present invention should be included in the scope of patent application described below. .

第14頁 457683 圖式簡單說明 ,藉:以下詳細之描述結合所附圖#,將可 上述内今及此項發明之諸多優點,其中: 、 第一圖為半導體晶圓之截面圖, 術沉積銅層於半導體底材上之步驟;‘不根據目則業界技 顯示使用化學機械研 顯示在後續沉積金屬 第二圖為半導體晶圓之截面圖 磨程序移除部份铜層之步驟; 第二圖為半導體晶圓之截圖 間介電層時,形成於銅鎮嵌結構上表= = 第四圖為半導體晶圓之截面圖 觀察凸起缺陷之情況; 隹只際操作中所 第五圖為半導體晶圓之截面圖,顯示根據本發明所提 供之方法,沉積銅層於半導體底材上之步驟; 第六圖為半導體晶圓之截面圖,顯示根據本發明所提 供之方法進订化學機械研磨程序,以移除部份銅層之步 驟; 第七圈為半^體晶圓之截面圖,顯示根據本發明所提 供之方法,進行高溫熱回火程序之步驟; 第八圈為半導體晶圓之截面圖,顯示根據本發明提供 方法’進行化學機械研磨程序以移除凸起結構之步驟; 第九阖為半導體晶圓之截面圖,顯示根據本發明方 法’依序形成金屬間介電層於半導體底材上之步驟;及 第十A~C圖為半導體晶圓之截面圖,顯示使用傳統方 法與本發明方法製作銅鑲嵌結構時,其間的差異情形。Page 457683 The diagram is briefly explained. By: the following detailed description in conjunction with the attached drawing #, the above advantages and many advantages of the invention will be obtained, among which: The first diagram is a cross-sectional view of a semiconductor wafer The step of copper layer on the semiconductor substrate; 'The industry's technology is not shown according to the purpose of the chemical mechanical research to show the subsequent deposition of metal. The second picture is a section of the semiconductor wafer grinding process to remove part of the copper layer; The picture shows the dielectric layer formed on the semiconductor wafer. It is formed on the copper embedded structure. Table = = The fourth picture is a cross-sectional view of the semiconductor wafer to observe the convex defects. The fifth picture is A cross-sectional view of a semiconductor wafer, showing the steps of depositing a copper layer on a semiconductor substrate according to the method provided by the present invention; a sixth view is a cross-sectional view of a semiconductor wafer, showing the order of chemical machinery according to the method provided by the present invention The grinding process to remove a portion of the copper layer; the seventh circle is a cross-sectional view of a half-body wafer, showing the steps of a high-temperature thermal tempering process according to the method provided by the present invention; the eighth circle A cross-sectional view of a semiconductor wafer, showing a step of performing a chemical mechanical polishing process to remove a raised structure according to the method provided by the present invention; a ninth step is a cross-sectional view of a semiconductor wafer, showing the sequential formation of metal according to the method of the present invention The steps of the inter-dielectric layer on the semiconductor substrate; and the tenth A to C are cross-sectional views of the semiconductor wafer, showing the differences between the traditional method and the method of the present invention when the copper mosaic structure is manufactured.

第15頁Page 15

Claims (1)

457683 六、申請專利範圍 1, 一種銅鑲嵌·結構的製作方法,該方法至少包含下 列步驟: 形成介電層於半導體底材上; 定義開口圖案於該介電層中’以曝露部份該半導體底 材上表面; 沉·積銅層於該半導體底材上’且填充於該開口圖案 中; 對該半導體底材進行第一次化學機械研磨程序,以移 二=於該介電層上表面之部份銅層,並定義銅鑲嵌結構於 @開口圖案中; 構之Ϊ該半導體底材進行熱回火程序,以降低該銅鑲故結 面,’其中在該熱回火程序後’於該鋼鑲嵌結構上表 印會產生凸起結構;且 對該半導體底材進行第二士 與 除該凸钯妹M . ,. ^ 一-人化子機械研磨程序,以移 凸起'‘。構,並使該銅鑲嵌結構具有平坦化的上表面。 材料, (FSG) 上述介電層的 、摻氟矽玻璃 如申請專利範圍第1項之方法,其中 可選擇氧化物、未摻雜矽玻璃(USG) 、低介電值材料或其任意組合。 其中在沉積上述銅 如申請專利範圍第丨項之方法 w ’更包括下列步驟 %成阻障層於該開 圖案的表 ;且 形成銅晶種層於該阻障層的上457683 VI. Application for Patent Scope 1. A method for manufacturing a copper damascene structure. The method includes at least the following steps: forming a dielectric layer on a semiconductor substrate; defining an opening pattern in the dielectric layer to expose a portion of the semiconductor The upper surface of the substrate; the copper deposit layer is deposited on the semiconductor substrate and is filled in the opening pattern; the first chemical mechanical polishing process is performed on the semiconductor substrate to shift two = on the upper surface of the dielectric layer Part of the copper layer, and define the copper inlay structure in the @ opening pattern; the structure of the semiconductor substrate is subjected to a thermal tempering process to reduce the copper inlay surface, 'wherein after the thermal tempering process' in The surface of the steel inlaid structure will produce a raised structure; and the semiconductor substrate is subjected to a second step and the removal of the convex palladium M... The copper mosaic structure has a flat top surface. Materials, (FSG) The above-mentioned dielectric layer, fluorine-doped silica glass, as in the method of patent application No. 1, which can choose oxide, undoped silica glass (USG), low dielectric material or any combination thereof. The method for depositing the above-mentioned copper, such as item 丨 of the patent application range, further includes the following steps: forming a barrier layer on the patterned surface; and forming a copper seed layer on the barrier layer 457683 六、申請專利範固 使用化學::法==3成項之方法’其中上述之銅層是 鍵程匕?4項之方法’其中上述之化學電 該銅晶種層電ism!硫酸銅溶液中,並藉著將 之銅離子,可還原並沉晶::::硫酸銅溶” %如申請專利範圍第1項之方法,其中在形成該介電 之⑴更包括形成各式元件或材料層於該半導體底材上 之步驟。 姐瓜刊丄 ^ ’ a如申請專利範圍第1項之方法,其中上述之熱回火 程序’是在溫度約350至400 °C的環境中,進行約15至45分 鐘而完成a 8‘如申請專利範園第1項之方法,其中進行上述第二 次化學機械研磨程序的時間約為1 0至30秒鐘。 9.如申請專利範圍第1項之方法’其中上述熱回火程 序可使該銅鑲嵌結構中的銅原子進行晶粒成長而趨於穩 定0457683 VI. Applying for a patent Fangu uses the chemical method :: method == 30% of the method ’where the above copper layer is a bond path? The method of item 4 wherein the above mentioned chemical electricity is the copper seed layer electric ism! Copper sulfate solution, and the copper ions can be reduced and crystallized by the copper ion :::: copper sulfate solution "% The method of item 1, wherein the step of forming the dielectric further includes the step of forming various element or material layers on the semiconductor substrate. ^ ^ A method as described in item 1 of the scope of patent application, wherein The thermal tempering procedure 'is performed in an environment with a temperature of about 350 to 400 ° C for about 15 to 45 minutes to complete a 8'. The method of item 1 of the patent application park, wherein the second chemical mechanical grinding is performed The time of the program is about 10 to 30 seconds. 9. The method of item 1 of the patent application scope, wherein the above-mentioned thermal tempering program can make the copper atoms in the copper mosaic structure grow and stabilize. 457683457683 該方法至少包含下 六、申請專利範圍 10. —種銅鑲嵌結構的製作方法 列步驟: 以曝露部份該半導體底 且填充於該開D圖案 形成介電層於半導體底材上; 定義開口圖案於該介電層中, 材上表面; 沉積銅層於該半導體底材上, 中; 對該半導體底材進行第一 除位於該介電層上表面之部份 該開口圖案中; 對該半導體底材進行熱回 是在溫度約350至40 0 °C的環境 間;且 *人化學機械研磨程序,以移 銅層’並定義銅鑲嵌結構於 火程序,其中該熱回火程序 中,進行約15至45分鐘的時 對該半導體底材進行第二次化學機械研磨裎序,以便 使該銅鑲嵌·結構具有平坦化的上表面。 11.如申請專利範圍第1 0項之方法,其中上述介電層 的材料’可選擇氧化物、未摻雜矽玻璃(USG)、摻氟碎玻 璃(FSG)、低介電值材料或其任意組合。 12.如申請專利範圍第1 0項之方法,其中在沉積上述 銅層前,更包括下列步驟: 形成阻障層於該開口圖案的表面上;且 形成銅晶種層於該阻障層的上表面。The method includes at least the following six, the scope of patent application 10.-a method of manufacturing a copper mosaic structure: a portion of the semiconductor bottom is exposed and filled in the open D pattern to form a dielectric layer on the semiconductor substrate; defining an opening pattern In the dielectric layer, the upper surface of the material; depositing a copper layer on the semiconductor substrate; in the semiconductor substrate; first dividing the semiconductor substrate in the opening pattern of a portion of the upper surface of the dielectric layer; The substrate is thermally tempered in an environment with a temperature of about 350 to 40 ° C; and the human chemical mechanical grinding process is used to remove the copper layer and define the copper mosaic structure in the fire process. In the heat tempering process, At about 15 to 45 minutes, a second chemical mechanical polishing process is performed on the semiconductor substrate so that the copper damascene structure has a flat upper surface. 11. The method according to item 10 of the scope of patent application, wherein the material of the above-mentioned dielectric layer is' selectable oxide, undoped silica glass (USG), fluorine-doped cullet (FSG), low dielectric material, or random combination. 12. The method according to item 10 of the patent application scope, wherein before depositing the copper layer, the method further comprises the following steps: forming a barrier layer on the surface of the opening pattern; and forming a copper seed layer on the barrier layer. On the surface. 457683 六、申請專利範圍 13. 如申請專利範圍第12項之方法’其中上述之銅層 是使用化學電鍍法(ECP)所形成。 14. 如申請專利範圍苐1 3項之方法’其中上述之化學 電鍍程序是將該半導體底材沉浸於硫酸銅溶液中’旅藉著 將該銅晶種層電性連接至陰極導線,以便位於硫酸銅溶液 中之銅離子’可還原並沉積於該銅晶種層表面。 15. 如申請專利範圍第1 〇項之方法’其中在形成該介 電層之前,更包括形成各式元件或材料層於該半導體底材 上之步驟。 16. 如申請專利範圍第1 〇項之方法,其中上述之熱回 火程序’可降低該銅鑲嵌結構之應力,並使該銅鑲嵌結構 中的銅原子產生晶粒成長,而造成該銅鑲嵌結構的上表面 產生凸起結構。 17. 如申請專利範圍第1 6項之方法,其中上述第二次 化學機械研磨程序,可移除該凸起結構而使該銅鑲嵌結構 具有平坦化的上表面。 m 1 8_如申請專利範圍第1 〇項之方法,其中進行上述第 二次化學機械研磨程序的時間約為1 0至3〇秒鐘。457683 VI. Scope of patent application 13. The method of applying for the scope of patent application No. 12 wherein the above-mentioned copper layer is formed by chemical plating (ECP). 14. For the method of applying for the scope of item 13 of the patent, where the above-mentioned chemical plating procedure is to immerse the semiconductor substrate in a copper sulfate solution, the electronic method is to electrically connect the copper seed layer to the cathode wire so as to be located at The copper ions in the copper sulfate solution can be reduced and deposited on the surface of the copper seed layer. 15. The method according to item 10 of the scope of patent application, wherein before forming the dielectric layer, it further comprises the step of forming various elements or material layers on the semiconductor substrate. 16. For the method of applying for item 10 of the patent scope, wherein the above-mentioned thermal tempering procedure can reduce the stress of the copper mosaic structure and cause grain growth of copper atoms in the copper mosaic structure, resulting in the copper mosaic The upper surface of the structure produces a raised structure. 17. The method of claim 16 in the scope of patent application, wherein the second chemical mechanical polishing procedure described above can remove the raised structure so that the copper mosaic structure has a flat upper surface. m 1 8_ The method according to item 10 of the scope of patent application, wherein the time for performing the second chemical mechanical polishing process is about 10 to 30 seconds. 第19頁Page 19
TW89120824A 2000-10-05 2000-10-05 Cu damascene processes preventing hillock on the surface TW457683B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW89120824A TW457683B (en) 2000-10-05 2000-10-05 Cu damascene processes preventing hillock on the surface

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW89120824A TW457683B (en) 2000-10-05 2000-10-05 Cu damascene processes preventing hillock on the surface

Publications (1)

Publication Number Publication Date
TW457683B true TW457683B (en) 2001-10-01

Family

ID=21661456

Family Applications (1)

Application Number Title Priority Date Filing Date
TW89120824A TW457683B (en) 2000-10-05 2000-10-05 Cu damascene processes preventing hillock on the surface

Country Status (1)

Country Link
TW (1) TW457683B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6806184B2 (en) 2002-11-08 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method to eliminate copper hillocks and to reduce copper stress
CN112201616A (en) * 2020-09-21 2021-01-08 上海华力集成电路制造有限公司 Method for improving copper hillock in copper interconnection process

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6806184B2 (en) 2002-11-08 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method to eliminate copper hillocks and to reduce copper stress
CN112201616A (en) * 2020-09-21 2021-01-08 上海华力集成电路制造有限公司 Method for improving copper hillock in copper interconnection process

Similar Documents

Publication Publication Date Title
TW541659B (en) Method of fabricating contact plug
CN100442474C (en) Method of manufacturing semiconductor device
US6821879B2 (en) Copper interconnect by immersion/electroless plating in dual damascene process
US6399486B1 (en) Method of improved copper gap fill
US6657304B1 (en) Conformal barrier liner in an integrated circuit interconnect
TW201709293A (en) Ruthenium metal feature fill for interconnects
TW200539391A (en) Method for fabricating low resistivity barrier for copper interconnect
TWI300244B (en) Uniform passivation method for conductive feature
US7157380B2 (en) Damascene process for fabricating interconnect layers in an integrated circuit
TW457683B (en) Cu damascene processes preventing hillock on the surface
JP2003045878A (en) Method for forming wiring of semiconductor element
JP2004153274A (en) Damascene interconnection utilizing barrier metal layer deposited with metal carbonyl
KR100399909B1 (en) Method of forming inter-metal dielectric in a semiconductor device
KR100945503B1 (en) Method for forming metal interconnection layer of semiconductor device
TW455954B (en) Manufacturing process using thermal annealing process to reduce the generation of hillock on the surface of Cu damascene structure
KR20030050062A (en) METHOD FOR FORMING Cu WIRING OF SENICONDUCTOR DEVICE
US5930670A (en) Method of forming a tungsten plug of a semiconductor device
JPH09232313A (en) Formation of buried conductive layer
KR20020053610A (en) Method of fabricating conductive lines and interconnections in semiconductor devices
JP3087692B2 (en) Method for manufacturing semiconductor device
TWI292215B (en)
TW522517B (en) Method of forming copper damascene structure on semiconductor substrate
TW469588B (en) Method for enhancing electromigration resistance of metal wire
TW531841B (en) Fabrication method of inter metal dielectrics to avoid damaging the wafer
JP2007194566A (en) Semiconductor device, and its process for fabrication

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent