TW522517B - Method of forming copper damascene structure on semiconductor substrate - Google Patents

Method of forming copper damascene structure on semiconductor substrate Download PDF

Info

Publication number
TW522517B
TW522517B TW89106946A TW89106946A TW522517B TW 522517 B TW522517 B TW 522517B TW 89106946 A TW89106946 A TW 89106946A TW 89106946 A TW89106946 A TW 89106946A TW 522517 B TW522517 B TW 522517B
Authority
TW
Taiwan
Prior art keywords
copper
layer
semiconductor substrate
barrier layer
patent application
Prior art date
Application number
TW89106946A
Other languages
Chinese (zh)
Inventor
Jung-Shi Liou
Shau-Lin Shue
Chen-Hua Yu
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW89106946A priority Critical patent/TW522517B/en
Application granted granted Critical
Publication of TW522517B publication Critical patent/TW522517B/en

Links

Abstract

A method of forming a copper damascene structure on a semiconductor substrate comprises: forming a dielectric layer on a semiconductor substrate; etching the dielectric layer to form an opening on the dielectric layer, in which the opening is used to expose the upper surface of the semiconductor substrate; forming a barrier layer on the sidewall of the opening and the exposed upper surface of the semiconductor substrate, in which the temperature in forming the barrier layer is higher than 250 DEG C to reduce the structural stress of the barrier layer; forming a copper crystal seed layer on the upper surface of the barrier layer; performing an electrochemical plating (ECP) reaction to form a copper layer on the upper surface of the copper crystal seed layer and filling the opening; performing a chemical mechanical polishing on the semiconductor substrate to remove a portion of the copper layer, the copper crystal seed layer and the barrier layer, on the upper surface of the dielectric layer, and defining a copper damascene structure in the opening.

Description

發明領域: 之銅鑲嵌結構有關,特別 ’有效降低阻障金屬層其 9 一本發明與一種半導體製程寸 ^種在製作銅鑲嵌結構程 結構應力之相關製程^ 發明背景: (ΙΙΤ ς τ 著半導體工業持續的進展,在超大型積體電路 趨勢,各I*為7符合高密度積體電路之設計 不斷的縮1,士道功、皆降至次微米以下。並且由於元件 土 ’、,也導致在進行相關半導體製程時,往往遭遇 工=有之難題,且製程複雜程度亦不 。一: :的以及用來連接這些元件的電子連結4 = 入仃:南之特定功能。因此積體電路的性能,⑨了依靠所 ::件之性能及可靠度外’ £需要無數精密細微的金屬内 體、ΐ路專遞元件間的電子訊號。特別是隨著積 縮小,當前的積體電路設計,已朝著多 然而在多重金屬内連線的相關製程中,由於 影解析度的限制、曝光聚焦(J? 〇 c u s )的誤差、影像 ;+ 精確度與解析度(Resolution)與可使用空間的縮 /的Field of the Invention: The copper damascene structure is particularly related to the effective reduction of the barrier metal layer. This invention relates to a semiconductor process. ^ A related process in the fabrication of copper damascene structure stress. Background of the invention: (ΙΙΤ τ semiconductor The industry continues to progress. In the trend of ultra-large integrated circuits, each I * is 7 in line with the design of high-density integrated circuits, which has been continuously reduced by 1, and the Shidao Gong has been reduced to sub-micron. When carrying out related semiconductor manufacturing processes, they often encounter industrial difficulties and the complexity of the process. One:: and the electronic connections used to connect these components 4 = Into: a specific function of the South. Therefore, the integrated circuit The performance depends on the performance and reliability of the parts: The electrical signals between countless precision metal inner bodies and Kushiro delivery components are required. Especially as the product shrinks, the current integrated circuit design has been Toward multiple, but in the process of multi-metal interconnection, due to the limitation of the resolution, the error of the exposure focus (J? Cuscus), the image; + accuracy and -Resolution (Resolution) and the available space enlargement / reduction of

522517 五、發明說明(2) — 鑲嵌製程(damascene process)的相關技術,受到廣泛的 發展與運用。並且,藉著使用單一鑲嵌製程(single damascene)或是雙重鑲嵌製程(dual damascene)2技術, 可以更精確細緻的製造多重金屬内連線(multiple inter-connections)。一般而言,雙重鑲嵌結構的技術, 可用來同步形成半導體底材上之溝渠連線與連接至半導體 底材的導電插塞。如此,除了可有效提昇定義積體電路連 線圖案的精準度外,更可大幅提昇積體電路之可靠度與良 率。因此鑲嵌結構,被大量的應用於大型積體電路内連 之製程中。 、 此外,對傳 極佳的導電性與 刻’是以往往成 半導體元件的積 觸結構’亦遭遇 原子容易與矽底 產生π尖峰現象” 尺寸隨著元件縮 動,很容易使所 前的半導體工業 低的銅金屬,來 銅金屬具有較低 中之連線結構。 統的半導體製程而言,鋁 便宜的造價,並且可任意 為業界優先考慮的導線材 集度不斷上昇,使用金屬 了極多的困難。例如,在 材發生交互擴散(inter-d 並導致I呂線接觸不良。 小時,由於”電致遷移"所 製作的鋁連線結構發生短 中’往往試著使用導電性 取代傳統大量使用之鋁金 的電致遷移率,是以可應 金屬材料 的進行沉 料。然而 紹來作為 高溫環境 i f fus i on 此外,當 導致的鋁 路。因此 較高且電 屬。特別 用於半導 由於其 積與蝕 ,隨著 連線接 中,鋁 ),而 鋁線的 原子移 ,在目 阻率較 是由於 體製程 522517522517 V. Description of the Invention (2) — The technology related to the damascene process has been widely developed and used. In addition, by using a single damascene or dual damascene 2 technology, multiple metal inter-connections can be manufactured more accurately and carefully. Generally speaking, the technology of the dual damascene structure can be used to synchronize the trench connection on the semiconductor substrate and the conductive plug connected to the semiconductor substrate. In this way, in addition to effectively improving the accuracy of defining the connection pattern of the integrated circuit, it can also greatly improve the reliability and yield of the integrated circuit. Therefore, the mosaic structure is widely used in the process of interconnecting large integrated circuits. In addition, it has excellent conductivity and is engraved with a structure that often forms a semiconductor element. It also encounters π spikes between atoms and the silicon substrate. ”As the element shrinks, it is easy to make the previous semiconductor Copper metal with low industry and copper metal have lower connection structure. In terms of traditional semiconductor manufacturing process, aluminum is cheaper to manufacture and can be arbitrarily considered as the industry ’s priority. The concentration of wires is increasing, and many metals are used. Difficulties. For example, inter-diffusion occurs in the material (inter-d and lead to poor contact of the I-Lu line. Hours, due to the "short-to-medium aluminum connection structure produced by electro-migration" often try to use conductivity instead of traditional The electromobility of heavily used aluminum and gold is settling with coping metal materials. However, Shao Lai is used as a high temperature environment if fus i on In addition, when the aluminum road is caused. It is therefore high and electrical. It is especially used for The semiconductor is due to its product and corrosion. As the connection is connected, aluminum), and the atomic shift of the aluminum wire, the apparent resistance ratio is due to the system process 522517.

社構第:圖’該圖所顯示為目前技術中製作銅連線 ϊϊΐ:底二?中所示,“提供-半導體底材二: ,導體底材1〇上,具有提供連接之各層與各式元 者,形成介電層1 2於半導體底材丨〇上,並使用微影 =介電層12上形成開口,以曝露出半導體底材上連 區域(未顯示於圖中)。然後,沿著開口表面,形成所需: 阻障層14。在形成阻障層14後,再形成—銅晶種(Cu seeding)層15於阻障層14之上表面。接著,可使用化學電 鍍(electrical chemical plating; ECp)製程,形成銅層 於半導體底材10、介電層12、與銅晶種層15上方,且填^ 於上述開口中。隨後’再使用化學機械研磨(CMp)製程, 移除位於介電層1 2上方之阻障層丨4、銅晶種層丨5與銅層, 而定義出位於開口中之銅鑲嵌結構16。 值得注意的是’在製作此銅鑲嵌結構1 6的過程中,由 於使用了大量的化學反應,例如化學電鍍反應與化學機械 研磨反應。是以在所定義的銅鑲嵌結構16上表面,往往會 受到所使用化學材料的侵蝕,而產生如第一圖中所顯示之 腐餘(corrosion)現象18。亦即,銅鑲嵌結構16會產生凹 陷(recessed)且受損(damage)的上表面。如此一來,亦導 致在製作雙重銅鑲後(Cu dual damascene)結構時,連線 元件的良率及可靠度皆大幅下降。Article No. of the Social Organization: The picture shows that the copper wire is made in the current technology. In the illustration, "Provided-Semiconductor Substrate 2: On the conductive substrate 10, there are layers and various elements that provide connections, forming a dielectric layer 12 on the semiconductor substrate, and using lithography = An opening is formed in the dielectric layer 12 to expose the upper region of the semiconductor substrate (not shown in the figure). Then, along the surface of the opening, the required: barrier layer 14 is formed. After the barrier layer 14 is formed, Forming—a copper seeding layer 15 is formed on the upper surface of the barrier layer 14. Then, a chemical plating (ECp) process can be used to form a copper layer on the semiconductor substrate 10, the dielectric layer 12, and Above the copper seed layer 15 and filled in the above-mentioned openings. Then, a chemical mechanical polishing (CMp) process is used to remove the barrier layer above the dielectric layer 12 and the copper seed layer 5 and The copper layer defines the copper damascene structure 16 located in the opening. It is worth noting that in the process of making this copper damascene structure 16, a large number of chemical reactions are used, such as chemical plating reaction and chemical mechanical polishing reaction. Is on the upper surface of the defined copper mosaic structure 16 Is often eroded by the chemical materials used, resulting in a corrosion phenomenon 18 as shown in the first figure. That is, the copper damascene structure 16 will be recessed and damaged. Surface. As a result, the yield and reliability of the connection components are greatly reduced when the Cu dual damascene structure is manufactured.

522517 五、發明說明(4) 發明目的及概述: 體底idi要目的在提供-種製作銅鑲嵌結構於半導 崎膝之$目的在提供-種在銅鑲嵌製程中,可有 -鑲嵌結構其表面腐蝕程度之相關製程。 阻障ί ΐ2:一目的在提供-種有效降低銅鑲嵌結構中 阻障層結構應力之相關製作方法。 再γ 下列在半ί體底材上製造銅鑲嵌結構之方》,包括了 電層二形成===成介電層於•導體底材·11。再餘刻介 底二丨電層上,其中開口用以曝露出半導體 導體底材上表面。1中护成阻二:開口側壁與所曝露的半 。(:,用以降柄二: 导層之溫度約在250〜4〇。 “ΪΓΓ!之結構應力。此外,…形成阻障 丄’以便減少阻障層間應力。並 著,形成銅晶種層於阻障層之上:層:溫度降低。接 (㈣反應,以形成銅層於銅晶種/°並進行化學電鍍 口中。然冑,對半導體底材造杆Λ上表面,且填充於開 除位於介電層上表面之部::;丁化:,械研磨程:,以移 定義銅鑲嵌結構於開口之中。曰5曰曰種層與阻障層’並 第7頁 五、發明說明(5) 發明詳細說明: 體底供一個新方法,用來形成銅鑲嵌結構於半導 瞎:L !口中:其中,藉著在形成阻障層於開口表面 構應力Γ L層ί行熱回火程序,可有效的降低其間的結 == 在定義銅鑲ι结構時所進行的化學機 =磨程序中,將可有效降低由於結構應力過大,所 的腐蝕現象。另外,也可藉著提高製作阻障層之溫声, ΪΪ降低其結構應力之效果。有關本發明之詳細說:如下 請參照第二圖,首先提供一具&lt;100&gt;晶向之 材20。一般而言,其它種類之半導體材料,諸如神阳化鎵- gallium arsenide)、鍺(ge〇ianium)或是位於絕緣層上 之矽底材(silicon on insulator, SOI)皆可作為半&amp;辦 底材使用。另外’由於半導體底材表面的特性對本發明而 不會造成特別的影日向,是以其晶向亦可選擇〈11 〇&gt; 接著在半導體底材20上形成介電層22,以產生絕 用。此處要說明的是在形成介電層22之前,半 上已製作了積體電路所需之各式主動元件、被動元件材盥 週圍電路等等。換言之,在此半導體底材2()表面上,已^ /522517 V. Description of the invention (4) Purpose and summary of the invention: The main purpose of the body idi is to provide-a kind of copper inlay structure for semi-conductor akisaki. The purpose is to provide-a kind of copper inlaying process, which can have-inlay structure Related process of surface corrosion degree. Barriers ί ΐ2: One purpose is to provide a manufacturing method for effectively reducing the structural stress of the barrier layer in the copper mosaic structure. Then, the following "Methods for Manufacturing Copper Mosaic Structures on Semi-Substrates" includes the formation of the second electrical layer === the formation of a dielectric layer on a conductive substrate · 11. Then, the bottom layer is etched into the electrical layer, and the opening is used to expose the upper surface of the semiconductor conductor substrate. 1 middle protection into resistance two: the side wall of the opening and the exposed half. (: Used to lower the handle 2: The temperature of the conductive layer is about 250 ~ 40. "The structural stress of" ΪΓΓ !. In addition, ... form a barrier 丄 'to reduce the stress between barrier layers. Also, form a copper seed layer on Above the barrier layer: Layer: The temperature is lowered. Then the reaction is performed to form a copper layer on the copper seed / ° and chemical plating is performed. However, the upper surface of the rod Λ is formed on the semiconductor substrate and filled in the expulsion located at The upper part of the dielectric layer ::; Ding Hua :, mechanical polishing process: to define the copper mosaic structure in the opening. "5 said seed layer and barrier layer" and page 7 V. Description of the invention ( 5) Detailed description of the invention: The bottom of the body provides a new method for forming a copper mosaic structure in a semiconducting blind: L! In the mouth: Among them, by forming a barrier layer on the surface of the opening, the structural stress Γ L layer is thermally tempered Program, which can effectively reduce the intervening knots == the chemical machine used in the definition of the copper inlaid structure = grinding process, can effectively reduce the corrosion phenomenon due to excessive structural stress. In addition, you can also improve the production The temperature sound of the barrier layer reduces the effect of its structural stress. The details of the present invention are as follows: Please refer to the second figure as follows, first provide a material with a crystal orientation of &lt; 100 &gt;. In general, other types of semiconductor materials, such as gallium arsenide, germanium (ge) 〇ianium) or silicon on insulator (SOI) on the insulation layer can be used as semi-amplifier substrates. In addition, the characteristics of the surface of the semiconductor substrate will not cause a special influence on the present invention. It is also possible to choose <11 〇> based on its crystal orientation. Next, a dielectric layer 22 is formed on the semiconductor substrate 20 to produce an absolute. Here it is explained that before the dielectric layer 22 is formed, it has been fabricated halfway. Various active components, passive components, and peripheral circuits required for integrated circuits are described. In other words, on the surface of this semiconductor substrate 2 (), ^ /

壓 士的功ί層與材料層。在較佳實施例t ',… 法(CVDh化矽或^化矽形成。例如,可使用化學氣相沈積 力T '四…酸鹽(丽)在溫度約_至8〇。。c,&gt; 敎:·至、ΐ〇ΓΓ間,來形成氧化矽。或著,也可以利用 二,方式來製作氧化矽。至於氮化矽則可在大約400至 的爐中形成’且製程中的反應氣體是㈣,μ及 3。此外’ &gt;也可利用四乙基矽酸鹽(TEOS)作為反應材 1並加入氧原子’以化學氣相沉積法(LpcvD)形成氟矽 FSG),來作為上述之介電層22。並且,也可利用未 摻雜矽玻璃(USG),來作為上述之介電層22。 然後’可藉由傳統微影及蝕刻技術在介電層2 2上定義 f 口圖案24,以曝露出半導體底材2〇之上表面。一般而 吕’可先在介電層22上,形成光阻以定義開口圖案,並藉 著進行微影及#刻程序,而在介電層22上定義出開口圖案 24。在一較佳實施例中,可使用電漿蝕刻術來定義開口圖 案24。其中,用以移除氧化矽之蝕刻劑可選擇⑺込匕、 CHF3/CF4、CHF3/02、CH3CHF2、cf4/o2。至於用以移除氮 化矽之蝕刻劑則可選擇cf4/h2、chf3或CH3CHF2。 仍請參照第三圖,接著形成阻障層2 6於開口圖案2 4側 壁與所曝露的半導體底材20上表面,以防止後續製作之銅 層與介電層22、半導體底材20發生擴散現象,而產生尖峰 效應(spiking effect)。在較佳實施例中,形成阻障層26The function and material layer of the fighter. In a preferred embodiment t ′, ... method (CVDh siliconized or siliconized). For example, a chemical vapor deposition force T ′ tetrahydrochloride (Li) can be used at a temperature of about ˜80 ° C. &gt; 敎: · to, ΐ〇ΓΓ to form silicon oxide. Or, you can also use two ways to make silicon oxide. As for silicon nitride can be formed in a furnace of about 400 to 'and the reaction in the process The gases are krypton, μ, and 3. In addition, '&gt; Tetraethylsilicate (TEOS) can be used as the reaction material 1 and oxygen atoms are added' to form fluorosilicon (FSG) by chemical vapor deposition (LpcvD). The dielectric layer 22 described above. Also, as the dielectric layer 22, undoped silica glass (USG) may be used. Then, the f-portion pattern 24 can be defined on the dielectric layer 22 by conventional lithography and etching techniques to expose the upper surface of the semiconductor substrate 20. Generally, a photoresist is first formed on the dielectric layer 22 to define an opening pattern, and an opening pattern 24 is defined on the dielectric layer 22 by performing lithography and #etching procedures. In a preferred embodiment, plasma etching can be used to define the opening pattern 24. Among them, the etchant used to remove silicon oxide can be selected from dagger, CHF3 / CF4, CHF3 / 02, CH3CHF2, cf4 / o2. As for the etchant used to remove silicon nitride, cf4 / h2, chf3 or CH3CHF2 can be selected. Still referring to the third figure, a barrier layer 26 is then formed on the sidewall of the opening pattern 2 4 and the upper surface of the exposed semiconductor substrate 20 to prevent diffusion of the copper layer and the dielectric layer 22 and the semiconductor substrate 20 produced later. Phenomenon, which produces a spiking effect. In the preferred embodiment, a barrier layer 26 is formed

522517 五、發明說明(7) 一 之溫度約為250至40()。(:,以便有效的降低阻障層26其結構 應力。至於其材質則可選擇鈕(Ta)、氮化鈕(TaN)或任意 組合。此外,所製作阻障層26其較佳的厚度約為1〇〇至5〇〇 埃。 一般而言,可使用氮化反應(nitridati〇n)製程來形 成所需之氮化鈕層。首先進行濺鍍程序,以沉積一钽層於 開口 24之侧壁與半導體底材2〇上表面,再於n2*NH3的環境 中,經由高溫處理而形成所需之氮化鈕層;此外,也可利 用反應性濺鍍程序來形成氮化鈕層。藉著利用電漿離子轟 擊组金屬’且通入氬氣與氮氣,以便經轟擊所濺出的鈕原 子,可與經由解離反應(dissociation reaction)所形成 的氮原子’反應並形成氮化鈕而沉積於半導體底材2〇表 面。 其中在形成阻障層26後,可將半導體底材2〇在真空環 境下轉移至一降溫反應室中,以進行降溫程序。在對半導 體底20進行降溫後’可形成銅晶種層(Cu seedifig layer) 2 8於阻卩早層2 6上表面。其中,在一較佳實施例中,此銅晶 種層28可使.用熟知技術,諸如物理氣相沉積法(physicai vapor deposition; PVD)、濺鍍法等類似製程而加以形 成,且具有約500至2500埃之厚度。 接著,請參照第三圖,將半導體底材2 〇沉浸於一硫酸522517 V. Description of the invention (7) The temperature is about 250 to 40 (). (: In order to effectively reduce the structural stress of the barrier layer 26. As for the material, a button (Ta), a nitride button (TaN), or any combination thereof can be selected. In addition, the preferred thickness of the barrier layer 26 is about It is 100 to 500 angstroms. Generally, a nitridation process can be used to form the required nitride button layer. A sputtering process is first performed to deposit a tantalum layer on the opening 24. The sidewall and the upper surface of the semiconductor substrate 20 are formed in a n2 * NH3 environment through a high temperature treatment to form the required nitride button layer. In addition, a reactive sputtering process can also be used to form the nitride button layer. By bombarding the group of metals with plasma ions and passing in argon and nitrogen, the button atoms that are splashed by bombardment can react with the nitrogen atoms formed through the dissociation reaction and form a nitride button. Deposited on the surface of the semiconductor substrate 20. After the barrier layer 26 is formed, the semiconductor substrate 20 can be transferred to a cooling reaction chamber under a vacuum environment to perform a cooling process. After the semiconductor substrate 20 is cooled, 'Can form a copper seed layer (Cu s eedifig layer) 2 8 is on the upper surface of the early barrier layer 26. Among them, in a preferred embodiment, the copper seed layer 28 can be used. Well-known techniques such as physical vapor deposition (PVD) are used. ), Sputtering and the like, and have a thickness of about 500 to 2500 angstroms. Next, referring to the third figure, immerse the semiconductor substrate 20 in a sulfuric acid

第10頁 522517 五、發明說明(8) 銅溶液中,以進行化學電鍍(Electrical Chemical Plating; ECP)反應,而形成銅層於銅晶種層28上方,且 填充於開口圖案24之中。一般而言,可藉著將銅晶種層28 電性連接至一電源之陰極,而使位於硫酸銅溶液中之銅離 子’進行還原並沉積於銅晶種層2 8之表面。亦即可經由進 行電鍛程序,而使銅原子沉積於銅晶種層28表面,並形成 所需的銅層。 然後,可對半導體底材2〇進行化學機械研磨程序 (CMP),以移除位於介電層22上表面之部份銅層、銅晶種 層28 m 26 ’並定義銅鑲嵌結構30於開口圖案24之 *般而。,所形成之銅鑲嵌結構30除了可作為介電層 線(via)外,亦可作為銅導電插塞⑻叫)。值得注 於!作阻障層26之溫度較高,是以其結構應力 構痒^所引古此一來,將可降低進行CMP程序中,由結 其表面產生腐姓而達到降低銅鑲嵌結構3° 除了上述在製作阻障層26 到降低結構應力外 :”其裊、皿度’來達 回火(anneaU^)程庠立^製作阻障層26後,再進行一熱 4 0 0 °C,且持續時門的〃熱回火程序之溫度約為2 5 0至 其結構應力之效果S /、”、、至6〇分鐘,而達到降低阻障層26Page 10 522517 V. Description of the invention (8) In a copper solution, a chemical plating (ECP) reaction is performed to form a copper layer over the copper seed layer 28 and fill the opening pattern 24. In general, the copper seed layer 28 can be electrically connected to the cathode of a power source, and the copper ions' in the copper sulfate solution can be reduced and deposited on the surface of the copper seed layer 28. That is, the copper forging can be deposited on the surface of the copper seed layer 28 through the electric forging process to form a desired copper layer. Then, a chemical mechanical polishing (CMP) process may be performed on the semiconductor substrate 20 to remove a portion of the copper layer and the copper seed layer 28 m 26 ′ located on the upper surface of the dielectric layer 22 and define a copper damascene structure 30 at the opening. Pattern 24 is the same. In addition to being used as a dielectric layer (via), the formed copper damascene structure 30 can also be called as a copper conductive plug). Worth paying attention to! The temperature of the barrier layer 26 is relatively high, which is caused by the structural stress ^ It will reduce the copper mosaic structure by 3 ° in the CMP process. After the barrier layer 26 is manufactured to reduce the structural stress: "It's 袅, the degree of temperament is to reach the tempering (anneaU ^). The temperature of the thermal annealing process is about 250 to the effect of its structural stress S /, ", to 60 minutes, and the barrier layer 26 is reduced.

$ 11頁 522517 五、發明說明(9) 接者’请參照第四〜六圖’此部份圖不顯示了使用本 發明之方法於單一鑲嵌製程中的情形。首先,如第四圖所 示’提供一半導體底材50,並在此半導體底材50上形成一 介電層52。接著,可使用傳統微影程序,定義接觸孔於其 上’並藉著使用化學氣相沉積法,或是諸如錢鍍程序之物 理氣相沉積法,形成導電插塞54於接觸孔中。 隨後’形成氮化矽層或氮氧化矽層於介電層5 2上表 面,以作為停止層(st〇p layer)56使用。並依序形成介電 層58與抗反射層(ARC)60於此停止層56上表面。其中,抗 反射層6 0亦可採用氮化矽、氮氧化矽或其任意組合來作為 其材料。在形成抗反射層6 〇後,可形成光阻層6 2於其上, 用以定義溝渠圖案64。 接著,可使用光阻層62作為蝕刻罩冪,對抗反射層6〇 與’|電層58進行蝕刻程序,直至抵達停止層56為止。如 此’可轉移溝渠圖案64至介電層58之中。接著,可移除為 溝渠圖案所曝露之部份停止層56,而曝露出導電插塞Η盥 部份介電層52上表面。 〃 請參照第五圖,在移除光阻層62後,可依序形成阻障 層66與銅晶種層68於溝渠圖案之上表面。其中,在形成阻 障層66時,如同前述方法,可提高其製程溫度至25〇〜4〇〇 C,來降低其結構應力。或是在形成阻障層6 6後,再進行$ 11 pages 522517 V. Description of the invention (9) For the recipient, please refer to the fourth to sixth drawings. This part of the drawing does not show the use of the method of the present invention in a single mosaic process. First, as shown in the fourth figure, a semiconductor substrate 50 is provided, and a dielectric layer 52 is formed on the semiconductor substrate 50. Next, a conventional lithography process can be used to define the contact holes thereon 'and a conductive plug 54 can be formed in the contact holes by using a chemical vapor deposition method or a physical vapor deposition method such as a coin plating process. Subsequently, a silicon nitride layer or a silicon oxynitride layer is formed on the surface of the dielectric layer 52 to be used as a stop layer 56. A dielectric layer 58 and an anti-reflection layer (ARC) 60 are sequentially formed on the upper surface of the stop layer 56. Among them, the anti-reflection layer 60 may also use silicon nitride, silicon oxynitride, or any combination thereof as its material. After the anti-reflection layer 60 is formed, a photoresist layer 62 can be formed thereon to define the trench pattern 64. Then, the photoresist layer 62 can be used as an etching mask to perform an etching process on the anti-reflection layer 60 and the electric layer 58 until the stop layer 56 is reached. In this way, the trench pattern 64 can be transferred into the dielectric layer 58. Then, a part of the stop layer 56 exposed by the trench pattern may be removed, and the upper surface of the conductive plug 52 and the dielectric layer 52 may be exposed. 〃 Please refer to the fifth figure. After the photoresist layer 62 is removed, a barrier layer 66 and a copper seed layer 68 can be sequentially formed on the upper surface of the trench pattern. Among them, when the barrier layer 66 is formed, as in the aforementioned method, the process temperature thereof can be increased to 25˜400 ° C. to reduce its structural stress. Or after forming the barrier layer 66

第12頁 522517 五、發明說明(ίο) --*— 一溫度約25 0至40 0 °C、且時間約3至6〇分鐘的熱回火程 序,以降低其結構應力。接著,再進行化學電鍍反應 (ECP),而形成鋼層7〇於銅晶種層68之上表面,且至 溝渠圖案之中。 ' 然後,如第六圖所示,可使用化學機械研磨法,將位 於^電層58表面上之部份銅層7〇、銅晶種層68、阻障層“ 與抗反射層60移除。如此一來,可定義出銅鑲嵌結構7 2於 溝渠圖案之中。此銅鑲嵌結構72為單一鑲嵌結構(singie damascene),且可根據所定義的溝渠圖案,而作為溝渠連 線使用。並且,此銅鑲嵌結構72可以導電插塞54,而與半 導體底材5 0上之元件,產生電性連結。 接著,請參照第七〜九圖,此部份圖示顯示了使用本 發明之方法於雙重鑲嵌製程中之情形。其中,如第七圖所 示,首先可在半導體底材5〇上,依序形成介電層52、停止 層56、介電層58與抗反射層(ARC)60。接著,可形成光阻 層62於其上,用以定義接觸孔圖案65。 然後,藉著使用傳統微影程序,以光阻層62為蝕刻罩 冪,對抗反射層60、介電層58、停止層56與介電層“進行 蝕刻,以定義接觸孔65於其中。在移除光阻層62後,如g 八圖所示,再重新定義一光阻層67於抗反射層6〇上表而 其中,此光阻層67上具有溝渠圖案。再使用光阻層67作為Page 12 522517 V. Description of the invention (ίο)-* — A thermal tempering process with a temperature of about 25 to 40 ° C and a time of about 3 to 60 minutes to reduce its structural stress. Next, an electroless plating reaction (ECP) is performed to form a steel layer 70 on the upper surface of the copper seed layer 68 and into the trench pattern. ′ Then, as shown in the sixth figure, a part of the copper layer 70, the copper seed layer 68, the barrier layer “and the anti-reflection layer 60 on the surface of the electrical layer 58 may be removed using a chemical mechanical polishing method. In this way, the copper mosaic structure 72 can be defined in the trench pattern. This copper mosaic structure 72 is a single mosaic structure (singie damascene), and can be used as a trench connection according to the defined trench pattern. This copper damascene structure 72 can be electrically conductive plugs 54 and can be electrically connected to the components on the semiconductor substrate 50. Next, please refer to the seventh to ninth figures, this part of the figure shows the method using the present invention In a dual damascene process, as shown in the seventh figure, a dielectric layer 52, a stop layer 56, a dielectric layer 58 and an anti-reflection layer (ARC) can be sequentially formed on the semiconductor substrate 50 in this order. 60. Then, a photoresist layer 62 may be formed thereon to define the contact hole pattern 65. Then, by using a conventional lithography process, the photoresist layer 62 is used as an etching mask to resist the reflective layer 60 and the dielectric layer. 58. Stop layer 56 is etched with the dielectric layer to define contact 65 therein. After the photoresist layer 62 is removed, as shown in FIG. 8, a photoresist layer 67 is newly defined on the anti-reflection layer 60, and the photoresist layer 67 has a trench pattern. And use photoresist layer 67 as

第13頁 五、發明說明(11) 蝕刻罩冪,對抗反射層60與介電層58進行蝕刻程序,直至 抵達停止層56為止。如此,可轉移溝渠圖案69至介電層58 之中。接著,可移除為溝渠圖案69所曝露之部份停止^ 56 ’而曝露出部份介電層52之上表面。 曰Page 13 V. Description of the invention (11) The etching mask is used to perform an etching process on the anti-reflection layer 60 and the dielectric layer 58 until the stop layer 56 is reached. As such, the trench pattern 69 can be transferred into the dielectric layer 58. Then, the exposed portion of the trench pattern 69 can be removed and the upper surface of the dielectric layer 52 can be exposed. Say

請參照第九圖,在移除光阻層67後,可依序形成阻障 層66與銅晶種層68於溝渠圖案69及接觸孔65上表面。其 中,在形成阻障層66時,提高其製程溫度至25〇〜4〇〇艺、, 來降低其結構應力。或著,可在形成阻障層6 6後,再進行 一溫度約250至400 t:、且時間約3至6〇分鐘的熱回火程 序,以降低其結構應力。接著,進行化學電鍍反應 (ECP),以形成鋼層於銅晶種層68之上表面,且填充至 ^圖案69與接觸孔65之中。然後,藉著使用化學機械研磨 1,在移除位於介電層58表面上之部份銅層、銅晶種層 里阻:層66與抗反射層6〇後,可定義出銅鑲嵌結構”於 =圖細與接觸孔65中。此銅鑲嵌結構73為雙重鑲後結 ,(dual damascene),包括了 溝渠連線” 部份。 少姓ΐ t :具有許多優點。$先,藉著降低所製作阻障層 ,可有效的降低在化學機械研磨程序中,於銅 J嵌、‘構表面上產生腐餘之機會,並降低由結構應力所引 發之gayalr^c效應。此外,在製作阻障層後,所進行之冷 部coo ing程序,亦可維持所沉積的銅晶種層,使具有、 522517 五、發明說明(12) 較佳、較均勻的特性,而有效避免所形成的銅晶種層,產 生聚塊(agglomeration)、斷裂的效應。 本發明雖以一較佳實例闡明如上,然其並非用以限定 本發明精神與發明實體,僅止於此一實施例爾。對熟悉此 領域技藝者,在不脫離本發明之精神與範圍内所作之修 改,均應包含在下述之申請專利範圍内。Referring to the ninth figure, after the photoresist layer 67 is removed, a barrier layer 66 and a copper seed layer 68 can be sequentially formed on the upper surface of the trench pattern 69 and the contact hole 65. Among them, when the barrier layer 66 is formed, its process temperature is increased to 250-400 ° C to reduce its structural stress. Alternatively, after forming the barrier layer 66, a thermal tempering process at a temperature of about 250 to 400 t: and a time of about 3 to 60 minutes may be performed to reduce the structural stress. Next, a chemical plating reaction (ECP) is performed to form a steel layer on the surface of the copper seed layer 68 and fill the pattern 69 and the contact hole 65. Then, by using chemical mechanical polishing 1, the copper damascene structure can be defined after removing part of the copper layer and copper seed layer on the surface of the dielectric layer 58: the layer 66 and the antireflection layer 60. " In the figure and the contact hole 65. The copper damascene structure 73 is a dual damascene, including the part of the trench connection.少 surname ΐ t: has many advantages. First of all, by reducing the barrier layer produced, it can effectively reduce the chance of generating corrosion on the copper J embedded surface in the chemical mechanical polishing process, and reduce the gayalr ^ c effect caused by structural stress. . In addition, after making the barrier layer, the cold cooing process performed can also maintain the deposited copper seed layer, so that it has better and more uniform characteristics, and is effective. Avoid the formation of the copper seed layer, resulting in agglomeration and fracture effects. Although the present invention is explained as above with a preferred example, it is not intended to limit the spirit and the inventive substance of the present invention, but only to this embodiment. For those skilled in the art, modifications made without departing from the spirit and scope of the present invention should be included in the scope of patent application described below.

第15頁 522517 圖式簡單說明 圖式簡單說明.· 附圖示,將可輕易的了解 ,其中: 藉由以下詳細之描述結合所 上述内容及此項發明之諸多優點 第一圖為半導體晶片之 半導體底材上形成銅鑲嵌結 第二圖為半導體晶片之 在半導體底材上依序形成阻 驟; 哉面圖,顯示根據傳統技術在 構之步驟; f面圖,顯示根據本發明技術 |層與銅晶種層於開口中之步 第二圖為半導體晶片之截 名车逡舻宓从u wh 4山以面圖’顯示根據本發明技術 在手導體底材上形成銅鑲嵌結構之+驟· &lt;又仰 第四•六圖為半導體晶片之戴面乂圖,’·顯示根據本發明 第一實施例在半導體底材上製作單一銅鑲嵌(Cu single damascene)結構之步驟;及 第七〜九圖為半導體晶片之截面圖,顯示根據本發明 第二實施例在半導體底材上製作雙重銅鑲嵌(Cu dual damascene)結構之步驟。Page 522 517 Brief description of the drawings Brief description of the drawings. The drawings will be easily understood, of which: The following detailed description combines the above-mentioned content and many advantages of the invention. The first figure is a semiconductor wafer. Forming a copper damascene junction on a semiconductor substrate. The second figure shows the sequential formation of steps on a semiconductor substrate for semiconductor wafers; a plan view showing the steps of constructing according to traditional techniques; a plan view showing the technology according to the invention | Steps with copper seed layer in the opening. The second picture is a cut-off car of a semiconductor wafer. The figure from U WH 4 shows the + step of forming a copper mosaic structure on a hand conductor substrate according to the technology of the present invention. &lt; Figures 4 and 6 are top views of the wearing surface of a semiconductor wafer. '· shows the steps of making a Cu single damascene structure on a semiconductor substrate according to the first embodiment of the present invention; and seventh to seventh ~ Ninth figure is a cross-sectional view of a semiconductor wafer, showing the steps of fabricating a Cu dual damascene structure on a semiconductor substrate according to a second embodiment of the present invention.

Claims (1)

522517 六、申請專利範圍 1. 一種在半導體底材上製造銅鑲嵌(damascene)結構 之方法’該方法至少包括下列步驟: 形成介電層於該半導體底材上; 餘刻該介電層以形成開口於該介電層上,其中該開口 用以曝露出該半導體底材上表面; 幵)成阻障層於該開口之側壁與所曝露的該半導體底材 上表面’其中形成該阻障層之溫度大於25〇 ^,以降低該 阻障層之結構應力; 形成銅晶種層於該阻障層之上表面; 進行化學電鑛(ECP)反應以形成銅層於該銅晶種層上 表面,且填充於該開口中;且 對該半導體底材進行化學機械研磨程序,以移除位於 該介電層上表面之部份該銅層、該銅晶種層與該阻障層, 並定義銅鑲嵌結構於該開口之中。 2·如申請專利範圍第1項之方法,其中在形成該介電 層於該半導體底材上前,更包括形成各式元件或材料層於 該半導體底材上之步驟。 3 ·如申請專利範圍第1項之方法,其中上述之阻障層 可選擇组(Ta)、氮化组(TaN)或其任意組合,且形成該阻 障層之溫度約250至40 0 °C。 4 ·如申請專利範圍第1項之方法,其中上述之銅晶種522517 6. Scope of patent application 1. A method of manufacturing a copper damascene structure on a semiconductor substrate 'The method includes at least the following steps: forming a dielectric layer on the semiconductor substrate; and etching the dielectric layer to form An opening is formed on the dielectric layer, wherein the opening is used to expose the upper surface of the semiconductor substrate; ii) a barrier layer is formed in the sidewall of the opening and the exposed upper surface of the semiconductor substrate; The temperature is greater than 25 ° C in order to reduce the structural stress of the barrier layer; a copper seed layer is formed on the upper surface of the barrier layer; a chemical electricity mine (ECP) reaction is performed to form a copper layer on the copper seed layer Surface, and filled in the opening; and performing a chemical mechanical polishing process on the semiconductor substrate to remove a portion of the copper layer, the copper seed layer, and the barrier layer located on the upper surface of the dielectric layer, and A copper damascene structure is defined in the opening. 2. The method according to item 1 of the patent application scope, wherein before forming the dielectric layer on the semiconductor substrate, it further comprises the step of forming various elements or material layers on the semiconductor substrate. 3. The method according to item 1 of the patent application range, wherein the above barrier layer can be selected from the group (Ta), the nitride group (TaN), or any combination thereof, and the temperature at which the barrier layer is formed is about 250 to 40 ° C. 4 · The method according to item 1 of the scope of patent application, wherein the above-mentioned copper seeds 522517 六、申請專利範圍 層具有約500至2500埃之厚度。 5 ·如申請專利範圍第1項之方法,其中上述之阻障層 厚度約為100至500埃。 、 6 ·如申請專利範圍第1項之方法,其中在形成該阻障 層後,可對該半導體底材進行降溫程序。 7 ·如申請專利範圍第1項之方法,其中上述之化學電 鍛程序是將該半導體底材沉浸於硫酸銅溶液中,並藉著將 該銅晶種層電性連接至陰極導線,以便位於硫酸銅溶液中 之銅離子,可還原並沉積於該銅晶種層表面。 8 ·如申請專利範圍第1項之方法,其中上述之銅鑲嵌 結構為介電層間銅連線(v i a )。 9 ·如申請專利範圍第1項之方法,其中上述之銅鑲嵌 結構為銅導電插塞(pi ug)。522517 6. Scope of patent application The layer has a thickness of about 500 to 2500 Angstroms. 5. The method according to item 1 of the patent application range, wherein the thickness of the above barrier layer is about 100 to 500 Angstroms. 6. The method according to item 1 of the scope of patent application, wherein after the barrier layer is formed, the semiconductor substrate may be subjected to a cooling process. 7 · The method according to item 1 of the patent application range, wherein the above-mentioned chemical electroforging procedure is immersing the semiconductor substrate in a copper sulfate solution, and electrically connecting the copper seed layer to the cathode wire so as to be located at The copper ions in the copper sulfate solution can be reduced and deposited on the surface of the copper seed layer. 8. The method according to item 1 of the scope of patent application, wherein the copper damascene structure is a dielectric interlayer copper connection (v i a). 9 · The method according to item 1 of the scope of patent application, wherein the copper inlaid structure is a copper conductive plug (pi ug). 1〇· 種在半導體底材上製造銅镶鼓(damascene)結 構之方法,該方法至少包括下列步驟: 形成介電層於該半導體底材上; 蝕刻該介電層以形成開口於該介電層上,其中該開口 以曝露出該半導體底材之上表面;10. A method of manufacturing a copper damascene structure on a semiconductor substrate, the method including at least the following steps: forming a dielectric layer on the semiconductor substrate; etching the dielectric layer to form an opening in the dielectric Layer, wherein the opening exposes the upper surface of the semiconductor substrate; 第18頁 522517 六、申請專利範圍 形成阻障層於該開口之側壁與所曝露的該半導體底材 上'表·面; 對该半導體底材進行熱回火程序,其中該熱回火程序 之溫度約為2 5 0至4 0 0 °C,以降低該阻障層之結構靡力· 形成銅晶種層於該阻障層之上表面; 〜 ’ 進行化學電鍍(ECP)反應以形成銅層於銅晶種層上表 面,且填充於該開口中;且 曰 對該半導體底材進行化學機械研磨程序,以移除位於 該介電層上表面之部份該銅層、該銅晶種層與該阻障層, 並定義銅鑲嵌結構於該開口之中。 π.如申請專利範圍第10項之方法,其中在形成該介 電層於該半導體底材上前’更包括形成各式元件或材料層 於該半導體底材上之步驟。 9 12.如申請專利範圍第10項之方法,其中上述之阻障 層可選擇鈕(Ta)、氮化鈕(TaN)或其任意組合。 13·如申明專利範圍第1 〇項之方法,其中上述之銅晶 種層具有約5 00至2500埃之厚度。 14·如申明專利範圍第1 0項之方法,其中上述之阻障 層厚度約為100至500埃。 522517 六、申請專利範圍 15. 如申請專利範圍第1 0項之方法,其中上述之熱回 火程序持續約3至6 0分鐘。 16. 如申請專利範圍第1 0項之方法,其中上述之化學 電鍍程序是將該半導體底材沉浸於硫酸銅溶液中,並藉著 將該銅晶種層電性連接至陰極導線,以便位於硫酸銅溶液 中之銅離子,可還原並沉積於該銅晶種層表面。 17. 如申請專利範圍第1 0項之方法,其中上述之銅鑲 嵌結構為介電層間銅連線(v i a)。 18. 如申請專利範圍第1 0項之方法,其中上述之銅鑲 嵌結構為銅導電插塞(plug)。Page 18 522517 6. The scope of the patent application forms a barrier layer on the side wall of the opening and the exposed semiconductor substrate. The surface is subjected to a thermal tempering process. The thermal tempering process includes The temperature is about 250 to 400 ° C to reduce the structural force of the barrier layer. A copper seed layer is formed on the upper surface of the barrier layer. ~ 'Chemical plating (ECP) reaction is performed to form copper. Layer on the upper surface of the copper seed layer and filled in the opening; and said chemical mechanical polishing process is performed on the semiconductor substrate to remove a part of the copper layer and the copper seed on the upper surface of the dielectric layer Layer and the barrier layer, and define a copper damascene structure in the opening. π. The method of claim 10, wherein before forming the dielectric layer on the semiconductor substrate ', it further comprises the step of forming various elements or material layers on the semiconductor substrate. 9 12. The method according to item 10 of the patent application range, wherein the above barrier layer can be selected from a button (Ta), a nitride button (TaN), or any combination thereof. 13. The method as claimed in claim 10, wherein the copper seed layer described above has a thickness of about 500 to 2500 Angstroms. 14. A method as claimed in item 10 of the patent scope, wherein the thickness of said barrier layer is about 100 to 500 Angstroms. 522517 6. Scope of patent application 15. For the method of applying for patent item No. 10, the above-mentioned thermal tempering procedure lasts about 3 to 60 minutes. 16. The method of claim 10, wherein the above-mentioned chemical plating procedure is to immerse the semiconductor substrate in a copper sulfate solution, and electrically connect the copper seed layer to the cathode wire so as to be located at The copper ions in the copper sulfate solution can be reduced and deposited on the surface of the copper seed layer. 17. The method according to item 10 of the scope of patent application, wherein the copper-embedded structure described above is a dielectric interlayer copper connection (v i a). 18. The method of claim 10 in the scope of patent application, wherein the copper inlay structure is a copper conductive plug. 第20頁Page 20
TW89106946A 2000-04-14 2000-04-14 Method of forming copper damascene structure on semiconductor substrate TW522517B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW89106946A TW522517B (en) 2000-04-14 2000-04-14 Method of forming copper damascene structure on semiconductor substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW89106946A TW522517B (en) 2000-04-14 2000-04-14 Method of forming copper damascene structure on semiconductor substrate

Publications (1)

Publication Number Publication Date
TW522517B true TW522517B (en) 2003-03-01

Family

ID=28036850

Family Applications (1)

Application Number Title Priority Date Filing Date
TW89106946A TW522517B (en) 2000-04-14 2000-04-14 Method of forming copper damascene structure on semiconductor substrate

Country Status (1)

Country Link
TW (1) TW522517B (en)

Similar Documents

Publication Publication Date Title
US6228754B1 (en) Method for forming semiconductor seed layers by inert gas sputter etching
US6509267B1 (en) Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
TW541659B (en) Method of fabricating contact plug
TWI518843B (en) Interconnect structure and method for forming interconnect structure
US6555461B1 (en) Method of forming low resistance barrier on low k interconnect
US20100171219A1 (en) Extended liner for localized thick copper interconnect
EP1330842B1 (en) Low temperature hillock suppression method in integrated circuit interconnects
JP2008010534A (en) Semiconductor device and manufacturing method thereof
JP2012501076A (en) Use of cap layers as CMP and etch stop layers in semiconductor device metallization systems
US7326981B2 (en) Methods and apparatuses for producing a polymer memory device
US6465345B1 (en) Prevention of inter-channel current leakage in semiconductors
US20050098892A1 (en) Structure and process of metal interconnects
US8587128B2 (en) Damascene structure
TW522517B (en) Method of forming copper damascene structure on semiconductor substrate
KR100945503B1 (en) Method for forming metal interconnection layer of semiconductor device
TW457683B (en) Cu damascene processes preventing hillock on the surface
JP3998937B2 (en) Method for producing TaCN barrier layer in copper metallization process
TW455954B (en) Manufacturing process using thermal annealing process to reduce the generation of hillock on the surface of Cu damascene structure
TW526546B (en) Method for producing copper damascene structure having passivation layer
JP2003218201A (en) Semiconductor device and manufacturing method therefor
US20030017696A1 (en) Method for improving capability of metal filling in deep trench
TW579571B (en) Method for manufacturing copper damascene structure
JP2001189381A (en) Method for manufacturing semiconductor device
TW469588B (en) Method for enhancing electromigration resistance of metal wire
JP2006179645A (en) Semiconductor device and its manufacturing method

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent