TW469588B - Method for enhancing electromigration resistance of metal wire - Google Patents

Method for enhancing electromigration resistance of metal wire Download PDF

Info

Publication number
TW469588B
TW469588B TW89121872A TW89121872A TW469588B TW 469588 B TW469588 B TW 469588B TW 89121872 A TW89121872 A TW 89121872A TW 89121872 A TW89121872 A TW 89121872A TW 469588 B TW469588 B TW 469588B
Authority
TW
Taiwan
Prior art keywords
layer
copper
patent application
scope
item
Prior art date
Application number
TW89121872A
Other languages
Chinese (zh)
Inventor
Chung-Shi Liu
Shau-Lin Shue
Jen-Hua Yu
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW89121872A priority Critical patent/TW469588B/en
Application granted granted Critical
Publication of TW469588B publication Critical patent/TW469588B/en

Links

Abstract

There is provided a method for manufacturing a metal wire structure on a semiconductor substrate, which includes the steps of: first, forming a trench and/or contact/via in a dielectric layer; then, forming an un-doped metal layer on the dielectric layer and filling the metal layer in the trench and/or contact/via; then, forming a cover layer on the dielectric layer and on the un-doped metal layer; then, performing a photolithography procedure to form a photoresist layer on the cover layer for defining a wire pattern; then, using the photoresist layer as a mask to perform an ion implantation on the un-doped metal layer; and finally, removing the photoresist layer to form a doped metal wire structure.

Description

469588 五、發明說明(1) 發明領域: 本發明與一種半導體製程中之介電層間金屬連線 (v 1 a)或金屬導電插塞(p丨ug )的製程有關,特別是一種 具有南抗電移能力(Electr〇migrati〇n Resistance)之 介電層間銅連線或鋼導電插塞的相關製程。 發明背景: 著半導體科技持續的進展,當前的積體電路設計, 已朝著多重金屬内連線發展。在傳統多重金屬内連線的相 關製程中,鋁金屬材料由於具有極佳導電性、造價便宜、 且可任意進行沉積與蝕刻,故成為業界優先考慮的導線材 料。然而,隨著半導體元件的積集度不斷上昇,使用金屬 鋁來作為連線接觸結構,亦遭遇了許多困難。例如,在高 恤%境中,鋁原子容易與矽基底發生交互擴散 (inter-diffusion)’ 而產生"尖峰(spiking)現象 '並導 致鋁線接觸不良◊此外,當鋁線的尺寸隨著元件縮小時, 由於”電致遷移(£1“1;『0_11]41^1;1〇11)"所導致的鋁原子 移動,很谷易使所製作的鋁連線結構發生短路。 >因此’在目前的半導體工業中,往往試著使用導電性 較高、電阻率較低以及電致遷移率較低的銅金屬,來取代 傳統半導體製程之連線結構中所使用之鋁金屬或鋁合金469588 V. Description of the invention (1) Field of the invention: The present invention relates to a process of a dielectric interlayer metal connection (v 1 a) or a metal conductive plug (p 丨 ug) in a semiconductor process, and particularly relates to a process having a southern reactance. Related processes of Electromigration Resistance (Electromigration Resistance) copper connection or steel conductive plug between dielectric layers. Background of the Invention: With the continuous advancement of semiconductor technology, the current integrated circuit design has developed towards multiple metal interconnects. In the related process of traditional multi-metal interconnects, aluminum metal materials have become the preferred wire materials in the industry because of their excellent electrical conductivity, low cost, and the ability to arbitrarily deposit and etch. However, with the increasing accumulation of semiconductor devices, the use of aluminum metal as a contact structure for wiring has also encountered many difficulties. For example, in a high-percent environment, aluminum atoms easily inter-diffusion with the silicon substrate, and 'spiking' occurs and causes poor contact of the aluminum wire. In addition, when the size of the aluminum wire changes with When the device is downsized, the aluminum atom movement caused by "electromigration (£ 1" 1; "0_11] 41 ^ 1; 1010)" will easily short-circuit the fabricated aluminum wiring structure. > Therefore 'In the current semiconductor industry, often try to use copper metal with higher conductivity, lower resistivity and lower electromobility to replace the aluminum metal used in the wiring structure of traditional semiconductor processes Or aluminum alloy

469588 五、發明說明(2) (例如:鋁矽鋼合金或鋁銅合金),以提高元件的操作速 率以及提升元件的可靠度。 令以第一圖為例,簡略說明目前製作銅連線結構之方 法如下:首先,形成一介電層12於一半導體基底10上,其 中半導體基底10上具有提供連接之各層與各式元件。然後 利用微影蝕刻製程,於介電層1 2上形成開口 ,以曝露出半 導體基底1 0上之連接區域(未顯示於圖中 > 接著,沿著開 口表面’依序形成一阻障層1 4於介電層1 2之上表面、一銅 晶種(C u s e e d i n g)層1 6於阻障層1 4之上表面◦然後,可使 用化學電鍍(electrical chemical plating; ECP)製程, 形成一鋼層於半導體基底10、介電層12、阻障層14與銅晶 種層1 6上方’且填充於上述開口中。隨後,利用化學機械 研磨(CMP)製程,移除位於介電層12上方之阻障層14、銅 晶種層1 6與鋼層,而定義出位於開口中之銅連線結構1 8。 然而’因為半導體元件的積集度持續不斷增高,上述 製作鋼連線結構之方法所得之銅線的線寬將變得越來越 窄’此時因為電致遷移所引發的銅原子移動,亦將導致銅 線發生斷路(open)效應。因此,如何增進金屬連線的抗 電移綠力(E1ectro-migration Resistance),遂成為業 界亟需解決的問題。 發明目的及概述:469588 5. Description of the invention (2) (for example: aluminum-silicon steel alloy or aluminum-copper alloy), in order to improve the operating speed of the component and the reliability of the component. Let's take the first figure as an example to briefly explain the current method of making a copper connection structure as follows. First, a dielectric layer 12 is formed on a semiconductor substrate 10, where the semiconductor substrate 10 has various layers and various components that provide connections. Then, a lithography process is used to form an opening in the dielectric layer 12 to expose the connection area on the semiconductor substrate 10 (not shown in the figure). Then, a barrier layer is sequentially formed along the opening surface. 14 on the surface of the dielectric layer 12 and a copper seeding layer 16 on the surface of the barrier layer 14. Then, an electrical chemical plating (ECP) process can be used to form a A steel layer is filled above the semiconductor substrate 10, the dielectric layer 12, the barrier layer 14 and the copper seed layer 16 'and is filled in the above openings. Then, the chemical mechanical polishing (CMP) process is used to remove the dielectric layer 12 The upper barrier layer 14, the copper seed layer 16 and the steel layer define the copper connection structure 18 in the opening. However, because the accumulation of semiconductor components continues to increase, the above-mentioned production of the steel connection structure The width of the copper wire obtained by this method will become narrower and narrower. At this time, the copper atom movement caused by electromigration will also cause the copper wire to have an open effect. Therefore, how to improve the metal wiring 1. resistance to electromigration (E1ectro-migration Resistance) has become an urgent problem in the industry. Purpose and summary of the invention:

469588 五、發明說明(3) 本發明之目的在提供一種製作高抗電移能力之金屬連 線於半導體基底上之方法。 一種製作高抗電移能力之金屬連線於半導體基底上之 方法,包括了下列步驟。首先形成介電層於一半導體基‘ 上,然後蝕刻此介電層以形成渠溝及/或接觸洞/介層洞於 此介電層上,其中此渠溝及/或接觸洞/介層洞用以曝露出 半導體基底上表面,接著形成一阻障層於此渠溝及/或接 _ 觸洞/介層洞之側壁與所曝露的半導體基底上表面,接著 形成一晶種層於此阻障層之上表面,然後進行化學電鍍 (ECP)反應以形成未摻雜金屬層於此晶種層上表面,且填 充於此渠溝及/或接觸洞/介層洞中,然後利用化學機械研 磨程序,移除位於此介電層上表面之部份未摻雜金屬層、 晶種層與阻障層,並定義金屬連線結構於此渠溝及/或接 — 觸洞/介層洞之中。 接著形成一覆蓋層於此介電層、晶種層、阻障層及未 摻雜金屬層之上,接著進行微影程序,形成一光阻層於此 一 覆蓋層上,以定義連線圖案,再以此光阻層為罩冪,對此 未摻雜金屬層進行離子佈植,最後除去此光阻層,以形成 一摻質之金屬連線結構。469588 V. Description of the invention (3) The purpose of the present invention is to provide a method for making a metal wire with high resistance to electromigration on a semiconductor substrate. A method for making a metal wire with high resistance to electromigration on a semiconductor substrate includes the following steps. A dielectric layer is first formed on a semiconductor substrate, and then the dielectric layer is etched to form trenches and / or contact holes / dielectric holes on the dielectric layer, wherein the trenches and / or contact holes / dielectric layers are formed on the dielectric layer. The hole is used to expose the upper surface of the semiconductor substrate, and then a barrier layer is formed on the trench and / or the sidewall of the contact hole / via hole and the exposed upper surface of the semiconductor substrate, and then a seed layer is formed thereon. The upper surface of the barrier layer is then subjected to a chemical plating (ECP) reaction to form an undoped metal layer on the upper surface of the seed layer, and filled in the trench and / or contact hole / interlayer hole, and then using chemistry Mechanical grinding process to remove part of the undoped metal layer, seed layer and barrier layer located on the upper surface of the dielectric layer, and define the metal wiring structure in the trench and / or contact — contact hole / dielectric layer In the hole. Next, a cover layer is formed on the dielectric layer, the seed layer, the barrier layer and the undoped metal layer, and then a lithography process is performed to form a photoresist layer on the cover layer to define a connection pattern. Then, using the photoresist layer as a mask, ion implantation is performed on the undoped metal layer, and finally the photoresist layer is removed to form a doped metal connection structure.

469588 五、發明說明(4) 發明詳細說明: 本發明提供一個增進介層間金屬連線(v丨a)或導電 插塞(plug)的抗電移能力(Electro-migration Res i stance)之方法。其中’上述介層間金屬連線或導電 插塞的抗電移能力之增進,係藉由對未摻雜(undopped) 金屬連線進行離子佈植以達成。今詳述本發明如下_· .清參照第二圖’首先提供一製作有積體電路所需的各 式主動件、被動元件、與周圍電路等等之半導體基底 2 〇 ’其中半導體基底2 〇可為一 < 1 0 〇 >或< i丨丨〉晶向之單晶矽 或其它種類之半導體材料,如砷化鎵(GaAs)、鍺(Ge)或是 位於絕緣層上之矽基底(silic〇n 〇n insuiat〇r,SOI) 等0 接著形成介電層22於半導體基底2〇上,以產生絕緣作 用。、其中’介電層22包含氧化矽或氮化矽。其中氧化矽的 形成方法包含利用化學氣相沈積法(CVD)以四乙基矽酸鹽 (TE0S)在溫度約6〇〇至8〇〇。 c’壓力約〇·丨至1〇t〇rr間來形 成。或著,也可以利用熱氧化方式來製作。至於氮化矽則 y在大約4〇〇至450。 c的爐中形成,且製程中的反應氣體 是SiH4,LO及NHs。此外,也可利用四乙基矽酸鹽(TE〇s) 作為反應材並加入襄原+,以化學氣相沉積法 (LPCVD)形成氣矽玻璃(fsg),來作為上述之介電層22。或469588 V. Description of the invention (4) Detailed description of the invention: The present invention provides a method for improving the electro-migration resistance of an interlayer metal connection (v 丨 a) or a conductive plug (Electro-migration Res i stance). Among them, the improvement of the anti-electrical transfer ability of the above-mentioned interlayer metal connection or conductive plug is achieved by ion implantation of the undoped metal connection. The present invention is described in detail as follows.... With reference to the second figure 'First, a semiconductor substrate 2 of various active components, passive components, peripheral circuits, etc. required for fabricating integrated circuits is provided. It can be a < 1 0 〇 > or < i 丨 丨〉 crystal-oriented single crystal silicon or other kinds of semiconductor materials, such as gallium arsenide (GaAs), germanium (Ge) or silicon on the insulating layer Substrate (siliconon insuiatr, SOI), etc. Then, a dielectric layer 22 is formed on the semiconductor substrate 20 to generate an insulating effect. The dielectric layer 22 includes silicon oxide or silicon nitride. The method for forming silicon oxide includes using chemical vapor deposition (CVD) with tetraethyl silicate (TEOS) at a temperature of about 600 to 800. c 'pressure is formed between about 0.1 to 10 torr. Alternatively, it can be produced by a thermal oxidation method. For silicon nitride, y is about 400 to 450. It is formed in the furnace of c, and the reaction gases in the process are SiH4, LO and NHs. In addition, as the dielectric layer 22, tetraethyl silicate (TE0s) can be used as a reaction material and Xiangyuan + is added to form a gas-silicon glass (fsg) by chemical vapor deposition (LPCVD). . or

第7頁 469588 五、發明說明(5) 者,也可利用未摻雜矽玻璃(USG),來作為上述之介電層 22 = 然後,可藉由傳統微影及姓刻技術在介電層2 2上形成 一開口 ( contact/via hoi e 或 trench) 24’ 以曝露出半導 體基底2 0之上表面。一般而言,可先在介電層2 2上,形成 光阻以定義開口圖案,並藉著進行微影及蝕刻程序,而在 介電層2 2上形成開口 2 4。在一較佳實施例中,可使用電漿 蝕刻術來形成開口 2 4 =其中,用以移除氧化矽之蝕刻劑可 選擇 CC12F2、 CHF3/CF4' chf3/o2、 ch3chf2、cf4/o2。至於 用以移除氮化矽之蝕刻劑則可選擇cf4/h2、chf3或ch3chf2 仍請參照第三圖,接著形成阻障層2 6於開口 2 4侧壁與 所曝露的半導體基底2 0上表面,以防止後續製作之導電層 與介電層22、半導體基底2 0發生擴散現象,而產生尖峰效 應(spiking effect)。其中,阻障層2 6之材質可選自下列 所組成群集之一 :Is ( Ta)、氮化钽(TaN)、氮化鈦 (T i N)、鈦化鎢(T i W)、鈦(T i)或其任意組合,而阻 障層2 6形成之溫度約2 5至4 0 0°C,其較佳厚度約為1 0 0至 5 0 0埃。 一般而言,可使用氮化反應(nitridation)製程來形 成所需之氮化钽層。首先進行濺鍍程序,以沉積一钽層於Page 7 469588 5. Inventor (5) can also use undoped silica glass (USG) as the above-mentioned dielectric layer. 22 = Then, the traditional lithography and lithography techniques can be used on the dielectric layer. An opening (contact / via hoie or trench) 24 'is formed on 2 2 to expose the upper surface of the semiconductor substrate 20. Generally, a photoresist is first formed on the dielectric layer 22 to define an opening pattern, and an opening 24 is formed on the dielectric layer 22 by performing a lithography and etching process. In a preferred embodiment, plasma etching can be used to form the openings 2 4 = Among them, the etchant used to remove silicon oxide can be selected from CC12F2, CHF3 / CF4 'chf3 / o2, ch3chf2, cf4 / o2. As for the etchant used to remove silicon nitride, cf4 / h2, chf3 or ch3chf2 can be selected. Please refer to the third figure, and then form a barrier layer 2 6 on the side wall of the opening 2 4 and the exposed semiconductor substrate 20 Surface to prevent subsequent diffusion of the conductive layer, the dielectric layer 22, and the semiconductor substrate 20, resulting in a spiking effect. The material of the barrier layer 26 can be selected from one of the following clusters: Is (Ta), tantalum nitride (TaN), titanium nitride (T i N), tungsten titanium (T i W), titanium (Ti) or any combination thereof, and the temperature at which the barrier layer 26 is formed is about 25 to 400 ° C, and its preferred thickness is about 100 to 50 angstroms. Generally, a nitridation process can be used to form the desired tantalum nitride layer. A sputtering process is first performed to deposit a tantalum layer on

469 58 8 五*發明說明(6) 開口 24之側壁與半導體基底20上表面,再於N咸NH钓環境 中,經由高溫處理而形成所需之氮化钽層;此外,也可利 用反應性滅鍍程序來形成氮化钽層。藉著利用電漿離子轟 擊钽金屬,且通入氬氣與氮氣,以便經轟擊所濺出的钽原 子’可與經由解離反應(dissociation reaction)所形成 的氮原子’反應並形成氮化钽而沉積於半導體基底20表 面。 然後’形成晶種層(s e e d i n g 1 a y e r ) 2 8於開口 2 4侧壁 與所曝露的半導體基底2 0上表面,於阻障層2 6之上表面, 以強化後續電鍍之黏著性β其中,在一較佳實施例中,此 晶種層28之材質可為銅、銅合金(如:銅鋁合金、銅鎂合 金、鋼錫合金、銅鎳合金等等),而其可使用諸如物理氣 相沉積法(Physical vapor deposition; PVD)、減;鍵法等 類似製程而加以形成,且具有約5 0 0至2 5 0 0埃之厚度。其 中上述阻障層2 6與晶種層2 8之形成步驟可以都不實施,或 者只實施晶種層2 8之形成步驟。 接著,請參照第三.圖,將半導體基底2 0沉浸於一硫酸 --銅溶液中,以進行化學電鍍(Electrical Chemical . Plating; ECP)反應,而形成導電層於晶種層2 8上方,且 填充於開口 2 4之中。在此較佳實施例中,導電層之材質可 為銅,其可藉著將艮種層2 8電性連接至一電源之陰極,而 使位於硫酸銅溶液中之銅離子,進行還原並沉積於晶種層469 58 8 5 * Description of the invention (6) The sidewall of the opening 24 and the upper surface of the semiconductor substrate 20 are formed in a N-NH fishing environment through a high temperature treatment to form the required tantalum nitride layer. In addition, the reactivity can also be used An annihilation process is performed to form a tantalum nitride layer. By bombarding tantalum metal with plasma ions, and passing in argon and nitrogen, the tantalum atoms spattered by the bombardment can react with the nitrogen atoms formed by the dissociation reaction and form tantalum nitride. Deposited on the surface of the semiconductor substrate 20. Then, a seed layer 2 (seeding 1 ayer) 2 8 is formed on the sidewall of the opening 2 4 and the upper surface of the exposed semiconductor substrate 20 and on the upper surface of the barrier layer 26 to strengthen the adhesion β of the subsequent plating. In a preferred embodiment, the material of the seed layer 28 may be copper, copper alloy (such as copper aluminum alloy, copper magnesium alloy, steel tin alloy, copper nickel alloy, etc.), and it may use physical gas It is formed by similar processes such as physical vapor deposition (PVD), subtraction; bonding, and the like, and has a thickness of about 500 to 250 angstroms. The formation steps of the barrier layer 26 and the seed layer 28 may not be performed, or only the formation steps of the seed layer 28 may be performed. Next, referring to the third figure, the semiconductor substrate 20 is immersed in a sulfuric acid-copper solution to perform an Electrochemical Chemical (Plating; ECP) reaction to form a conductive layer over the seed layer 28. And filled in the openings 2 4. In this preferred embodiment, the material of the conductive layer may be copper, which can reduce and deposit copper ions in a copper sulfate solution by electrically connecting the seed layer 28 to a cathode of a power source. Seed layer

469588469588

而使銅原子沉積於 五、發明說明(7) 28之表面。亦即可經由進行電鍍程序 晶種層28表並形成所需的銅層。 後了對丰導體基底20進行化學機械研磨 (CMP),以移除位於介雪显99u主二々加紙所磨^序 Λ t ^ "電層2 2上表面之部份導電層 層28與阻障層26,並定μ 土访她,$电增、日日種 北又義未摻雜(undopped)金屈,查蝻 於開口 2 4之令,装Φ 主,A ^五屬連線3 0 '、 此未摻雜金屬連線3 0之材質自人制。 一般而言,所形成之夫狹私Λ ώ… 奸貝已含銅。 ^ ± 禾摻雜金屬連線3 0除了可作為介雷厗 間金屬連線(ν i a)外,亦y ^ s … a 耶可作為導電插塞(plug)。 接著,請參昭篦Trq ^ 〜、圖,此部份圖示顯示本發明之 增進金屬連線的抗電移能力之方法。f先形成一覆蓋 (capping:^層32於未摻雜金屬連線3〇上(如第四圖所示 ),其中覆蓋層32包含Sif siC或SiN/SiC之複合層’其 形成方法包含化學氣相沉積法(Chemical vap〇r deposition; CVD)’其形成溫度約為攝氏38〇_42〇度,其 厚度約為3 0 0〜1 0 0 0埃。然後使用傳統微影程序,形成一光 阻層34於覆蓋層3 2上’用以定義連線圖案(via pattern )37’並以此光阻層34為離子佈植之罩冪,對未摻雜金屬 連線30進行離子佈植36,以形成一摻質(dopant)之金屬 連線3 8 (如第五圖所示)。若未摻雜金屬連線之材質為 銅’則上述離子佈植3 6之摻質可選自下列所組成群集之 一 Mg、A卜 Pd、Zr、Sn、Cr' Ta、Ni、Sn、Ti,而其濃 度約為 1 0 15〜1 0 17i on s / cm 2。 469 58 8 五、發明說明(8) 最後,將光阻層3 4移去,因而得到一具有較佳之抗電 移能力的摻質之金屬連線3 8 (如第六圖所示),由於此換 質之金屬連線3 8上面有覆蓋層3 2保護,因此可以防止摻質 之金屬連線38中的金屬原子向外擴散,以及避免摻質之金 屬連線38因上述微影製程而受到損害。當然,在此可以形 成一内金屬介電層(Inter-Mediate Dielectrics, IMD) 於摻質之金屬連線3 8上,以進行後續製作連線結構使用。 本發明之優點係藉由對未摻質之金屬連線進行離子佈 植,以形成一具有較佳之抗電移能力的.摻質之金屬連線。 此外,由於所形成的摻質之金屬連線上有一覆蓋層保護, 因此可以防止摻質之金屬連線中的金屬原子向外擴散,以 及避免摻質之金屬連線因上述微影製程而受到損害。 限之雜利摻發領 以示摻並一.本同 用揭未,成。等 非所有層形疇其 並明充蓋以範及 ,發填覆,護圍 已本在一質保範 而離是成摻之利 例脫要形行明專 施未只上進發請 實它,洞層本申 佳其飾層屬為之 較凡修介金視附 之·,或W雜應後 洞 明圍變5·;摻均視 獨 發範改 t未,當 接 本利效 此者更 或 為專等/對構圍 僅請之及,結範 述申成溝法線護 所之完渠植連保 上明所之佈屬利。 以發下層子金專定 本神屬離之之而 定精金用質明域 mmAnd copper atoms are deposited on the surface of the invention (7) 28. That is, by performing the plating process, the seed layer 28 is formed and a desired copper layer is formed. Then, a chemical mechanical polishing (CMP) was performed on the abundance of the conductor substrate 20 to remove a part of the conductive layer layer 28 on the upper surface of the electric layer 22 2 located on the surface of the Jiuxian 99u main paper. And the barrier layer 26, and set μ to visit her, $ electricity increase, Japanese and Japanese unopped Jin Qu, check the order of opening 2 4, install Φ master, A ^ five genus The material of the wire 3 0 ′ and the undoped metal wire 30 is self-made. Generally speaking, the formed husband is private ... Trapped shells already contain copper. ^ ± He doped metal connection 3 0 can be used as a conductive metal plug (ν i a), but also y s s… a can be used as a conductive plug (plug). Then, please refer to the figure of Trq ^ ~~, this part shows the method for improving the anti-electrical transfer ability of the metal connection of the present invention. f First, a capping layer (capping: ^ layer 32 is formed on the undoped metal connection line 30 (as shown in the fourth figure), where the capping layer 32 includes a Sif siC or SiN / SiC composite layer 'is formed by a chemical method The vapor deposition method (Chemical vapor deposition; CVD) 'has a formation temperature of about 38-40 ° C and a thickness of about 300 ~ 100 Angstroms. Then, a conventional lithography process is used to form a The photoresist layer 34 is used to define a via pattern 37 on the cover layer 32, and the photoresist layer 34 is used as a mask for ion implantation to ion implant the undoped metal wiring 30. 36 to form a dopant metal connection 3 8 (as shown in the fifth figure). If the material of the undoped metal connection is copper ', the dopants of the above-mentioned ion implantation 36 can be selected from One of the following clusters Mg, Ab Pd, Zr, Sn, Cr 'Ta, Ni, Sn, Ti, and its concentration is about 1 0 15 ~ 1 0 17i on s / cm 2. 469 58 8 V. Invention Explanation (8) Finally, the photoresist layer 3 4 is removed, so that a doped metal wire 3 8 with better resistance to electromigration is obtained (as shown in the sixth figure). The metal wires 38 are protected by a cover layer 32, so the metal atoms in the doped metal wires 38 can be prevented from diffusing outward, and the doped metal wires 38 are prevented from being damaged by the lithography process described above. Of course Here, an inter-metal dielectric layer (IMD) can be formed on the doped metal wires 38 for subsequent fabrication of the wire structure. The advantage of the present invention is that the The metal wires are ion implanted to form a doped metal wire with better resistance to electromigration. In addition, since the doped metal wire formed is protected by a covering layer, doping can be prevented. The metal atoms in the high-quality metal connection are diffused outward, and the doped metal connection is prevented from being damaged by the above-mentioned lithography process. .If not all layers are covered, it will be covered and issued, and the cover will be covered. The protection has already been included in a warranty, but it is a mixed example. It must be performed, and it must be implemented. Please confirm it. The decorative layer of the cave layer is relatively ordinary. Jie Jin sees it as "," or "Wang Mingwei changes after the miscellaneous response"; "Whether it is treated as an independent change t or not, when the benefit is received, it is more exclusive or only for the structure. The conclusion is that the finish of the Shenchenggou Normal Line Shelter is linked to the security of the Shangming Institute. The quality of the fine gold for the pure gold is determined by sending the next layer of gold to determine the departure of the deities.

Hi§miHi§mi

11 m 第11頁 469588 圖式簡單說明 利用後續的說明配合下列圖式,將可以對於本發明的 内容及優點有更為清楚之了解,其中: 第一圖為半導體晶片之截面圖,顯示根據傳統技術在 半導體基底上形成銅連線結構之步驟; 第二圖為半導體晶片之截面圖,顯示根據本發明之一 實施例在半導體基底上依序形成阻障層與晶種層於開口中 之步驟_ ; 第三圖為半導體晶片之截面圖,顯示根據本發明之一 實施例在半導體基底上形成未摻雜金屬連線之步驟; 第四圖為半導體晶片之截面圖,顯示根據本發明之一 實施例在未摻雜金屬連線上形成一覆蓋層之步驟; 第五圖為半導體晶片之截面圖,顯示根據本發明之一 實施例對未摻雜金屬連線進行離子佈植之步驟;以及 第六圖為半導體晶片之截面圖,顯示根據本發明之一 實施例移去光阻層因而得到摻質金屬連線之步驟。 圖號部分: 半導體基底10 ; 介電層1 2 ; 阻障層1 4 ; 銅晶種 fCu seeding)層 16;11 m Page 11 469588 Brief description of the drawings Using the following descriptions with the following drawings will give a clearer understanding of the content and advantages of the present invention, where: The first diagram is a cross-sectional view of a semiconductor wafer, showing The step of forming a copper wiring structure on a semiconductor substrate by using technology. The second figure is a cross-sectional view of a semiconductor wafer, showing the steps of sequentially forming a barrier layer and a seed layer in an opening on a semiconductor substrate according to an embodiment of the present invention. _; The third figure is a cross-sectional view of a semiconductor wafer, showing a step of forming an undoped metal connection on a semiconductor substrate according to an embodiment of the present invention; the fourth figure is a cross-sectional view of a semiconductor wafer, showing one of the present invention The fifth embodiment is a step of forming a cover layer on an undoped metal connection; the fifth diagram is a cross-sectional view of a semiconductor wafer, showing the step of ion implanting the undoped metal connection according to an embodiment of the present invention; and The sixth figure is a cross-sectional view of a semiconductor wafer, showing a step of removing a photoresist layer to obtain a doped metal connection according to an embodiment of the present invention. Part number: semiconductor substrate 10; dielectric layer 12; barrier layer 14; copper seed fCu seeding layer 16;

第12頁 469588 圖式簡單說明 銅連線結構1 8 ; 半導體基底2 0 ; 介電層22; 開口 2 4 ; 阻障層2 6 ; 晶種層(seeding layer)28; 未摻雜(undopped)金屬連線30; 覆蓋(capping)層 32; 光阻層3 4 ; 離子佈植3 6 ; 連線圖案(via pattern) 37; 摻質(dopant)之金屬連線38°Page 12 469588 Schematic illustration of copper wiring structure 18; semiconductor substrate 20; dielectric layer 22; opening 2 4; barrier layer 2 6; seeding layer 28; undoped Metal connection 30; capping layer 32; photoresist layer 3 4; ion implantation 3 6; via pattern 37; dopant metal connection 38 °

第13頁Page 13

Claims (1)

469588 六、申請專利範圍 申請專利範圍: 1.—種增進半導體製程中銅連線之抗電移能力的方法, 該方法至少包括下列步驟: 形成介電層於一半導體基底上; 蝕刻該介電層以形成渠溝及/或接觸洞/介層洞於該介 電層上,其中該渠溝及/或接觸洞/介層洞用以曝露出該半 導體基底上表面; 形成阻障層於該渠溝及/或接觸洞/介層洞之側壁與所 曝露的該半導體基底上表面; 形成晶種層於該阻障層之上表面; 進行化學電鍍(ECP )反應以形成未摻雜銅層於該晶種 層上表面,且填充於該渠溝及/或接觸洞/介層洞中;且 對該半導體基底進行化學機械研磨程序,以移除位於 該介電層上表面之部份該未摻雜銅層、該晶種層與該阻障 層,並定義銅連線結構於該渠溝及/或接觸洞/介層洞之 中; 形成一覆蓋層於該介電層、該晶種層、該阻障層及該 未摻雜銅層之上; 進行微影程序,形成一光阻層於該覆蓋層上,以定義 連線圖案; 以該光阻層為罩冪,對該未摻雜銅層進行離子佈植; 以及 除去該光阻層,以形成一摻質之銅連線結構。469588 6. Scope of patent application Patent scope: 1. A method for improving the anti-electrical migration ability of copper wiring in a semiconductor process, the method includes at least the following steps: forming a dielectric layer on a semiconductor substrate; etching the dielectric Layer to form a trench and / or contact hole / via hole on the dielectric layer, wherein the trench and / or contact hole / via hole is used to expose the upper surface of the semiconductor substrate; forming a barrier layer on the Sidewalls of trenches and / or contact holes / via holes and the exposed upper surface of the semiconductor substrate; forming a seed layer on the upper surface of the barrier layer; and performing an electroless plating (ECP) reaction to form an undoped copper layer On the upper surface of the seed layer and filling the trench and / or contact hole / interlayer hole; and performing a chemical mechanical polishing process on the semiconductor substrate to remove a portion of the upper surface of the dielectric layer. An undoped copper layer, the seed layer and the barrier layer, and defines a copper connection structure in the trench and / or contact hole / via hole; forming a cover layer on the dielectric layer, the crystal Over the seed layer, the barrier layer and the undoped copper layer; Performing a lithography process to form a photoresist layer on the cover layer to define a connection pattern; using the photoresist layer as a mask, ion implanting the undoped copper layer; and removing the photoresist layer, To form a doped copper connection structure. 第14頁 469588 六、申請專利範圍 2. 如申請專利範圍第1項之方法,其中在形成該介電層於 該半導體基底上前,更包括形成各式元件或材料層於該半 導體基底上之步驟。 3. 如申請專利範圍第1項之方法,其中上述阻障層之材質 可選自下列所組成群集之一 ‘·钽(Ta)、氮化钽(TaN )、氮化鈦(T i N)、鈦化鎢(T i W)、鈦(T i)或其任意 組合。 4. 如申請專利範圍第1項之方法,其中上述之阻障層形成 之溫度約2 5至4 0 0°C。 5. 如申請專利範圍第1項之方法,其中上述之晶種層具有 約5 0 0至2 5 0 0埃之厚度。 6. 如申請專利範圍第1項之方法,其中上述之阻障層厚度 約為1 0 0至5 0 0埃。 7. 如申請專利範圍第1項之方法,其中上述之化學電鍍程 序是將該半導體基底沉浸於硫酸銅溶液中,並藉著將該晶 種層電性連接至陰極導線,以便位於硫酸銅溶液中之銅離 子,可還原並沉積於該晶種層表面。Page 14 469588 6. Application for Patent Scope 2. The method of the first scope of patent application, before forming the dielectric layer on the semiconductor substrate, it further includes forming various elements or material layers on the semiconductor substrate. step. 3. The method according to item 1 of the scope of patent application, wherein the material of the above barrier layer may be selected from one of the following clusters: tantalum (Ta), tantalum nitride (TaN), and titanium nitride (T i N) , Titanium Titanium (T i W), Titanium (T i), or any combination thereof. 4. The method according to item 1 of the patent application range, wherein the temperature at which the barrier layer is formed is about 25 to 400 ° C. 5. The method according to item 1 of the patent application range, wherein the seed layer described above has a thickness of about 500 to 2500 Angstroms. 6. The method according to item 1 of the patent application range, wherein the thickness of the above barrier layer is about 100 to 500 Angstroms. 7. The method according to item 1 of the patent application, wherein the above-mentioned chemical plating procedure is to immerse the semiconductor substrate in a copper sulfate solution, and electrically connect the seed layer to the cathode wire so as to be located in the copper sulfate solution. The copper ions can be reduced and deposited on the surface of the seed layer. 第15頁 469588 六、申請專利範圍 8. 如申請專利範圍第1項之方法,其中上述之銅連線結構 為介電層間銅連線(via)或銅導電插塞(plug)。 9. 如申請專利範圍第1項之方法,其中上述覆蓋層包含 S i N、S i C或S i N / S i C之複合層。 1 〇.如申請專利範圍第1項之方法,其中上述覆蓋層厚度 約為3 0 0〜1 0 0 0埃。 11.如申請專利範圍第1項之方法,其中上述覆蓋層形成 方法包含化學氣相沉積法(Chemical vapor deposition; CVD)。 1 2 .如申請專利範圍第1項之方法,其中上述覆蓋層之形 成溫度約為攝氏380-42 0度。 1 3.如申請專利範圍第1項之方法,其中上述晶種層之材 質包含銅或銅合金。 1 4.如申請專利範圍第1項之方法,其中上述離子佈植.之 摻質可選自下列所組成群集之一:Mg、A卜Pd、Zr、Sn、 Cr、 Ta、 Ni、 Sn、 Ti° 1 5 .如申請專利範圍第1 3項之方法,其中上述銅合金之材Page 15 469588 6. Scope of patent application 8. For the method of the first scope of patent application, the above copper connection structure is a dielectric interlayer copper connection (via) or a copper conductive plug (plug). 9. The method according to item 1 of the scope of patent application, wherein the cover layer comprises a composite layer of SiN, SiC or SiN / SiC. 10. The method according to item 1 of the scope of patent application, wherein the thickness of the cover layer is about 300 to 100 angstroms. 11. The method according to item 1 of the patent application range, wherein the cover layer forming method includes a chemical vapor deposition (CVD) method. 12. The method according to item 1 of the scope of patent application, wherein the formation temperature of the cover layer is about 380-420 ° C. 1 3. The method according to item 1 of the scope of patent application, wherein the material of the seed layer comprises copper or a copper alloy. 14. The method according to item 1 of the scope of patent application, wherein the dopant of the above-mentioned ion implantation may be selected from one of the following clusters: Mg, A1Pd, Zr, Sn, Cr, Ta, Ni, Sn, Ti ° 1 5. The method according to item 13 of the patent application scope, wherein the above-mentioned copper alloy material 第丨6頁 4 6 9 5 8 8 六、申請專利範圍 質可選自下列所組成群集之一:銅鋁合金、銅鎂合金、銅 錫合金、銅錄合金或其任意組合。 1 6.如申請專利範圍第I 4項之方法,其中上述離子佈植之 換質濃度約為1 〇 15〜1 〇 〇n s / c m 2。 1 7. —種增進半導體製程中銅連線之抗電移能力的方法, 該方法至少包括下列步驟: 形成一渠溝及/或接觸洞/介層洞於一介電層内; 形成一晶種層於該介電層上表面,且填充於該渠溝及 /或接觸洞/介層洞中; 進行化學電鍍(ECP)反應以形成一未摻雜銅層於該晶 種層上表面,且填充於該渠溝及/或接觸洞/介層洞中; 進行化學機械研磨程序,以移除位於該介電層上表靣 之部份該未摻雜銅層與該晶種層,並定義銅連線結構於該 渠溝及/或接觸洞/介層洞之中; 形成一覆蓋層於該介電層、該晶種層及該未摻雜銅層 之上; 進行微影程序,形成一光阻層於該覆蓋層上,以定義 連線圖案; . 以該光阻層為罩冪,對該未摻雜銅層進行離子佈植; 以及 除去該光阻層,以形成一摻質之銅連線結構。Page 丨 6 4 6 9 5 8 8 6. Scope of patent application The quality can be selected from one of the following clusters: copper aluminum alloy, copper magnesium alloy, copper tin alloy, copper alloy or any combination thereof. 16. The method according to item I 4 of the scope of application for a patent, wherein the replacement concentration of the above-mentioned ion implantation is about 1015 ~ 100n s / cm2. 1 7. A method for improving the anti-electrical migration capability of a copper connection in a semiconductor process, the method includes at least the following steps: forming a trench and / or a contact hole / interlayer hole in a dielectric layer; forming a crystal A seed layer is on the upper surface of the dielectric layer, and is filled in the trench and / or the contact hole / via hole; performing an electroless plating (ECP) reaction to form an undoped copper layer on the upper surface of the seed layer, And filled in the trench and / or contact hole / via hole; performing a chemical mechanical polishing process to remove a portion of the undoped copper layer and the seed layer located on the surface of the dielectric layer; and Define a copper connection structure in the trench and / or contact hole / interlayer hole; form a cover layer on the dielectric layer, the seed layer and the undoped copper layer; perform a lithography process, Forming a photoresist layer on the cover layer to define a connection pattern; using the photoresist layer as a mask to ion implant the undoped copper layer; and removing the photoresist layer to form a doped layer Quality copper connection structure. 第17頁 六、申請專利範圍 1 8.如申請專利範圍第1 7項之方法,其中上述之銅連線結 構為介電廣間銅連線(via)或銅導電插塞(plug)。 1 9 .如申請專利範圍第1 7項之方法,其中上述覆蓋層包含 SiN、SiC或SiN/SiC之複合層。 2 0 .如申請專利範圍第1 7項之方法,其中上述覆蓋層形成 方法包含4匕學氣相沉積 >'去(Chemical vapor deposition; CVD)。 2 1.如申請專利範圍第1 7項之方法,其中上述晶種層之材 質包含銅或銅合金。 2 2.如申請專利範圍第1 7項之方法,其中上述離子伟植之 挣質可選自下列所組成群集之一:Mg、Al、Pd、Zr、Sn、 Cr、 Ta、 Ni、 Sn、 Tio 2 3.如申請專利範圍第1 7項之方法,其中形成該晶種層之 前更包含形成一阻障層於該介電層上表面,且填充於該渠 溝及/或接觸洞/介層洞中。 2 4 .如申請專利範圍第2 1項之方法,其中上述銅合金之材 質可選自下列所組成群集之一:銅鋁合金、銅鎂合金、銅 錫合金、銅鎳合金或其任意組合。Page 17 6. Scope of patent application 1 8. The method of item 17 of the scope of patent application, wherein the above copper connection structure is a dielectric wide copper connection (via) or a copper conductive plug (plug). 19. The method according to item 17 of the scope of patent application, wherein the cover layer comprises a SiN, SiC or SiN / SiC composite layer. 20. The method according to item 17 of the scope of patent application, wherein the method for forming the cover layer includes 4D chemical vapor deposition (CVD). 2 1. The method according to item 17 of the scope of patent application, wherein the material of the seed layer comprises copper or a copper alloy. 2 2. The method according to item 17 of the scope of patent application, wherein the quality of the above ion can be selected from one of the following clusters: Mg, Al, Pd, Zr, Sn, Cr, Ta, Ni, Sn, Tio 2 3. The method according to item 17 of the patent application scope, wherein before forming the seed layer, it further comprises forming a barrier layer on the upper surface of the dielectric layer, and filling the trench and / or contact hole / intermediate Layers of holes. 24. The method according to item 21 of the scope of patent application, wherein the material of the above copper alloy may be selected from one of the following clusters: copper aluminum alloy, copper magnesium alloy, copper tin alloy, copper nickel alloy or any combination thereof. 469588 六、申請專利範圍 2 5 .如申請專利範圍第2 2項之方法,其中上述離子佈植之 摻質濃度約為1 〇 15〜1 〇 17i 〇 n s / c m 2。 2 6. —種增進半導體製程中銅連線之抗電移能力的方法, 該方法至少包括下列步驟: 形成一渠溝及/或接觸洞/介層洞於一介電層内; 形成一未摻雜銅層於該介電層上,且填充於該渠溝及 /或接觸洞/介層洞中; 形成一覆蓋層於該介電層及該未摻雜銅層之上; 進行微影程序,形成一光阻層於該覆蓋層上,以定義 連線圖案; 以該光阻層為罩冪,對該未摻雜銅層進行離子佈植; 以及 除去該光阻層,以形成一摻質之銅連線結構。 2 7.如申請專利範圍第2 6項之方法,其中上述之銅連線結 構為介電層間銅連線(via)或銅導電插塞(plug)。 2 8.如申請專利範圍第2 6項之方法,其中上述覆蓋層包含 SiN、SiC或SiN/SiC之複合層。 2 9 ·如中請專利範圍第2 6項之方法,其中上述覆蓋層形成 方法包含化學氣相沉積法(Chemical vapor deposition;469588 VI. Application scope of patent 25. The method according to item 22 of the scope of patent application, wherein the dopant concentration of the above-mentioned ion implantation is about 1015 to 1017in s / cm2. 2 6. —A method for improving the anti-electrical migration capability of the copper connection in a semiconductor process, the method includes at least the following steps: forming a trench and / or a contact hole / interlayer hole in a dielectric layer; A doped copper layer on the dielectric layer and filled in the trench and / or contact hole / via hole; forming a cover layer on the dielectric layer and the undoped copper layer; lithography A program, forming a photoresist layer on the cover layer to define a connection pattern; using the photoresist layer as a mask, ion implanting the undoped copper layer; and removing the photoresist layer to form a Doped copper connection structure. 2 7. The method according to item 26 of the scope of patent application, wherein the above copper connection structure is a dielectric interlayer copper connection (via) or a copper conductive plug (plug). 2 8. The method according to item 26 of the scope of patent application, wherein the cover layer comprises a SiN, SiC or SiN / SiC composite layer. 2 9 · The method according to item 26 of the patent, wherein the method for forming the cover layer includes chemical vapor deposition; 第19頁 六、申請專利範圍 CVD)。 3 0 .如申請專利範圍第2 6項之方法,其中形成該未摻雜銅 層之前更包含形成形成一晶種層於該介電層上表面,且填 充於該渠溝及/或接觸洞/介層洞中。- 3 1.如申請專利範圍第2 6項之方法,其中上述離子佈植之 摻質可選自下列所組成群集之一:Mg、Al、Pd' Zr、Sn、 Cr、 Ta、 Ni、 Sn、 Tio 3 2 .如申請專利範圍第3 0項之方法,其中形成該晶種層之 前更包含形成一阻障層於該介電層上表面,且填充於該渠 溝及/或接觸洞/介層洞中。 3 3.如申請專利範圍第3 0項之方法,其中上述晶種層之材 質包含銅或銅合金。 3 4 .如申請專利範圍第3 1項之方法,其中上述離子佈植之 接質 i農度約為 1013~1017i〇ns/cm2。 35.如申請專利範圍第3 3項之方法,其中上述銅合金之材 質可選自下列所組成群集之一:銅鋁合金、銅鎂合金、銅 錫合金、銅鎳合金或其任意組合。Page 19 6. Scope of Patent Application (CVD). 30. The method according to item 26 of the patent application scope, wherein before forming the undoped copper layer further comprises forming a seed layer on the upper surface of the dielectric layer, and filling the trench and / or contact hole. / Interstitial hole. -3 1. The method according to item 26 of the scope of patent application, wherein the dopant of the ion implantation can be selected from one of the following clusters: Mg, Al, Pd 'Zr, Sn, Cr, Ta, Ni, Sn Tio 3 2. The method according to item 30 of the patent application scope, wherein before forming the seed layer further comprises forming a barrier layer on the upper surface of the dielectric layer and filling the trench and / or contact hole / In the interstitial hole. 33. The method of claim 30, wherein the material of the seed layer comprises copper or a copper alloy. 34. The method according to item 31 of the scope of patent application, wherein the agronomic properties of the above-mentioned ion implants are about 1013 to 1017 ions / cm2. 35. The method of claim 33, wherein the material of the above copper alloy can be selected from one of the following clusters: copper aluminum alloy, copper magnesium alloy, copper tin alloy, copper nickel alloy, or any combination thereof. 第20頁 4 b 9 5 B B________ 六、申請專利範圍 36. —種增進半導體製程中金屬連線之抗電移能力的方 法,該方法至少包括下列步驟: 形成一渠溝及/或接觸洞/介層洞於一介電層内; 形成一未摻雜金屬層於該介電層上,且填充於該渠溝 及/或接觸洞/介層洞中; 形成一覆蓋層於該介電層及該未摻雜金屬層之上; 進行微影程序,形成一光阻層於該覆蓋層上,以定義 連線圖案; 以該光阻層為罩冪,對該未摻雜金屬層進行離子佈 植;以及 除去該光阻層,以形成一摻質之金屬連線結構。 3 7.如申請專利範圍第3 6項之方法,其中上述之金屬連線 結構為介電層間金屬連線(v i a)或導電插塞(p 1 u g)。 3 8.如申請專利範圍第3 6項之方法,其中上述覆蓋層包含 SiN、SiC或SiN/SiC之複合層。 3 9.如申請專利範圍第3 6項之方法,其中上述覆蓋層形成 方法包含化學氣相沉積法(Chemical vapor deposition; CVD)。 4 0 .如申請專利範圍第3 6項之方法,其中形成該未摻雜金 屬層之前更包含形成形成一晶種層於該介電層上表面,且 !Page 20 4 b 9 5 B B________ VI. Scope of patent application 36. — A method for improving the resistance of the metal connection in the semiconductor process to electromigration, the method includes at least the following steps: forming a trench and / or a contact hole / A dielectric hole is formed in a dielectric layer; an undoped metal layer is formed on the dielectric layer and filled in the trench and / or contact hole / dielectric hole; a cover layer is formed in the dielectric layer And the undoped metal layer; a lithography process is performed to form a photoresist layer on the cover layer to define a connection pattern; the photoresist layer is used as a mask to ionize the undoped metal layer Implantation; and removing the photoresist layer to form a doped metal connection structure. 37. The method according to item 36 of the scope of patent application, wherein the metal connection structure is a dielectric interlayer metal connection (v i a) or a conductive plug (p 1 u g). 38. The method according to item 36 of the scope of patent application, wherein the cover layer comprises a SiN, SiC or SiN / SiC composite layer. 39. The method according to item 36 of the scope of patent application, wherein the cover layer forming method includes a chemical vapor deposition (CVD) method. 40. The method according to item 36 of the patent application scope, wherein before forming the undoped metal layer further comprises forming a seed layer on the upper surface of the dielectric layer, and I 4 6 9 5 B 8 六、申請專利範圍 填充於該渠溝及/或接觸洞/介層洞中。 4 1.如申請專利範圍第3 6項之方法,其中上述未摻雜金屬 層之材質包含銅。 4 2 .如申請專利範圍第4 0項之方法,其中形成該晶種層之 前更包.含形成一阻障層於該介電層上表面,且填充於該渠 溝及/或接觸洞/介層洞中。 4 3 .如申請專利範圍第4 0項之方法,其中上述晶種層之材 質包含銅或銅合金。 4 4.如申請專利範圍第4 1項之方法,其中上述離子佈植之 摻質可選自下列所組成群集之一 :Mg、Al、Pd、Zr、Sn、 Cr、 Ta、 Ni、 Sn、 Tio 45.如申請專利範圍第4 3項之方法,其中上述銅合金之材 質可選自下列所組成群集之一:銅鋁合金、銅鎂合金、銅 錫合金、銅鎳合金或其任意組合。 4 6 .如申請專到範圍第4 4項之方法,其中上述離子佈植之 掺質浪度約為1〇15〜10"i〇ns/cm2。I 4 6 9 5 B 8 6. Scope of patent application Fill in the trench and / or contact hole / via hole. 4 1. The method according to item 36 of the scope of patent application, wherein the material of the undoped metal layer includes copper. 4 2. The method according to item 40 of the patent application scope, wherein the seed layer is more wrapped before forming. It includes forming a barrier layer on the upper surface of the dielectric layer and filling the trench and / or contact hole / In the interstitial hole. 43. The method of claim 40, wherein the material of the seed layer includes copper or a copper alloy. 4 4. The method according to item 41 of the scope of patent application, wherein the dopant of the ion implantation can be selected from one of the following clusters: Mg, Al, Pd, Zr, Sn, Cr, Ta, Ni, Sn, Tio 45. The method according to item 43 of the scope of patent application, wherein the material of the copper alloy can be selected from one of the following clusters: copper aluminum alloy, copper magnesium alloy, copper tin alloy, copper nickel alloy, or any combination thereof. 46. If the method for applying item 4 to the scope of the application is applied, wherein the dopant range of the above-mentioned ion implantation is about 1015 to 10 " ions / cm2. 第22頁Page 22
TW89121872A 2000-10-18 2000-10-18 Method for enhancing electromigration resistance of metal wire TW469588B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW89121872A TW469588B (en) 2000-10-18 2000-10-18 Method for enhancing electromigration resistance of metal wire

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW89121872A TW469588B (en) 2000-10-18 2000-10-18 Method for enhancing electromigration resistance of metal wire

Publications (1)

Publication Number Publication Date
TW469588B true TW469588B (en) 2001-12-21

Family

ID=21661596

Family Applications (1)

Application Number Title Priority Date Filing Date
TW89121872A TW469588B (en) 2000-10-18 2000-10-18 Method for enhancing electromigration resistance of metal wire

Country Status (1)

Country Link
TW (1) TW469588B (en)

Similar Documents

Publication Publication Date Title
US6528884B1 (en) Conformal atomic liner layer in an integrated circuit interconnect
US6943111B2 (en) Barrier free copper interconnect by multi-layer copper seed
US7215024B2 (en) Barrier-less integration with copper alloy
US6509267B1 (en) Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US6100195A (en) Passivation of copper interconnect surfaces with a passivating metal layer
US6821879B2 (en) Copper interconnect by immersion/electroless plating in dual damascene process
US6197688B1 (en) Interconnect structure in a semiconductor device and method of formation
TWI286818B (en) Electroless plating of metal caps for chalcogenide-based memory devices
US7051934B2 (en) Methods of forming metal layers in integrated circuit devices using selective deposition on edges of recesses
TW541659B (en) Method of fabricating contact plug
TWI423327B (en) Process integration scheme to lower overall dielectric constant in beol interconnect structures
CN1316590C (en) A method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
US20060163746A1 (en) Barrier structure for semiconductor devices
JPH11340332A (en) Variable doping of metal plug for enhancing reliability
JPH10189733A (en) Metal coating method for porous dielectric
US10672653B2 (en) Metallic interconnect structures with wrap around capping layers
US20080174018A1 (en) Semiconductor device and method for fabricating the same
US6555461B1 (en) Method of forming low resistance barrier on low k interconnect
TW200539391A (en) Method for fabricating low resistivity barrier for copper interconnect
US6495448B1 (en) Dual damascene process
EP1330842B1 (en) Low temperature hillock suppression method in integrated circuit interconnects
US6339029B1 (en) Method to form copper interconnects
US7485574B2 (en) Methods of forming a metal line in a semiconductor device
TW469588B (en) Method for enhancing electromigration resistance of metal wire
US20100072622A1 (en) Method for forming Barrier Layer and the Related Damascene Structure

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent