TWI292215B - - Google Patents

Download PDF

Info

Publication number
TWI292215B
TWI292215B TW91113935A TW91113935A TWI292215B TW I292215 B TWI292215 B TW I292215B TW 91113935 A TW91113935 A TW 91113935A TW 91113935 A TW91113935 A TW 91113935A TW I292215 B TWI292215 B TW I292215B
Authority
TW
Taiwan
Prior art keywords
layer
copper
dielectric layer
semiconductor substrate
opening pattern
Prior art date
Application number
TW91113935A
Other languages
Chinese (zh)
Inventor
Ying Ho Chen
Syun Ming Jang
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW91113935A priority Critical patent/TWI292215B/zh
Application granted granted Critical
Publication of TWI292215B publication Critical patent/TWI292215B/zh

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

^92215 五、發明說明G) 發明領域·· 本發明與一種半導體製程中製作銅鑲嵌結構的方法有 關 特別是一種運用熱回火與化學機械研磨程序,以降低 麵鑲嵌結構上表面產生山丘狀凸起之相關方法。 發明背 隨 (ULSI 得晶圓 以及連 寸不斷 差、影 時,遭 半導體 (dama 格持續 景: 著半導體工 )的設計規 上某特定區 接這些元件 的縮小,再 像傳遞的精 遇極大的困 工業界投注 scene proc 下降的同時 業快速的發展,在進 格後,高密度積體電 域中,便會定義出數 的電子連線結構。然 加上微影解析度的限 確程度,皆導致在製 難。並且,為了有效 了大量的人力與精神 ess )的開發與運用 ’進一步提昇製程與 入超大型積體電路 路的設計趨勢,使 以百萬計的元件, 而隨著積體電路尺 制、曝光聚焦的誤 作各式元件與線路 的解決上述問題, 於鑲嵌製程 ,以期能在微影規 產品的良率。 對傳統的金屬連線製裝+ , 佳的導電性與便宜的造严、,5 , '鋁金屬材料具有極 積或㈣,是以往往成:辈;;著製程所需任意的沉 而,當半導體元件的以慮的導線材料。然 結構,亦遭遇了極多的困^ 幵使用鋁來作為連線 子容易與彻發生如高溫環境中,紹原 產生"尖峰現象",並;;=:7广『广。",而 銘線接觸不良。此外,當鋁線的 第5頁 1292215 五、發明說明(2) ^寸k著兀件縮小時,由於"電致遷移" 各易使所衣作的鋁連線結構路。 刖的半導體工業中,往往峪口此,在目 銅金屬,來取代值始々力人® 車乂同且電阻率較低的 二的電致遷移·’是以可應用於半導體製程較 請參照 驟。首先, 製程在介電 (contact 上表面。接 面形成一阻 體底材50中 並利用化學 製程,形成 中 〇 第一圖,此圖 形成介電層5 2 層52上定義諸 h ο 1 e )之開口 著,沿著介電 障層5 4,以防 。隨後,沉積 電鍵(electr 銅層5 8於銅晶 顯示傳統製作銅鑲嵌結構的步 於半導體底材5 0上,並使用微影 如溝渠(trench )、接觸窗 ’以曝露出部份半導體底材5〇之 層52與半導體底材5〇之部分上表 止後續沉積的銅原子擴散至半導 一銅晶種層56於阻障層54表面, ical chemical plating; ECP ) 種層5 6上,且填充於上述開口 接著’請參閱第二圖,進行化學機械研磨程序 (chemical mechanical polishing ;CMP),以移除位於 半導體底材50上表面之部分銅層58、銅晶種層56與阻障層 54 ’而定義出位於介電層52中的銅鑲嵌.結構60。隨後,可 沉積金屬層間介電層(inter- metal dielectric ; IMD ) 62於介電層52與銅鑲嵌結構60上,再重覆上述製程,而定 » 第6頁 1292215^92215 V. INSTRUCTION DESCRIPTION G) FIELD OF THE INVENTION The present invention relates to a method of fabricating a copper damascene structure in a semiconductor process, particularly a thermal tempering and chemical mechanical polishing process to reduce the appearance of hills on the upper surface of the surface mosaic structure The method of bulging. Invented by the ULSI (the ULSI wafers and the continuous inch, the film, the design of the semiconductor (dama continuation: semiconductor industry) design specifications on a specific area to narrow down these components, and then the transfer of the great Difficult industry bets on the reduction of scene proc while the industry is developing rapidly. After entering the grid, high-density integrated electrical domains will define the number of electronic connection structures. However, the degree of lithography resolution is limited. All of them lead to difficulties in the making. And, in order to effectively develop and use a large number of human and spiritual ess), the design trend of the process and the ultra-large integrated circuit circuit is further improved, so that millions of components are produced. Body circuit scale, exposure focus misuse all kinds of components and lines to solve the above problems, in the inlay process, in order to be able to yield in the lithography products. For the traditional metal wire assembly +, good conductivity and cheaper, 5, 'aluminum metal material has a product or (four), is often into: generation;; the process requires any sinking, When considering the wire material of the semiconductor component. However, the structure has also encountered a lot of difficulties. 幵 The use of aluminum as a connection is easy and complete, such as in high-temperature environments, Shaoyuan produces "spike phenomenon", and; ==7 wide. ", and the line of contact is not good. In addition, when the fifth line of the aluminum wire 1292215 V, the invention description (2) ^ inch k with the shrinking of the piece, due to the "electro-migration", each easy to make the aluminum wire structure road. In the semiconductor industry of the 刖, it is often referred to in the copper metal, to replace the value of the first 々 々 ® ® 且 且 且 且 且 且 且 且 且 且 且 电 电 电 电 电 电 电 电 电 电 电 电 电 电 电 电 电 电 电Step. First, the process is dielectric (contact upper surface. The junction is formed in a resistive substrate 50 and a chemical process is used to form a first view of the middle layer. This figure forms a dielectric layer 52. The layer 52 defines the h ο 1 e ) The opening is along the dielectric barrier 5 4 to prevent it. Subsequently, a bond is deposited (electr copper layer 58 in copper crystals showing a conventional copper damascene structure on the semiconductor substrate 50, and using lithography such as trenches, contact windows to expose a portion of the semiconductor substrate 5 〇 layer 52 and part of the semiconductor substrate 5 上 on the subsequent deposition of copper atoms diffuse to the semi-conductive copper seed layer 56 on the surface of the barrier layer 54, ical chemical plating; ECP) And filling in the above opening, and then referring to the second figure, performing a chemical mechanical polishing (CMP) to remove a portion of the copper layer 58, the copper seed layer 56 and the barrier located on the upper surface of the semiconductor substrate 50. Layer 54' defines a copper damascene structure 60 located in dielectric layer 52. Subsequently, an inter-metal dielectric (IMD) 62 can be deposited over the dielectric layer 52 and the copper damascene structure 60, and the process described above is repeated, and is determined » Page 6 1292215

義出此膜層(level )中的金屬連線圖案。但值得注意的 是,如第三圖中所示,在進行化學氣相沉積(chemical vapor deposition ; CVD )程序以形成金屬層間介電層62 時’其上表面往往會產生山丘狀凸起64,而 嚴重的破壞金屬層間介電層62的平坦性。 造成金屬層間介電層62產生此山丘狀凸起64的主要原 因,是由於銅鑲嵌結構6 0中的銅原子,在化學氣相沉積程 序的高溫環境中,進行晶粒的成長與擠壓所造成。此外, 邡由於沉積銅層5 8的化學電鍍程序、或是後續的化學機械 斫磨程序,一般皆是在室溫的環境中進行,是以在整個製 作銅鑲嵌結構6 0的過程中,銅原子並未達到最穩定的狀 態,而呈現應力分佈不均的材料特性。如此一來,沉積金 屬層間介電層6 2時的咼溫環境,將會導致銅原子進行晶粒 成長,並分散過度集中的結構應力,而在銅鑲嵌結構60的 上表面產生不規則的山丘凸起66,進而導致此山立凸起66 之圖案向上傳遞,造成金屬層間介電層62的表面也產生相 同的缺陷。這樣的結果除了造成製程的精確度不易控制 外,並會使所製作產品的良率大幅下降。 發明 山负 目的及概述: 本發明之目的為提供一種防止銅鑲嵌結構上表面產生 狀凸起之方法。 本發明之再一目的為提供一種增進銅鑲敌結構表面平The metal wiring pattern in this layer is defined. However, it is worth noting that, as shown in the third figure, when a chemical vapor deposition (CVD) process is performed to form the inter-metal dielectric layer 62, the upper surface tends to produce hill-like protrusions 64. The flatness of the inter-metal dielectric layer 62 is severely damaged. The main reason for causing the metal interlayer dielectric layer 62 to generate the hill-like protrusions 64 is due to the copper atoms in the copper damascene structure 60, and the growth and extrusion of crystal grains are carried out in a high temperature environment of a chemical vapor deposition process. caused. In addition, due to the chemical plating process of depositing the copper layer 58 or the subsequent chemical mechanical honing process, it is generally carried out in a room temperature environment, in the process of making the copper mosaic structure 60, copper. The atoms do not reach the most stable state, but exhibit material properties with uneven stress distribution. As a result, the temperature environment in which the inter-metal dielectric layer 6 2 is deposited will cause the copper atoms to undergo grain growth and disperse excessively concentrated structural stress, and an irregular mountain is generated on the upper surface of the copper damascene structure 60. The hill ridges 66, which in turn cause the pattern of the mountain ridges 66 to pass upward, cause the same surface defects in the surface of the inter-metal dielectric layer 62. In addition to the difficulty in controlling the accuracy of the process, such results can significantly reduce the yield of the manufactured product. SUMMARY OF THE INVENTION OBJECTS AND SUMMARY: It is an object of the present invention to provide a method of preventing the formation of protrusions on the upper surface of a copper damascene structure. A further object of the present invention is to provide a surface enhancement of the copper-encrusted structure.

第7頁 1292215Page 7 1292215

五、發明說明(4) 坦化之方法。 法。首 中具有 沿著開 層於阻 學機械 後對此 力,其 半導體 凸起、 表面, 層間介 表面, 本發明之再一目的為j提供 表面平坦化之方法。 ,’、 一種銅鑲嵌結構的製作方 導體底材上,其中在此介電層 成阻障層於介電層上表面,且 後進行沉積程序,以形成銅 於開口圖案中。進行第一次化 阻障層上表面之部分銅層,之 火程序,以降低銅層的結構應 “產生山丘狀凸起。隨後,對 機械研磨程序,以移除山丘狀 並曝露出部分半導體底材之上 開口圖案中。最後,沈積金屬 材、阻障層與銅鑲嵌結構之上 面。 種增進金屬層間介電層上 先,沈積介 開口圖案。 口圖案之表 障層上表面 研磨法,以 半導體底材 中*在銅層 底材進行第 部分銅層與 疋義銅镶嵌 電層於部分 使其具有平 電層於半 接著,形 面覆蓋。 ,且填充 移除位於 進行熱回 之—^表面 -一次化學 阻障層, 結構於該 半導體底 坦之上表 發明詳細說明: 本發明揭露了一種製作銅鑲嵌結構於半 方法。首先,…共一具<10。〉晶向之單晶石夕:材體底一材般上而之 言’其它種類之半導體材料,諸如砷化鎵(gallium arsenide)、鍺(germanium)或是位於絕緣層上之石夕底 材(silicon on insulator, SOI)皆可作為^導體底材 使用。另外,由於半導體底材表面的特性對本發明而言,V. Description of invention (4) Method of candidization. law. The first of the present invention has a semiconductor bump, a surface, and an interlayer interface along the opening layer after the opening of the mechanical mechanism. A further object of the present invention is to provide a method for planarizing the surface. , a copper damascene structure on a conductor substrate in which a dielectric layer is formed on the upper surface of the dielectric layer, and then a deposition process is performed to form copper in the opening pattern. The first step of the copper layer on the upper surface of the barrier layer, the fire procedure to reduce the structure of the copper layer should "generate a hill-like bulge. Subsequently, the mechanical grinding process to remove the hill-like appearance and expose Part of the semiconductor substrate is in the opening pattern. Finally, the metal material, the barrier layer and the copper damascene structure are deposited. The layer of the inter-layer dielectric layer is first deposited, and the opening pattern is deposited. The method comprises: in the semiconductor substrate, the copper layer and the copper layer of the copper layer are partially embedded in the copper layer to have a flat electric layer in a semi-adhesive manner, and the surface is covered. - surface - a chemical barrier layer, the structure is on the semiconductor bottom of the surface of the invention. The invention discloses a method for fabricating a copper mosaic structure in a semi-method. First, a total of <10. Single crystal stone: the bottom of the material is the same as above. 'Other types of semiconductor materials, such as gallium arsenide, germanium or silicon on the insulating layer. On insulator, SOI) can be used as the conductor substrate. In addition, due to the characteristics of the surface of the semiconductor substrate, the present invention

第8 頁 1292215Page 8 1292215

五、發明說明(5) 並不會造成特別 旦/ <111〉。接著請來、衫日° ,疋以其晶向亦可選擇<11 0>或 電層1〇2。要特別’可在半導體底材1〇〇上形成介 底材10。上已ί先:疋在形成介電層102以前,半導體 件'或電路圖宰w乍了 5所需的各種主動元件、被動元 擇氧化物、氮化物H : i介電層102的材料,則可選 成。 '化物或疋低介電值(低κ值)的材料來構 接用微影钱刻製程,在介電層102上 案,以曝露出半導體底材10。的上表面。其中U J :隨著製程的需要,而以溝渠或接觸孔的形式二圖之案 ί n m1。4於介電層102之上表面,且沿著開口圖 Μ的側土 /、曝露的半導體底材100表面覆蓋,以防止後續 沉積的銅層,與介電層102或半導體底材100的介面發生擴 散現象。一般而言,可選擇钽(Ta)或氮化鈕(TaN)材'、 料來作為此處的阻障層丨04使用。其中,可先進行濺鍍程 序,沉積一鈕層於開口圖案上表面,再將半導體底材1〇〇 放置於N2或NH3的環境中,並經由高溫處理而形成所需之 氮化鈕層。或著,也可藉著利用電漿離子轟擊鈕金屬,且 通入氬氣與氮氣,以便經轟擊所濺出的鈕原子,可與經由 解離反應(dissociation reaction)所形成的氮原子,反 應並形成氮化鈕而沉積於開口圖案與半導體底材1 〇 〇的表 面0 在形滅阻障層104後,接著形成銅晶種層(Cu seeding layer)l〇6於阻障層104的表面上。一般而言,可使用熟知5. The invention description (5) does not cause special denier / <111>. Then come, shirt day °, you can also choose <11 0> or electric layer 1〇2 with its crystal orientation. In particular, a dielectric substrate 10 can be formed on the semiconductor substrate. First, before the formation of the dielectric layer 102, the semiconductor device 'or the circuit diagram smashes the various active components required for the passive element, the passive meta-oxide, and the material of the nitride H: i dielectric layer 102. Optional. The material of the compound or low dielectric value (low κ value) is constructed by a microlithography process on the dielectric layer 102 to expose the semiconductor substrate 10. Upper surface. Wherein UJ: in the form of a trench or a contact hole in the form of a trench or a contact hole ί n m1. 4 on the upper surface of the dielectric layer 102, along the side of the opening pattern / the exposed semiconductor bottom The surface of the material 100 is covered to prevent diffusion of the subsequently deposited copper layer from the interface of the dielectric layer 102 or the semiconductor substrate 100. In general, tantalum (Ta) or nitride (TaN) materials can be selected for use as the barrier layer 此处04 herein. Wherein, the sputtering process may be performed first, a button layer is deposited on the upper surface of the opening pattern, and the semiconductor substrate 1〇〇 is placed in an environment of N2 or NH3, and the desired nitride button layer is formed by high temperature treatment. Alternatively, by bombarding the button metal with plasma ions, and introducing argon gas and nitrogen gas, the button atoms splashed by bombardment may react with the nitrogen atoms formed by the dissociation reaction. Forming a nitride button and depositing on the opening pattern and the surface 0 of the semiconductor substrate 1 在 after the barrier layer 104 is formed, and then forming a Cu seeding layer 16 on the surface of the barrier layer 104 . In general, you can use well-known

第9頁 1292215 五、發明說明(6) 的相關技術’例如物理氣相沉積法(p h y s i c a 1 v a ρ 〇 r deposi tion; PVD)、濺鍍法等類似製程來沉積銅晶種層 1 〇 6。接著,可將半導體底材1 〇 〇沉浸於硫酸銅溶液中,以 進行化學電鍍(Electrical Chemical Plating ; ECP )反 應’而形成銅層108於銅晶種層1〇6表面上,且填充於上述 開口圖案中。其中,在進行化學電鍍程序時,是將銅晶種 層1 0 6電性連接至電源的陰極,使位於硫酸銅溶液中的銅 離子’還原並沉積於銅晶種層106表面,進而覆蓋整個半 導體底材100上方。 接著如第五圖所示,進行第一次化學機械研磨法以移 除部分銅層1 08。其中值得注意的是,此第一次化學機械 研磨程序至曝露出阻障層104之上表面後即停止。 隨後’將此半導體底材1〇〇放置於加熱板(hot pUte)上 或加熱爐管(furnace )中進行熱回火程序,以便降低所 沉積銅層1 0 8的結構應力,且使銅原子具有較穩定的特 性。一般而言’此處的熱回火程序,是在溫度約於3 〇 〇〜 42 5 °C的環境中,進行約30至60分鐘來完成。值得注音的 是’由於熱回火程序可分散銅層108中過度集中的結&應 力’因此會在銅層108的上表面產生山丘狀凸起11〇 (如第 六圖所示)。 在元成熱回火程序後’如第七圖所示,對半導體底材 100進行第二次化學機械研磨程序,以移除位於半導體底 材100上表面之山丘狀凸起110 '部份銅層1〇8以及部分阻 障層104 ’並定義銅鑲嵌結構112於上述之開口圓案中。Page 9 1292215 V. Related Art of Invention (6) For example, a physical vapor deposition method (p h y s i c a 1 v a ρ 〇 r deposi tion; PVD), a sputtering method, or the like is used to deposit a copper seed layer 1 〇 6. Next, the semiconductor substrate 1 can be immersed in a copper sulfate solution to perform an electroless plating (Electrical Chemical Plating; ECP) reaction to form a copper layer 108 on the surface of the copper seed layer 1〇6, and filled in the above In the opening pattern. Wherein, in the electroplating process, the copper seed layer 106 is electrically connected to the cathode of the power source, and the copper ions in the copper sulfate solution are 'reduced and deposited on the surface of the copper seed layer 106, thereby covering the whole Above the semiconductor substrate 100. Next, as shown in the fifth figure, a first chemical mechanical polishing method is performed to remove a portion of the copper layer 108. It is worth noting that this first chemical mechanical polishing process stops after exposing the upper surface of the barrier layer 104. Then, the semiconductor substrate 1 is placed on a hot plate or a heating furnace to perform a thermal tempering process to reduce the structural stress of the deposited copper layer 108 and to make the copper atom Has a more stable characteristics. In general, the thermal tempering procedure herein is carried out in an environment at a temperature of about 3 〇 42 to 42 5 ° C for about 30 to 60 minutes. It is worth noting that the excessively concentrated junction & stress in the copper layer 108 due to the thermal tempering process will result in a hill-like projection 11〇 on the upper surface of the copper layer 108 (as shown in Fig. 6). After the thermal tempering process of the element, as shown in the seventh figure, a second chemical mechanical polishing process is performed on the semiconductor substrate 100 to remove the hill-like protrusion 110' located on the upper surface of the semiconductor substrate 100. The copper layer 1 〇 8 and a portion of the barrier layer 104 ′ define the copper damascene structure 112 in the open case described above.

^8^8

第 1292215 五'發明說明(7) 最後請參照第八圖,形成金屬層間介電層丨丨4於部分介電 層1 0 2、部分阻障層丨〇 4以及銅鑲嵌結構丨丨2上表面,其 中’此金屬層間介電層114具有平坦之表面。 藉由本發明之方法,可在銅鑲嵌結構形成之前, 用熱回火程序將銅層中的姓 ..θ . 之特性,$而在之:::構應力釋放’使其具有較穩定 鑲故結構於開口圖ί;;第;次化學機械研磨法以形成鋼 層,而使銅鑲嵌結構及後鋒除山丘狀凸起以及部分阻障 平坦的上表面,而達到ί 沈積之金屬層間介電層具有 . 升I程良率之目的。 本發明雖以一較佳實 本發明精神與發明實體Κ僅闡明如上,然其並非用以限定 不脫離本發明之精神與範止於此一實施例爾。是以,在 述之申請專利範圍内了已 内所作之修改,均應包含在下No. 1292215 five 'invention description (7) Finally, please refer to the eighth figure, forming a metal interlayer dielectric layer 于4 on the partial dielectric layer 102, part of the barrier layer 丨〇4 and the upper surface of the copper damascene structure 丨丨2 Where 'this inter-metal dielectric layer 114 has a flat surface. By the method of the present invention, the property of the last name ..θ in the copper layer can be used in the thermal tempering procedure before the formation of the copper damascene structure, and the ::: structural stress release is made to make it have a more stable inlay. The structure is in the opening pattern ί;; the second chemical mechanical grinding method to form a steel layer, and the copper mosaic structure and the back side except the hill-like protrusions and the upper surface of the partial barrier flat, to achieve the deposition of the metal layer The electrical layer has the purpose of increasing the I-rate yield. The present invention has been described with reference to the preferred embodiments of the present invention, and is not intended to limit the scope of the invention. Therefore, the modifications made within the scope of the patent application mentioned should be included.

1292215 圖式簡單說明 圖式簡單說明: 藉由以下詳細之描述結合所附圖示,將可輕易的了解 上述内容及此項發明之諸多優點,其中: 第一圖為半導體晶圓之截面圖,顯示根據目前業界技 術沉積銅層於半導體底材上之步驟; 第二圖為半導體晶圓之截面圖,顯示根據目前業界技 術使用化學機械研磨程序以移除部份銅層之步驟; 第三圖為半導體晶圓之截面圖,顯示根據目前業界技 :術在產生山丘狀凸起於銅鑲嵌結構上表面後,接續沈積金 屬層間介電層於半導體底材上之情形; 第四圖為半導體晶圓之截面圖,顯示根據本發明形成 銅層於半導體底材上表面並沿著開口表面填充之步驟; 第五圖為半導體晶圓之截面圖,顯示根據本發明進行 第一次化學機械研磨程序之步驟; 第六圖為半導體晶圓之截面圖,顯示根據本發明產生 山丘狀凸起於銅層上表面之情形; 第七圖為半導體晶圓之截面圖,顯示根據本發明進行 第二次化學機械研磨程序以形成銅鑲嵌結構於開口圖案中 之步驟;以及 第八圖為半導體晶圓之截面圖,顯示根據本發明形成 金屬層間介電層之步驟。 圖號對照表:Brief Description of the Drawings Brief Description of the Drawings: The above description and the advantages of the invention will be readily understood by the following detailed description in conjunction with the accompanying drawings in which: FIG. A step of depositing a copper layer on a semiconductor substrate according to current industry techniques is shown; the second figure is a cross-sectional view of the semiconductor wafer showing the steps of removing a portion of the copper layer using a chemical mechanical polishing process according to current industry techniques; A cross-sectional view of a semiconductor wafer, according to the current industry technology: after the hill-like protrusion is generated on the upper surface of the copper damascene structure, the dielectric layer between the metal layers is successively deposited on the semiconductor substrate; A cross-sectional view of a wafer showing the step of forming a copper layer on the upper surface of the semiconductor substrate and filling along the surface of the opening in accordance with the present invention; and the fifth drawing is a cross-sectional view of the semiconductor wafer showing the first chemical mechanical polishing in accordance with the present invention. The sixth step is a cross-sectional view of the semiconductor wafer showing the occurrence of a hill-like protrusion on the upper surface of the copper layer according to the present invention. Figure 7 is a cross-sectional view of the semiconductor wafer showing the second chemical mechanical polishing process to form a copper damascene structure in the opening pattern in accordance with the present invention; and the eighth figure is a cross-sectional view of the semiconductor wafer, the display is based on The present invention forms the step of forming a metal interlayer dielectric layer. Chart number comparison table:

第12頁 1292215 圖式簡單說明 半導體底材50 阻障層5 4 銅層5 8 金屬層間介電層6 2 不規則的山丘凸起6 6 介電層1 0 2 銅晶種層1 0 6 山丘狀凸起110 金屬層間介電層11 4 介電層5 2 銅晶種層5 6 銅鑲嵌結構6 0 山丘狀凸起6 4 半導體底材1 0 0 阻障層1 0 4 銅層1 0 8 銅鑲嵌結構11 2Page 12 1292215 Schematic description of semiconductor substrate 50 barrier layer 5 4 copper layer 5 8 metal interlayer dielectric layer 6 2 irregular hill bumps 6 6 dielectric layer 1 0 2 copper seed layer 1 0 6 Hill-like protrusions 110 Inter-metal dielectric layer 11 4 Dielectric layer 5 2 Copper seed layer 5 6 Copper mosaic structure 6 0 Hill-like projections 6 4 Semiconductor substrate 1 0 0 Barrier layer 1 0 4 Copper layer 1 0 8 copper mosaic structure 11 2

第13頁Page 13

Claims (1)

1292215 六、申請專利範圍 1 · 一種銅鑲嵌結構的製作方法,首先形成介電層於半 導體底材上,且在該介電層中具有開口圖案,該方法至少 包含下列步驟: 形成阻障層於該介電層上表面,且沿著該開口圖案表 面覆蓋; 沉積銅層於該阻障層上,且填充於該開口圖案中; 進行第一次化學機械研磨法,以移除位於該阻障層上 表面之部分該銅層; 對該半導體底材進行熱回火程序;且 對該半導體底材進行第二次化學機械研磨程序,以移 除位於該介電層上表面之部分該阻障層與該銅層,定義銅 鑲嵌結構於該開口圖案中。 2. 如申請專利範圍第1項之方、法,其中上述之阻障層為 氮化组層。 3. 如申請專利範圍第1項之方法,其中上述之銅層是使 用化學電鍍法所形成。 4. 如申請專利範圍第1項之方法,其中上述之熱回火程 序,是在溫度300〜425 °C的環境中,進行約30〜60分鐘而 完成。 5.如申請專利範圍第1項之方法,其中上述之熱回火程1292215 VI. Patent Application No. 1 · A method for fabricating a copper damascene structure, first forming a dielectric layer on a semiconductor substrate and having an opening pattern in the dielectric layer, the method comprising at least the following steps: forming a barrier layer The upper surface of the dielectric layer is covered along the surface of the opening pattern; a copper layer is deposited on the barrier layer and filled in the opening pattern; and a first chemical mechanical polishing method is performed to remove the barrier layer a portion of the copper layer on the upper surface of the layer; performing a thermal tempering process on the semiconductor substrate; and performing a second chemical mechanical polishing process on the semiconductor substrate to remove the portion of the barrier layer on the upper surface of the dielectric layer The layer and the copper layer define a copper damascene structure in the opening pattern. 2. For the method and method of claim 1, wherein the barrier layer is a nitride layer. 3. The method of claim 1, wherein the copper layer is formed by electroless plating. 4. The method of claim 1, wherein the thermal tempering step is performed in an environment of a temperature of 300 to 425 ° C for about 30 to 60 minutes. 5. The method of claim 1, wherein the above thermal tempering 第14頁 1292215 六、申請專利範圍 序,可使用加熱板或加熱爐管來進行 6.- 方法, 具有開 形 面覆蓋 沉 進 表面之 對 構應力 對 除該山 之上表 沈 結構上 種減少金屬層間介電層上表面產生山丘狀凸起之 首先形成介電層於半導體底材上,且在該介電層中 口圖案,該方法至少包含下列步驟: 成阻障層於該介電層上表面,且沿著該開口圖案表 ,,層於該阻障層上,且填充於該開口圖案中; 行第一次化學機械研磨法,以移除位於該阻障層上 部分該銅層; 。亥半導體底材進行熱回火程序,以降低該銅層的結 >其中在该銅層之上表面會產生山丘狀凸起; 4半導體底材進行第二次化學機械研磨程序,以移 丘狀凸起與部分該阻障層,而曝露出部分該介電層 面,疋義銅鑲嵌結構於該開口圖案中;及 2金屬層間介電層於該介電層上,並覆蓋該鈉鑲嵌 义面其中该金屬層間介電層具有平坦之上表面。 氮7化Λ'請專利範圍第6項之方法,#中上述之阻障廣為 8.如申請專利範圍第6 用化學電鍍法所形成。 項之方法,其中上述之銅層 是使Page 14 1292215 VI. The scope of the application for patents can be carried out by using a heating plate or a heating furnace tube. The method has a splitting surface covering the sinking surface and reducing the interfacial stress on the surface of the mountain. Forming a hill-like protrusion on the upper surface of the dielectric layer, first forming a dielectric layer on the semiconductor substrate, and patterning the opening in the dielectric layer, the method comprising at least the following steps: forming a barrier layer on the dielectric layer a surface, along the opening pattern, layered on the barrier layer, and filled in the opening pattern; performing a first chemical mechanical polishing method to remove a portion of the copper layer located on the barrier layer; . The semiconductor substrate is subjected to a thermal tempering process to reduce the junction of the copper layer > wherein a hill-like protrusion is formed on the surface of the copper layer; 4 the semiconductor substrate is subjected to a second chemical mechanical polishing process to shift a moire-like protrusion and a portion of the barrier layer, and exposing a portion of the dielectric layer, the copper-embedded structure is in the opening pattern; and 2 inter-metal dielectric layers on the dielectric layer and covering the sodium inlay The surface of the metal interlayer has a flat upper surface. Nitrogen 7 Λ 请 'Please refer to the method of item 6 of the patent scope, # The above mentioned barriers are widely 8. If the patent application range is 6th, it is formed by electroless plating. The method of the above, wherein the copper layer is 第15頁 1292215 六、申請專利範圍 9.如申請專利範圍第6項之方法,其中上述之熱回火程 序,是在溫度3 0 0〜4 2 5 °C的環境中,進行約3 0〜6 0分鐘而 完成。 1 0.如申請專利範圍第6項之方法,其中上述之熱回火程 序,可使用加熱板或加熱爐管來進行。Page 15 1292215 VI. Application Patent Range 9. The method of claim 6, wherein the above thermal tempering process is performed in an environment of temperature 3 0 0 to 4 2 5 ° C, about 3 0~ Completed in 60 minutes. The method of claim 6, wherein the above thermal tempering step can be carried out using a heating plate or a heating furnace tube. 第16頁Page 16
TW91113935A 2002-06-25 2002-06-25 TWI292215B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW91113935A TWI292215B (en) 2002-06-25 2002-06-25

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW91113935A TWI292215B (en) 2002-06-25 2002-06-25

Publications (1)

Publication Number Publication Date
TWI292215B true TWI292215B (en) 2008-01-01

Family

ID=45067455

Family Applications (1)

Application Number Title Priority Date Filing Date
TW91113935A TWI292215B (en) 2002-06-25 2002-06-25

Country Status (1)

Country Link
TW (1) TWI292215B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112201616A (en) * 2020-09-21 2021-01-08 上海华力集成电路制造有限公司 Method for improving copper hillock in copper interconnection process

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112201616A (en) * 2020-09-21 2021-01-08 上海华力集成电路制造有限公司 Method for improving copper hillock in copper interconnection process

Similar Documents

Publication Publication Date Title
US20220115505A1 (en) Copper-filled trench contact for transistor performance improvement
TW541659B (en) Method of fabricating contact plug
JP3955386B2 (en) Semiconductor device and manufacturing method thereof
US6436825B1 (en) Method of copper barrier layer formation
US7135403B2 (en) Method for forming metal interconnection line in semiconductor device
JP3182608B2 (en) Method of forming contact plug of semiconductor device having contact holes of different sizes
TW201709293A (en) Ruthenium metal feature fill for interconnects
TWI260740B (en) Semiconductor device with low-resistance inlaid copper/barrier interconnects and method for manufacturing the same
JP2007281485A (en) Method for causing super secondary crystal grain growth to occur in narrow trench
TW200539391A (en) Method for fabricating low resistivity barrier for copper interconnect
US6797642B1 (en) Method to improve barrier layer adhesion
TW518709B (en) Barrier layer for copper metallization in integrated circuit fabrication
JP4949551B2 (en) A barrier layer tuned to improve the electrical transfer resistance of copper interconnects
TWI281189B (en) Plasma treatment method for electromigration reduction
CN108231736B (en) Corrosion and/or etch protection layer for contact and interconnect metallization integration
TW200921789A (en) Intermetallic conductors
US7767572B2 (en) Methods of forming a barrier layer in an interconnect structure
JPH0922907A (en) Forming method for buried conductive layer
JP2003045878A (en) Method for forming wiring of semiconductor element
TWI292215B (en)
KR100685902B1 (en) Metal line for semiconductor device and method for fabricating the same
US20070072413A1 (en) Methods of forming copper interconnect structures on semiconductor substrates
US6794282B2 (en) Three layer aluminum deposition process for high aspect ratio CL contacts
TW455954B (en) Manufacturing process using thermal annealing process to reduce the generation of hillock on the surface of Cu damascene structure
JPH11330236A (en) Electronic device having mulatilayered wiring and its manufacture

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees