TWI427733B - A substrate stage and a substrate processing device - Google Patents

A substrate stage and a substrate processing device Download PDF

Info

Publication number
TWI427733B
TWI427733B TW096111318A TW96111318A TWI427733B TW I427733 B TWI427733 B TW I427733B TW 096111318 A TW096111318 A TW 096111318A TW 96111318 A TW96111318 A TW 96111318A TW I427733 B TWI427733 B TW I427733B
Authority
TW
Taiwan
Prior art keywords
substrate
mounting table
lifting rod
processing
plasma
Prior art date
Application number
TW096111318A
Other languages
English (en)
Other versions
TW200805556A (en
Inventor
Kenji Amano
Yositugu Tanaka
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200805556A publication Critical patent/TW200805556A/zh
Application granted granted Critical
Publication of TWI427733B publication Critical patent/TWI427733B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/1303Apparatus specially adapted to the manufacture of LCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Nonlinear Science (AREA)
  • Optics & Photonics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

基板載置台及基板處理裝置
本發明是關於一種對液晶顯示裝置(LCD)等的平板顯示器(FPD)製造用的玻璃基板或半導體晶圓等的基板,施予乾式蝕刻等的處理之基板處理裝置,該處理容器內載置基板之基板載置台以及適用該基板載置台之基板處理裝置。
例如,FPD或半導體的製程中,對當作被處理基板的玻璃基板或半導體晶圓,施予乾式蝕刻或濺鍍、CVD(化學氣相沈積)等的各種處理。
這種處理係例如在將基板載置在處理室內所設置之基板載置台上的狀態下進行,對基板載置台進行基板的裝載和卸載,係令基板載置台所裝備的複數支升降桿升降來進行。即是裝載基板時,以升降桿突出載置台本體表面的狀態,將載置在搬送臂的基板轉移到伸降桿的上面,令升降桿下降。另外,卸載基板時,從基板載置在載置台本體的狀態來令升降桿上升,從載置台本體表面來令基板上升,在該狀態下將基板轉移到搬送臂。這種技術為慣用的技術,例如日本專利文獻1中已有登載。
以LCD為代表之FPD用的玻璃基板正在趨向大型化,要求一邊超過2 m的巨大基板,若要以升降桿來支撐這種大型的基板的情況,對於習知基板的周緣部進行支撐,恐會有對玻璃基板造成彎曲致使基板無法正確的裝載和卸載之虞,故不得不也在基板的中央部設置升降桿。因而,也在玻璃基板的中央部設置升降桿。
然則,對FDP用的玻璃基板進行電漿蝕刻之蝕刻裝置的情況,則在處理室內配置一對平行平面電極(上部電極和下部電極),基板載置台的功能為當作下部電極。然後,在這下部電極如同上述在玻璃基板的中央部設置升降桿的情況,基於提升蝕刻處理的面內均等性的觀點,使用導電性的升降桿,形成與下部電極相同電位,以確保蝕刻處理的均等性。
專利文獻1:日本專利特開平11-340208號公報
然而,玻璃基板或石英基板等的絕緣性基板進行如同電漿蝕刻的電漿處理的情況,在與被形成在基板載置台之升降桿的插入孔相對應的部分,鞘層(sheath)區域的電磁場會變成不均等,因此,判定:升降桿之孔的正上方位置之蝕刻率與其他部分不同。然後,這點的結果是造成發生蝕刻不完全等的主因。
本發明係鑑於該吻題而提案,其目的是提供對基板進行電漿處理時,在與載置台本體之升降桿的插入孔相對應的位置不太會發生處理不均等之基板載置台、及具備有這種基板載置台之電漿處理裝置。
為了要解決上述課題,本發明的第1目的是提供基板載置台,該基板載置台是一種在對基板進行電漿處理之電漿處理裝置的處理容器內,載置基板之基板載置台,其特徵為:具備有:載置台本體、及以面對前述載置台本體鉛直地插入,且面對前述載置台本體的表面進行突出沒入的方式升降自如地設置,利用其前端來支撐基板以進行升降之複數支升降桿;前述升降桿係至少該前端部為導電性,且能夠取得電漿處理時退避到前述載置台本體內之退避位置、及從前述載置台本體突出來支撐基板之支撐位置,處在退避位置時,該前端的高度位置,被調整在離基板G的背面70~130μm下方。
上述第1目的中,前述升降桿最好是與前述載置台本體相同電位。
本發明的第2目的是提供基板載置台,該基板載置台是一種在對基板進行電漿處理之電漿處理裝置的處理容器內載置基板,且功能上作為下部電極之基板載置台,其特徵為:具備有:載置台本體、及以面對前述載置台本體鉛直地插入,且面對前述載置台本體的表面進行突出沒入的方式升降自如地設置,利用其前端來支撐基板以進行升降之複數支升降桿;前述升降桿係能夠取得電漿處理時退避到前述載置台本體內之退避位置、及從前述載置台本體突出來支撐基板之支撐位置,且具有支撐基板的周緣部之複數支第1升降桿、及支撐基板的中心部之1支以上的第2升降桿,前述第2升降桿係至少該前端部為導電性,處在退避位置時,該前端的高度位置,被調整在離基板G的背面70~130μm下方。
上述第2目的中,前述第2升降桿最好是與前述載置台本體相同電位。另外,上述第2目的中,最好是還具備有前述第1升降桿與前述第2升降桿獨立控制之控制部。
本發明的上述第1或第2目的,對於被載置的基板為絕緣性的基板則特別有效。另外,上述第1或第2目的中,最好是還具備有調整前述升降桿的高度位置之位置調整機構。另外,前述載置台本體係可以以在該表面具有由絕緣體所組成之複數個凸部,基板則被載置在該凸部上的方式構成。進而,可以以對前述載置台本體,供應用來產生電漿的高頻電力的方式構成。進而,前述載置台本體還可以以在與前述處理容器的底壁之間形成空間的方式,介於由絕緣構件所組成之間隔物,配置在處理容器內,前述空間為大氣環境,前述載置台本體以利用貫穿前述空間的螺栓,固定在前述處理容器的底壁的方式構成。
本發明的第3目的是提供基板處理裝置,該基板處理裝置是一種具備有:收容基板之處理容器、及被設置在前述處理容器內,載置基板之基板載置台、及對前述處理容器內供應處理氣體之處理氣體供應機構、及將前述處理容器內予以排氣之排氣機構、及在前述處理室內產生處理氣體的電漿之電漿產生機構;對基板施予電漿處理之電漿處理裝置,其特徵為:前述基板載置台,具有上述第1目的或第2目的的構成。
上述第3目的中,前述電漿產生機構,其構成為可以具有:功能上作為下部電極之前述基板載置台、及與基板載置台相對向設置之上部電極、及將高頻電力施加到基板載置台之高頻電源的構成。
依據本發明,因對基板進行電漿處理之電漿處理裝置的基板載置台所裝備之複數之升降桿,至少該前端部為導電線,處在電漿處理時退避到前述基板載置台本體內的位置時,該前端的高度位置,被調整在離基板G的背面70~130μm下方,所以可以解決與升降桿的孔相對應的部分之鞘層區域的電磁場不均等,又可以進行均等的電漿處理。
另外,電漿處理時處理時處理不均等造成問題為基板的中央部,因而如同本發明的第2目的,區分成支撐基板的周緣部之複數支第1升降桿、和支撐基板的中心部之1支以上的第2升降桿,針對第2升降桿來進行如同上述的高度調整的話,可以進行均等的電漿處理,又可以減少高度調整的升降桿數量來減輕調整作業的勞力。
以下,參考附圖來說明本發明的實施形態。第1圖為表示設有作為本發明的實施形態之基板載置台的基板座之處理裝置的一個例子的電漿蝕刻裝置之剖面圖。該電漿蝕刻裝置1係如同FPD用玻璃基板G進行特定處理的裝置之剖面圖,由電容結合型平行平板電漿蝕刻裝置所構成。此處,FPD列舉有液晶顯示器(LCD)、發光二極體(LED)、顯示器、電致發光(electro luminescence:EL)顯示器、螢光顯示管(vacuum fluorescent display:VFD)、電漿顯示器面板(PDP)等。
該電漿蝕刻裝置1具有形成為例如由表面經過氧化鋁膜處理(陽極氧化處理)的鋁所組成之角筒形狀之處理室2。
該處理室2內的底部,設有用來載置當作被處理基板的玻璃基板G之基板載置台,也就是設有基板座4。該基板座4具有玻璃基板G用來對於基板座本體4a進行裝載和卸載之複數支升降桿30。
基板座本體4a連接著用來供應高頻電力之供電線23。該供電線23從中途分歧成供電線23a和23b,供電線23a連接著整合器24a和電漿產生用的高頻電源25a,供電線23b連接著整合器24b和偏壓產生用的高頻電源25b。電漿產生用的高頻電源25a的頻率為10~100 MHz的範圍,例如為13.56 MHz。偏壓產生用的高頻電源25b為用來將離子引進到基板座本體4a,使用比電漿產生用的高頻電源25a還低頻率之50 kHz~10 MHz範圍的頻率,例如為3.2 MHz。
在前述基板座4的上方,設有與該基板座4成平行地相對向而功能上作為上部電極之噴淋頭11。噴淋頭11係被支撐在處理室2的上部,內部具有內部空間,並且形成有對與基板座4的對向面噴出處理氣體之複數個噴出孔13。噴淋頭11被接地,與基板座4一起構成一對平行平板電極。
噴淋頭11的上面設有氣體導入口14,該氣體導入口14連接處理氣體供應管15,該處理氣體供應管15,介於氣閥16和質量控制器17,連接處理氣體供應源18。從處理氣體供應源18,供應用來進行蝕刻的處理氣體。處理氣體可以使用鹵素系的氣體、O2 氣體、Ar氣體等通常該領域所使用的氣體。
在前述處理室2的底部形成有排氣管19,該排氣管19連接排器裝置20。排器裝置20係具備有渦輪分子幫浦等的真空幫浦,藉由此方式,以能夠將處理室2內抽真空到特定的減壓環境為止的方式構成。另外,在處理室2的側壁,設有基板搬送進出口21、及開關該基板搬送進出口21之閘閥22,形成為在該閘閥22成為開啟的狀態下,基板G在與相鄰的真空交換室(load lock chamber)(未圖示)之間進行搬送。
其次,參考第1圖外還參考第2~4圖來說明本發明的實施形態的基板載置台之基本座4。第2圖為用來說明基板座4上升降桿的配置之平面圖。第3圖為擴大表示升降桿處在退避位置時之基板座4的上部之剖面圖。第4圖為擴大表示含有基板座上升降桿之高度位置調整機構的部分之剖面圖。
該基板座4係如同上述過,具有基板座本體4a及複數支升降桿30,基板座本體4a具有金屬製的基材5及被設置在基材5的周緣之絕緣材6。另外,升降桿30係由導電性材料所組成,典型上是由金屬所組成,如同第2圖所示,被分類成支撐玻璃基板G的周緣之周緣升降桿30a及中央升降桿30b,周緣升降桿30a係在基板座本體4a的長邊各設置3支,在短邊各設置2支,合計共設置10支,中央升降桿30b則是在中央部設置3支。惟,升降桿30的支數並不侷限於此,因應於被處理基板的大小來設置適當的支數。
如第1圖所示,在處理室2的底壁2a,以與基板座本體4a的周緣部相對應的方式,設置由絕緣體所組成之間隔物構件7,在該上面載置基板座本體4a。間隔物構件7與底部2a之間、間隔物構件7與基板座本體4a之間都被氣密密封,在基板座本體4a與底壁2a之間形成有大氣環境的空間31。然後,利用該空間31來達到大氣絕緣。在底壁2a埋設由陶瓷等的絕緣體所組成之複數個絕緣構件32,利用分別插入到被鉛直設置在該複數個絕緣構件32的中心之貫穿孔之複數個螺栓33,固定底壁2a及基板座本體4a。如此,利用螺栓33固定底壁2a及基板座本體4a,即使處理室2內保持真空,仍防止基板座本體4a彎曲。
形成為在基板座本體4a的上面,即是在基材5的表面,呈突起狀形成有由介電體材料所組成之複數個凸部,這些凸部5a圍繞在絕緣構件6的周圍的狀態。絕緣構件6的上面及凸部5a的上面變成相同,玻璃基板G載置在基板座本體4a的情況,成為接觸到絕緣構件6的上面和凸部5a的上面的狀態。另外,如第3圖所示,在凸部5a的下面,形成有由與凸部5a同樣的介電體材料所組成之薄介電體層5b。
如第3圖所示,升降桿30的前端部,插入被設置在基板座本體4a的基材5a之插入孔5c。然後,以驅動機構(未圖示)來進行昇降,電漿蝕刻時,如第3圖所示,位於沒入基板座本體4a內的退避位置,玻璃基板G裝載和卸載時,位於在從基板座本體4a的表面向上方突出的狀態下支撐玻璃基板G的支撐位置。
然後,升降桿30處在電漿蝕刻時的退避位置時,如第3圖所示,以該前端的高度位置變成離玻璃基板G的背面70~130μm的位置的方式進行高度調整。如此進行高度調整,可以在解決電漿蝕刻時插入孔5c的正上方位置之鞘層區域的電磁場不均等。
另外,如第4圖所示,升降桿30的下端部插入到由導電形材料,典型上由金屬材料所組成之下部桿35,下部桿35的下端利用螺栓拴鎖來支撐在支撐構件40。下部桿35穿過被設置在處理室2的底壁2a之插入孔2b,向處理室2的下方延伸,支撐構件40位於處理室2的外側。支撐構件40具有構成上部之導電性構件41及被設置在該下方之絕緣構件42。支撐構件40的導電性構件41與基板座本體4a之間,設有用來將真空環境與大氣環境予以隔離之導電性的蛇腹44。因此,升降桿30介於蛇腹44和導電性構件41,與基板座本體4a電性相連繫,該兩構件保持相同電位。
在支撐構件40的下方,如第4圖所示,設有用來調整升降桿30的高度位置之高度位置調整機構50(第4圖中,只圖示中央升降桿30b的高度位置調整機構50)。該高度位置調整機構50具有卡止支撐構件40之卡止構件51、及朝向上下方向導引卡止構件51之導引構件52、及被設置在卡止構件51的下方之基體構件53、及以從基體構件53的下面插入,該前端抵接到卡止構件51的方式設置之複數個調整螺絲54,形成為可以在大氣環境下進行升降桿30的高度位置調整。此外,圖號56為用來令升降桿30在退避位置與支撐位置之間移動之纜線,該纜線56與驅動機構(未圖示)相連繫。然後,利用驅動機構來令纜線56驅動,升降桿30、下部桿35以及支撐構件40變成一體來進行升降。高度位置調整機構50係在支撐構件40卡止在卡止構件的狀態下,調整調整螺絲54,進行對位。
升降桿30中,周緣升降桿30a與中央升降桿30b可獨立升降。即是如第5圖所示,周緣升降桿30a係形成為利用驅動機構60a,經由支撐構件40和下部桿來統籌升降,中央升降桿30b則是利用驅動機構60b,經由支撐構件40和下部桿來統籌升降。然後,該兩驅動機構60a、60b,利用控制器61來進行控制,例如可以使周緣升降桿30a與中央升降桿30b的升降時序錯開。
其次,針對以此方式構成之電漿蝕刻裝置1的處理動作進行說明。
預先利用高度位置調整機構50來進行退避位置上之升降桿30的位置調整。具體上,在利用驅動機構來令支撐構件40卡止在卡止構件51的狀態下,調整螺絲54予以調整來令卡止構件51升降,使用度盤規(dial gauge)等來進行調整,使升降桿30之前端的高度位置變成離基板座本體4a之凸部5a的上面70~130μm。
如此,在進行升降桿30的位置調整的狀態下,先將當作被處理基板之玻璃基板G,利用搬送臂(未圖示),從真空交換室(未圖示),經由基板搬送進出口21,搬入處理室2內,載置在基板座本體4a上,也就是載置在由被形成在基板座本體4a的表面之介電體材料所組成之凸部5a和絕緣構件6的上面。此情況,令升降桿30向上方突出致使位於支撐位置,令搬送臂上的玻璃基板G轉交到升降桿30的上面。之後,令升降桿30下降來將玻璃基板G載置在基板座本體4a的上面。此時升降桿30的升降順序,當上升時,先使周緣升降桿30a上升,經過些許時間,再使中央升降桿30b上升。一方面,當下降時,先使中央升降桿30b下降,經過些許時間,再使周緣升降桿30a下降。此時的延遲時間為0.5~2 sec程度。如此,從周邊部來將玻璃基板升起,即使例如在基板座本體4a上密合著玻璃基板G的情況,仍很容易就可以從基板座本體4a來脫離基板G,又可以防止玻璃基板G破裂。另外,當下降時,玻璃基板G從中央部來載置到基板座本體4a,故可以在不會對基板G帶來不用的應力等,且不會在玻璃基板G的中央部產生與基板座本體4a之間的間隙等的狀態下,載置玻璃基板G。
之後,關閉閘閥22,藉由排氣裝置20,將處理室2內抽真空到特定的真空度為止。然後,開啟閥16,從處理氣體供應源18,經由質量控制器17,調整處理氣體的流量,並通過處理氣體供應管15、氣體導入口14,導入到噴淋頭11的內部空間12,再通過噴出口13,均等地對基板G噴出,調節排氣量來將處理室2內控制在特定壓力。
在此狀態下,從高頻電源25a,經由整合器24a,將電漿產生用的高頻電力,施加給基板座本體4a,在作為下部電極的基板座4與作為上部電極的噴淋頭11之間令高頻電場產生,而產生處理氣體的電漿,利用該電漿來對玻璃基板G施予蝕刻處理。另外,從高頻電源25b,經由整合器24b,將偏壓產生用的高頻電力施加給基板座本體4a。
以此方式進行蝕刻處理時,過去則是如第6圖所示,將升降桿30的前端位置,調整到與凸部5a的底面大致相同高度。即是凸部5a的高度最大為50μm程度,因而過去是升降桿30的前端與玻璃基板G的背面的距離為50μm以下。但是,該狀態則判定會在與玻璃基板G的上方之鞘層區域的插入孔5c相對應的部分發生電磁場不均等。在這鞘層區域發生電磁場不均等,該部分的蝕刻率就會與其它部分不同,結果是發生蝕刻不完全。對於本實施形態所使用之玻璃基板G等的絕緣基板,該趨向特別明顯。
於是,本發明者針對該點加以檢討的結果,判定這種鞘層區域的電磁場不均等,經由調整升降桿30的高度位置就能夠解決。也就是導電性的升降桿30之前端的高度會受到電漿的鞘層區域影響,設定為適當的高度,就會使鞘層區域之插入孔5c的正上方位置之電磁場的大小變成與該周圍相同程度,又可以進行均等的蝕刻。
然後,升降桿30之前端的高度位置,調整成離玻璃基板G的背面70~130μm下方、或者凸部5a的高度為50μm,則變成離凸部5a的底面也就是基板座本體4a的表面20~80μm下方的話,可以抑制電磁場不均等造成蝕刻不均等。升降桿30之前端的高度位置小於70μm,在升降桿30之插入孔5c的正上方部分,蝕刻會多於該周邊部分,一方面,超過130μm就會變成不容易蝕刻,在升降桿30之插入孔5c的正上方部分,蝕刻會少於該周邊部分,進行任何一種均等的蝕刻都會有困難。
對於這種升降桿的高度調整,最重要的是預測玻璃基板G的對應部分實際成為製品的中央升降桿30b。與周緣升降桿30a相對應的位置由於通常不會成為製品,故該高度調整不一定要對周緣升降桿30a進行如同上述的高度位置調整。因此,只對中央升降桿30b進行如同上述之嚴密的高度調整,至於周緣升降桿30a,也可以不進行如同上述的調整而以目視等的簡單方法來進行。這種情況,具有可以減少高度調整之升降桿的數量,又可以減輕調整作業的勞力的效果。
另外,這種升降桿的高度調整,前提是要使基板座本體4a實質上不會產生變形。即是基板座本體4a產生變形,則即使高精度地進行昇降桿30的位置調整,玻璃基板G的背面及昇降桿30的前端的位置仍會變化。昇降桿30的位置調整就變成無意義。但是,隨著玻璃基板G的大型化,基板座本體4a也跟著大型化,而且如同上述採用大氣絕緣而以空氣來將基板座本體4a與處理室2的底壁之間予以絕緣,所以已將處理室2內抽真空時,基板座本體4a因彎曲而容易變形。因而,本實施形態中,利用複數個螺栓33來將處理室2的底壁與基板座本體4a予以固定,防止抽真空時基板座本體4a的變形,昇降桿30的對位,實效地進行昇降桿30的對位。
以此方式來施予蝕刻處理後,停止從高頻電源25施加高頻電力,並停止處理氣體導入後,將處理室2內的壓力調整到特定的壓力,利用昇降桿30來令玻璃基板G上升到支持位置為止。也在此時,如前述過,先令周緣升降桿30a上升,經過些許時間,再令中央升降桿30b上升。在此狀態下,開啟閘閥22來將搬送臂(未圖示)插入到處理室2內,將在昇降桿30上的玻璃基板G轉交給搬送臂。然後,令昇降桿30下降。也在該下降時,先令中央升降桿30b下降,經過些許時間,令周緣升降桿下降。一方面,載置在搬送臂之玻璃基板G,經由基板搬送進出口21,從處理室2內搬出,送進真空交換室。
其次,針對本發明之升降桿的高度位置調整確認過效果之實驗進行說明。
此處則是以覆蓋第7圖所示之平面位置上的3支中央升降桿30b(No.1、No.2、No.3)的方式,配置薄長方形狀的非晶矽基板,改變升降桿前端的高度位置(測試1~4),在下述的條件下,進行蝕刻(非晶矽的蝕刻),實施桿痕的確認(目視)及蝕刻階差(升降桿插入孔正上方位置和升降桿周邊的階差)的測定。第7圖中,白點表示中央升降桿,黑點表示周緣升降桿。此外,薄長方形的周邊設置大部分以氮化矽膜(silicon nitride膜)覆蓋之玻璃基板。另外,升降桿周邊係指離中央升降桿的中心點大約30 mm的位置。
*蝕刻條件壓力:<6.7 Pa高頻電力:電漿產生用高頻(13.56 MHz)=18 kW偏壓產生用高頻(3.2 MHz)=7.5 kW蝕刻氣體:Cl2 /SF6 =6000/427 mL/min(sccm)蝕刻時間:20 sec
結果顯示在表1中。此外,表1中,經目視之桿痕的評估基準中,A:桿痕稠密能夠看出,B:桿痕稀疏但可看出,C:從表面幾乎看不見,從背面則能夠稍微看出,D:無桿痕。
如表1所示,中央升降桿的前端之高度位置低於離玻璃基板G的背面130μm的位置之位置上的測試1、2中,趨向於桿痕在表面能夠看出之「B」較多,升降桿正上方部分的蝕刻深度較小,成為不完全的結果。一方面,昇降桿30的前端之高度位置比離基板背面70μm還要更小的50μm(與凸部5a的底面大致相同高度)的測試3中,蝕刻的趨向反轉,昇降桿的正上方部分,蝕刻深度反而變大,至於桿痕,也存在有評估「A」,仍成為不完全的結果。對於此點,中央升降桿30b的前端之高度位置離玻璃基板G的背面100μm之屬於本發明的範圍內的測試4中,桿痕被評估為良好的「C~D」,至於蝕刻階差則為小到-1.3~0.9 nm的值。從這點確認了本發明的效果。
此外,本發明並不侷限於上述的實施形態,能夠進行各種的變形。
例如,上述實施形態中,表示將本發明的基板載置台應用在對下部電極施加高頻電力之RIE形式的電容結合型平行平板電漿蝕刻裝置中作為下部電極之基板座的例子,但並不侷限於此,可以應用於灰化處理、CVD成膜等其他的電漿處理裝置,又可以是對上部電極供應高頻電力的形式,另外並不侷限於電容結合型,也可以是感應結合型。
另外,上述實施形態中,昇降桿30介於蛇腹44來與基板座本體4a導通,令該兩者成為相同電位,不過昇降桿30也可以是浮動狀態。
進而,上述實施形態中,表示使用絕緣性的FPD用玻璃基板G來作為被處理基板的例子,但並不侷限於此,也可以是其他的基板。
1...電漿蝕刻裝置
2...處理室(處理容器)
4...基板座(基板載置台)
4a...基板座本體(載置台本體)
5...基材
5a...凸部
6...絕緣構件
7...間隔物構件
11...噴淋頭(氣體供應手段)
20...排氣裝置
25a...高頻電源(電漿產生手段)
30...升降桿
30a...周緣升降桿
30b...中央升降桿
35...下部桿
40...支撐構件
44...蛇腹
50...高度位置調整機構
G...玻璃基板
第1圖為表示設有本發明的貫施形態之作為基板載置台的基板座之處理裝置的一個例子之電漿蝕刻裝置之剖面圖。
第2圖為用來說明基板座上升降桿的配置之平面圖。
第3圖為擴大表示升降桿處在退避位置時之基板座的上部之剖面圖。
第4圖為擴大表示含有基板座上升降桿之高度位置調整機構的部分之剖面圖。
第5圖為表示用來將周緣升降桿與中央升降桿予以獨立升降的機構之模式圖。
第6圖為表示習知進行蝕刻處理時升降桿的高度位置之模式圖。
第7圖為表示用來呈現本發明的效果的實驗所使用的裝置之中央升降桿的配置之圖。
4...基板座(基板載置台)
4a...基板座本體(載置台本體)
5...基材
5a...凸部
5b...介電體層
5c...插入孔
30(30b)...升降桿(中央升降桿)
G...玻璃基板

Claims (12)

  1. 一種基板載置台,是在對基板進行電漿處理之電漿處理裝置的處理容器內,載置基板之基板載置台,其特徵為:具備有:載置台本體;及以面對前述載置台本體鉛直地插入,且面對前述載置台本體的表面進行突出沒入的方式升降自如地設置,利用其前端來支撐基板以進行升降之複數支升降桿;前述升降桿係能夠處在電漿處理時退避到前述載置台本體內之退避位置、及從前述載置台本體突出來支撐基板之支撐位置,處在前述退避位置時,該前端的高度位置,被調整成比基板的背面更下方,前述載置台本體,以在與前述處理容器的底壁之間形成空間的方式,中介著絕緣構件所構成的間隔件被配置於處理容器內,前述空間為大氣環境。
  2. 如申請專利範圍第1項所述之基板載置台,其中,前述升降桿係至少該前端部為導電性,與前述載置台本體相同電位。
  3. 一種基板載置台,是在對基板進行電漿處理之電漿處理裝置的處理容器內載置基板,且功能上作為下部電 極之基板載置台,其特徵為:具備有:載置台本體;及以面對前述載置台本體鉛直地插入,且面對前述載置台本體的表面進行突出沒入的方式升降自如地設置,利用其前端來支撐基板以進行升降之複數支升降桿;前述升降桿係能夠取得電漿處理時退避到前述載置台本體內之退避位置、及從前述載置台本體突出來支撐基板之支撐位置,且具有支撐基板的周緣部之複數支第1升降桿、及支撐基板的中心部之1支以上的第2升降桿,前述第2升降桿係處在前述退避位置時,該前端的高度位置,被調整成比基板的背面下方,前述載置台本體,以在與前述處理容器的底壁之間形成空間的方式,中介著絕緣構件所構成的間隔件被配置於處理容器內,前述空間為大氣環境。
  4. 如申請專利範圍第3項所述之基板載置台,其中,前述第2升降桿係至少該前端部為導電性,與前述載置台本體相同電位。
  5. 如申請專利範圍第3或4項所述之基板載置台,其中,還具備有將前述第1升降桿與前述第2升降桿之升降獨立控制之控制部。
  6. 如申請專利範圍第1至4項中任一項所述之基板載置台,其中,被載置的基板為絕緣性的基板。
  7. 如申請專利範圍第1至4項中任一項所述之基板 載置台,其中,前述升降桿處在前述退避位置時,還具備有調整前述升降桿的前端的高度位置成為在離基板的背面70~130μm下方之高度位置調整機構。
  8. 如申請專利範圍第1至4項中任一項所述之基板載置台,其中,前述載置台本體係在該表面具有由絕緣體所組成之複數個凸部,基板則被載置在該凸部上。
  9. 如申請專利範圍第1至4項中任一項所述之基板載置台,其中,對前述載置台本體,供應用來產生電漿的高頻電力。
  10. 如申請專利範圍第1至4項中任一項所述之基板載置台,其中,前述載置台本體利用貫穿前述空間的螺栓,固定在前述處理容器的底壁。
  11. 一種基板處理裝置,是具備有:收容基板之處理容器;及被設置在前述處理容器內,載置基板之基板載置台;及對前述處理容器內供應處理氣體之處理氣體供應機構;及將前述處理容器內予以排氣之排氣機構;及在前述處理室內產生處理氣體的電漿之電漿產生機構;對基板施予電漿處理之電漿處理裝置,其特徵為:前述基板載置台,具有申請專利範圍第1至4項中任一項的構成。
  12. 如申請專利範圍第11項所述之基板處理裝置,其中,前述電漿產生機構具有:功能上作為下部電極之前述基板載置台、及與基板載置台相對向設置之上部電極、及將高頻電力施加到基板載置台之高頻電源。
TW096111318A 2006-03-31 2007-03-30 A substrate stage and a substrate processing device TWI427733B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006096799A JP4597894B2 (ja) 2006-03-31 2006-03-31 基板載置台および基板処理装置

Publications (2)

Publication Number Publication Date
TW200805556A TW200805556A (en) 2008-01-16
TWI427733B true TWI427733B (zh) 2014-02-21

Family

ID=38676181

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096111318A TWI427733B (zh) 2006-03-31 2007-03-30 A substrate stage and a substrate processing device

Country Status (4)

Country Link
JP (1) JP4597894B2 (zh)
KR (2) KR100887459B1 (zh)
CN (2) CN100587938C (zh)
TW (1) TWI427733B (zh)

Families Citing this family (227)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5302541B2 (ja) * 2008-01-09 2013-10-02 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR101588566B1 (ko) * 2008-03-20 2016-01-26 어플라이드 머티어리얼스, 인코포레이티드 롤-성형 표면을 갖는 서셉터 및 이를 제조하기 위한 방법
JP2010084164A (ja) * 2008-09-29 2010-04-15 Epson Toyocom Corp プラズマ処理装置
JP5356769B2 (ja) * 2008-10-15 2013-12-04 東京エレクトロン株式会社 載置台
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103227086B (zh) * 2012-01-31 2015-09-30 中微半导体设备(上海)有限公司 一种用于等离子体处理装置的载片台
JP5994090B2 (ja) * 2012-02-29 2016-09-21 株式会社ブイ・テクノロジー レーザ加工装置
KR101395288B1 (ko) * 2012-05-21 2014-05-15 주성엔지니어링(주) 박막 증착 장치 및 이를 이용한 박막 증착 방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6369054B2 (ja) * 2014-03-03 2018-08-08 東京エレクトロン株式会社 基板載置装置及び基板処理装置
KR101594928B1 (ko) * 2014-03-06 2016-02-17 피에스케이 주식회사 기판 처리 장치 및 방법
KR102071497B1 (ko) * 2014-04-01 2020-01-30 주식회사 원익아이피에스 기판처리장치
CN105470180A (zh) * 2014-09-05 2016-04-06 北京北方微电子基地设备工艺研究中心有限责任公司 晶片升起组件及用于从晶片升起组件上取放晶片的机械手
CN104238158B (zh) * 2014-09-23 2017-02-08 深圳市华星光电技术有限公司 一种升降装置以及升降系统
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWM539571U (zh) * 2015-07-27 2017-04-11 應用材料股份有限公司 基板材升降杆致動器
JP6817745B2 (ja) * 2015-09-01 2021-01-20 東京エレクトロン株式会社 基板処理装置、リフトピンの高さ位置検知方法、リフトピンの高さ位置調節方法、及び、リフトピンの異常検出方法
CN106486411B (zh) * 2015-09-01 2019-06-11 东京毅力科创株式会社 基板处理装置、升降销的位置检测、调节和异常检测方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107305858B (zh) * 2016-04-20 2020-11-10 北京北方华创微电子装备有限公司 顶针机构及预清洗腔室
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6651994B2 (ja) * 2016-06-17 2020-02-19 東京エレクトロン株式会社 基板処理装置、メンテナンス用治具、基板処理装置のメンテナンス方法及び記憶媒体
JP6650841B2 (ja) 2016-06-27 2020-02-19 東京エレクトロン株式会社 基板昇降機構、基板載置台および基板処理装置
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN107799453B (zh) * 2016-09-07 2020-02-14 北京北方华创微电子装备有限公司 一种静电卡盘和半导体处理装置
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6797063B2 (ja) * 2017-04-14 2020-12-09 東京エレクトロン株式会社 ピン制御方法及び基板処理装置
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN110402481B (zh) * 2017-10-17 2023-07-21 株式会社爱发科 被处理体的处理装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
CN108231520B (zh) * 2017-12-29 2020-02-14 信利(惠州)智能显示有限公司 基板干法刻蚀装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
JP6846384B2 (ja) * 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018006903A1 (de) * 2018-08-30 2020-03-05 Vat Holding Ag Galvanisch getrennte Stifthubvorrichtung
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
DE102018007307A1 (de) * 2018-09-17 2020-03-19 Vat Holding Ag Stifthubvorrichtung
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP2020167288A (ja) * 2019-03-29 2020-10-08 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置のメンテナンス方法
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
JP7278175B2 (ja) * 2019-08-23 2023-05-19 東京エレクトロン株式会社 基板処理装置、基板処理装置の製造方法及びメンテナンス方法
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110571184A (zh) * 2019-09-26 2019-12-13 芜湖通潮精密机械股份有限公司 干刻机台支撑装置
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210086748A (ko) * 2019-12-30 2021-07-09 세메스 주식회사 기판 리프팅 방법 및 기판 처리 장치
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) * 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
CN113518510B (zh) * 2020-04-10 2022-10-11 南通深南电路有限公司 一种pcb板除胶装置和方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
KR102582696B1 (ko) * 2020-06-15 2023-09-26 세메스 주식회사 기판 처리 장치, 리프트 핀 높이 편차 측정 방법 및 컴퓨터 판독 가능한 처리 프로그램을 기록한 기록 매체
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022113491A (ja) 2021-01-25 2022-08-04 東京エレクトロン株式会社 基板載置台及び基板処理方法。
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
CN114231943A (zh) * 2021-12-13 2022-03-25 深圳优普莱等离子体技术有限公司 一种用于化学气相沉积的二级升降系统及设备
JP2023137546A (ja) 2022-03-18 2023-09-29 東京エレクトロン株式会社 基板載置台、基板処理装置及び基板処理方法
JP2023137547A (ja) 2022-03-18 2023-09-29 東京エレクトロン株式会社 基板載置台、基板処理装置及び基板処理方法
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW484175B (en) * 1999-06-03 2002-04-21 Tokyo Electron Ltd Film forming apparatus
JP2006049299A (ja) * 2004-07-02 2006-02-16 Sekisui Chem Co Ltd 表面処理装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5310453A (en) * 1992-02-13 1994-05-10 Tokyo Electron Yamanashi Limited Plasma process method using an electrostatic chuck
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
JPH0774234A (ja) * 1993-06-28 1995-03-17 Tokyo Electron Ltd 静電チャックの電極構造、この組み立て方法、この組み立て治具及び処理装置
JP3005461B2 (ja) * 1995-11-24 2000-01-31 日本電気株式会社 静電チャック
TW503442B (en) * 2000-02-29 2002-09-21 Applied Materials Inc Coil and coil support for generating a plasma
JP2002246160A (ja) * 2001-02-19 2002-08-30 Ibiden Co Ltd ホットプレートユニット
JP2002270681A (ja) * 2001-03-07 2002-09-20 Anelva Corp 基板処理用静電吸着機構
WO2003063222A1 (en) * 2002-01-24 2003-07-31 Sumitomo Precision Products Co., Ltd. Ozone-processing device
JP4251887B2 (ja) * 2003-02-26 2009-04-08 東京エレクトロン株式会社 真空処理装置
JP4354243B2 (ja) * 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW484175B (en) * 1999-06-03 2002-04-21 Tokyo Electron Ltd Film forming apparatus
JP2006049299A (ja) * 2004-07-02 2006-02-16 Sekisui Chem Co Ltd 表面処理装置

Also Published As

Publication number Publication date
CN101707186B (zh) 2012-02-29
KR20080114647A (ko) 2008-12-31
CN101047143A (zh) 2007-10-03
JP2007273685A (ja) 2007-10-18
KR20070098556A (ko) 2007-10-05
TW200805556A (en) 2008-01-16
CN100587938C (zh) 2010-02-03
JP4597894B2 (ja) 2010-12-15
CN101707186A (zh) 2010-05-12
KR100952525B1 (ko) 2010-04-12
KR100887459B1 (ko) 2009-03-10

Similar Documents

Publication Publication Date Title
TWI427733B (zh) A substrate stage and a substrate processing device
KR102002216B1 (ko) 기판 승강 기구, 기판 탑재대 및 기판 처리 장치
JP6149071B2 (ja) 基板をデチャックする方法
KR101037533B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP6010433B2 (ja) 基板載置台および基板処理装置
TWI445119B (zh) A substrate stage and a substrate processing device
JP4753888B2 (ja) 基板保持機構及びプラズマ処理装置
KR100854802B1 (ko) 기판 탑재대 및 기판 처리 장치
KR20120126018A (ko) 플라즈마 생성용 전극 및 플라즈마 처리 장치
JP2019176031A (ja) プラズマ処理装置、及び被処理体の搬送方法
JP2009152434A (ja) 基板処理装置
TW201907474A (zh) 基板處理裝置
KR100755594B1 (ko) 용량 결합형 평행 평판 구조를 갖는 플라즈마 에칭 장치및 플라즈마 에칭 방법
JPH0878346A (ja) プラズマ成膜装置
CN111952140A (zh) 基片载置台和等离子体处理装置