TWI399855B - Power semiconductor devices and methods of manufacture - Google Patents

Power semiconductor devices and methods of manufacture Download PDF

Info

Publication number
TWI399855B
TWI399855B TW097122158A TW97122158A TWI399855B TW I399855 B TWI399855 B TW I399855B TW 097122158 A TW097122158 A TW 097122158A TW 97122158 A TW97122158 A TW 97122158A TW I399855 B TWI399855 B TW I399855B
Authority
TW
Taiwan
Prior art keywords
channel
layer
gate
polysilicon
active
Prior art date
Application number
TW097122158A
Other languages
Chinese (zh)
Other versions
TW200840041A (en
Inventor
Ashok Challa
Alan Elbanhawy
Christopher B Kocon
Steven P Sapp
Babak S Sani
Peter H Wilson
Original Assignee
Fairchild Semiconductor
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fairchild Semiconductor filed Critical Fairchild Semiconductor
Publication of TW200840041A publication Critical patent/TW200840041A/en
Application granted granted Critical
Publication of TWI399855B publication Critical patent/TWI399855B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/0619Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE] with a supplementary region doped oppositely to or in rectifying contact with the semiconductor containing or contacting region, e.g. guard rings with PN or Schottky junction
    • H01L29/0623Buried supplementary region, e.g. buried guard ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/063Reduced surface field [RESURF] pn-junction structures
    • H01L29/0634Multiple reduced surface field (multi-RESURF) structures, e.g. double RESURF, charge compensation, cool, superjunction (SJ), 3D-RESURF, composite buffer (CB) structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/407Recessed field plates, e.g. trench field plates, buried field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66734Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7803Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device
    • H01L29/7804Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device the other device being a pn-junction diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7803Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device
    • H01L29/7806Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device the other device being a Schottky barrier diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7811Vertical DMOS transistors, i.e. VDMOS transistors with an edge termination structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7815Vertical DMOS transistors, i.e. VDMOS transistors with voltage or current sensing structure, e.g. emulator section, overcurrent sensing cell
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • H01L29/7828Vertical transistors without inversion channel, e.g. vertical ACCUFETs, normally-on vertical MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16245Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0661Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body specially adapted for altering the breakdown voltage by removing semiconductor material at, or in the neighbourhood of, a reverse biased junction, e.g. by bevelling, moat etching, depletion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • H01L29/0696Surface layout of cellular field-effect devices, e.g. multicellular DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0873Drain regions
    • H01L29/0878Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/404Multiple field plate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41741Source or drain electrodes for field effect devices for vertical or pseudo-vertical devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • H01L2924/12032Schottky diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1301Thyristor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1301Thyristor
    • H01L2924/13034Silicon Controlled Rectifier [SCR]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • H01L2924/13055Insulated gate bipolar transistor [IGBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]

Abstract

Various embodiments for improved power devices as well as their methods of manufacture, packaging and circuitry incorporating the same for use in a wide variety of power electronic applications are disclosed. One aspect of the invention combines a number of charge balancing techniques and other techniques for reducing parasitic capacitance to arrive at different embodiments for power devices with improved voltage performance, higher switching speed, and lower on-resistance. Another aspect of the invention provides improved termination structures for low, medium and high voltage devices. Improved methods of fabrication for power devices are provided according to other aspects of the invention. Improvements to specific processing steps, such as formation of trenches, formation of dielectric layers inside trenches, formation of mesa structures and processes for reducing substrate thickness, among others, are presented. According to another aspect of the invention, charge balanced power devices incorporate temperature and current sensing elements such as diodes on the same die. Other aspects of the invention improve equivalent series resistance (ESR) for power devices, incorporate additional circuitry on the same chip as the power device and provide improvements to the packaging of charge balanced power devices.

Description

功率半導體元件及其製造方法(一)Power semiconductor component and method of manufacturing the same (1) 相關申請案之交叉參考Cross-reference to related applications

此申請案係請求下列臨時提交美國專利申請案之利益:No.60/533,790(事務所案號No.18865-133/17732-67260),名稱為“功率半導體元件及其製造方法”,亞修克(Ashok)等人,2003年12月30日提交;此申請案係為下列共同讓渡的美國專利申請案之部分接續案:No.10/640,742(事務所案號No.90065.000241/17732-66550),名稱為“經改良之用於具有降低的米勒電容及切換損失之MOS閘道方法”,柯康(Kocon)等人,2003年8月14日提交;No.10/442,670(事務所案號No.18865-131/17732-66850),名稱為“用於形成一具有自我對準特性的溝道MOSFET之結構及方法”,海瑞克(Herrick),2003年5月20日提交;此申請案係關於下列共同讓渡的美國專利申請案:No.10/155,554(事務所案號No.18865-17-2/17732-7226.001),名稱為“場效電晶體及其製造方法”,莫(Mo)等人,2002年5月24日提交;No.10/209,110(事務所案號No.18865-98/17732-55270),名稱為“雙溝道功率MOSFET”,賽普(Sapp),2002 年7月30日提交;No.09/981,583(事務所案號No.18865-90/17732-51620),名稱為“具有經改良的較小正向損失及較高阻絕能力之半導體結構”,柯康(Kocon),2001年10月17日提交;No.09/774,780(事務所案號No.18865-69/17732-26400),名稱為“具有一側向空乏結構之場效電晶體”,'馬臣(Marchant),2001年1月30日提交;No.10/200,056(事務所案號No.18865-97/17732-55280),名稱為“具有低輸出電容之垂直電荷控制半導體元件”,賽普(Sapp)等人,2002年7月18日提交;No.10/288,982(事務所案號No.18865-117/17732-66560),名稱為“漂移區較高阻絕較低正向電壓降半導體結構”,柯康(Kocon)等人,2002年11月5日提交;No.10/315,719(事務所案號No.90065.051802/17732-56400),名稱為“用於隔離平面性或溝道條紋功率元件上的電流感測同時維持一連續條紋晶胞之方法”,葉迪納克(Yedinak),2002年12月10提交;No.10/222,481(事務所案號No.18865-91-1/17732-51430),名稱為“用於降低DC-DC轉換器中的損失之方法及電路”,歐班郝依(Elbanhawy),2002年8月16日提交;No.10/235,249(事務所案號No.18865-71-1/17732-26390-3),名稱為“用於一半導體元件之未模製封裝體”,裘希(Joshi),2002年9月4日提交;及 No.10/607,633(事務所案號No.18865-42-1/17732-13420),名稱為“經引線式模製封裝體中之倒裝晶片及其製造方法”,裘希(Joshi)等人,2003年6月27日提交;所有上述申請案以引用方式整體併入本文中。This application claims the benefit of the following provisional filing of a U.S. Patent Application: No. 60/533,790 (Attorney Docket No. 18865-133/17732-67260) entitled "Power Semiconductor Components and Methods of Making Same", Subsidiary Ashok et al., filed on December 30, 2003; this application is part of the following joint US patent application: No. 10/640,742 (Office Case No. 90065.000241/17732- 66550), entitled "Modified MOS Gateway Method for Reduced Miller Capacitance and Switching Losses", Kocon et al., filed August 14, 2003; No. 10/442,670 (Business Case No. 18865-131/17732-66850, entitled "Structure and Method for Forming a Channel MOSFET with Self-Alignment Characteristics", by Herrick, submitted May 20, 2003 This application is related to the following commonly assigned U.S. Patent Application Serial No. 10/155,554 (Attorney Docket No. 18865-17-2/17732-7226.001), entitled "Field Effect Transistor and Method of Making Same Mo Mo et al., filed May 24, 2002; No. 10/209,110 (Office Case No. 18865-98/17732- 55270), titled "Double-Channel Power MOSFET", Sapp, 2002 Submitted on July 30, 2007; No. 09/981,583 (Office Case No. 18865-90/17732-51620), entitled "Semiconductor Structure with Improved Small Forward Loss and High Resistivity", Kocon, submitted on October 17, 2001; No. 09/774,780 (Office Case No. 18865-69/17732-26400), entitled "Field Effect Transistor with Side-Lowing Structure" , Marchant, submitted on January 30, 2001; No. 10/200,056 (Office Case No. 18865-97/17732-55280), entitled "Vertical Charge Control Semiconductor Components with Low Output Capacitance "Sapp" et al., filed July 18, 2002; No. 10/288,982 (Office Case No. 18865-117/17732-66560), entitled "Drift Zone Higher Resistance to Lower Positive" To the voltage drop semiconductor structure, Kocon et al., filed November 5, 2002; No. 10/315,719 (Office Case No. 90065.051802/17732-56400), entitled "Isolation Planarity Or current sensing on a channel stripe power element while maintaining a continuous stripe unit cell," Yedinak, filed December 10, 2002; No. 10/222,481 The company's case No. 18865-91-1/17732-51430), entitled "Methods and Circuits for Reducing Losses in DC-DC Converters", Elbanhawy, August 16, 2002 Japanese Patent Application No. 10/235,249 (Office Case No. 18865-71-1/17732-26390-3), entitled "Unmolded Package for a Semiconductor Component", Joshi, Submitted on September 4, 2002; and No. 10/607,633 (Office Case No. 18865-42-1/17732-13420), entitled "Flip Chip in Leaded Molded Package and Method of Manufacturing the Same", Joshi et al. Person, filed June 27, 2003; all of the above-identified applications are hereby incorporated by reference in entirety.

發明背景Background of the invention

本發明概括有關半導體元件,且特別有關用於經改良之諸如電晶體及二極體等功率半導體元件的各種不同實施例及其製造方法,且包括含有該等功率半導體元件之封裝體及電路。SUMMARY OF THE INVENTION The present invention is generally directed to semiconductor devices, and more particularly to various embodiments and methods of fabricating the same for improved power semiconductor components such as transistors and diodes, and to packages and circuits including such power semiconductor components.

功率電子應用的關鍵組件係為固態開關。從機動車應用中的點火控制到電池操作式消費性電子元件,為了對於工業應用中的轉換器供應功率,需要一能夠最佳地符合特殊應用的需求之功率開關。譬如包括功率金屬氧化物半導體場效電晶體(功率MOSFET)、絕緣閘雙極電晶體(IGBT)及各種不同類型的閘流體(thyristors)等之固態開關係不斷地演進以滿足此需求。在功率MOSFET的案例中,譬如,已經發展出具有側向通路(譬如布朗查(Blanchard)等人的美國專利案4,682,405號)、溝道閘結構(譬如莫(Mo)等人的美國專利案6,429,481號)之雙擴散結構(DMOS)以及各種不同用來在電晶體漂移區中平衡電荷之技術(譬如坦普(Temple)的美國專利案4,941,026號、陳(Chen)的5,216,275號及尼爾森(Neilson)的6,081,009號),並具有許多其他技術,以解決不同且時常相競逐的效能要求。The key components of power electronics applications are solid state switches. From ignition control in automotive applications to battery-operated consumer electronic components, in order to supply power to converters in industrial applications, a power switch that best meets the needs of a particular application is needed. Solid-state relationships, such as power metal oxide semiconductor field effect transistors (power MOSFETs), insulated gate bipolar transistors (IGBTs), and various types of thyristors, are continually evolving to meet this need. In the case of a power MOSFET, for example, a lateral path has been developed (e.g., U.S. Patent No. 4,682,405 to Blanched et al.), and a channel gate structure (U.S. Patent No. 6,429,481, issued to Mo et al.). Double diffused structure (DMOS) and various techniques for balancing charge in the drift region of the transistor (for example, US Patent No. 4,941,026 to Temple, 5,216,275 to Chen, and Neilson) 6,081,009), and has many other techniques to address different and often competing performance requirements.

功率開關之部分的定義用效能特徵係為其接通電阻、崩潰電壓及切換速度。依據一特定應用的需求而定,將一項不同的強調點放在這些效能判斷標準各者上。譬如,對於大於約300-400伏特的功率應用,IGBT相較於功率MOSFET展現一先天較低之接通電阻,但其切換速度由於具有較慢的關斷特徵而較低。因此,對於需要低接通電阻具有低切換頻率的大於400伏特之應用,IGBT係為較佳的開關,同時功率MOSFET時常係為相對較高頻應用之首選元件。如果一給定應用的頻率需求指定了所使用開關的類型,電壓需求則決定出特定開關的結構性構造。譬如,在功率MOSFET的案例中,因為汲至源接通電阻RDSon 與崩潰電壓之間的比例關係,改良電晶體的電壓效能同時維持低的RDSon 係構成一項挑戰。已經發展出電晶體漂移區中各種不同的電荷平衡結構來解決此挑戰且有不同程度的成效。The definition of the power switch is based on the performance characteristics of its on-resistance, breakdown voltage, and switching speed. Depending on the needs of a particular application, a different emphasis is placed on each of these performance criteria. For example, for power applications greater than about 300-400 volts, the IGBT exhibits a congenitally low on-resistance compared to the power MOSFET, but its switching speed is lower due to the slower turn-off characteristics. Therefore, for applications requiring a low on-resistance with a low switching frequency of greater than 400 volts, IGBTs are preferred switches, while power MOSFETs are often the preferred component for relatively high frequency applications. If the frequency requirement for a given application specifies the type of switch used, the voltage demand determines the structural configuration of the particular switch. For example, in the case of power MOSFETs, improving the voltage performance of the transistor while maintaining a low R DSon poses a challenge because of the proportional relationship between the 接通-to- source on-resistance R DSon and the breakdown voltage. Various charge balancing structures in the drift region of the crystal have been developed to address this challenge with varying degrees of effectiveness.

元件效能參數亦會受到晶粒的封裝及製程所影響。已經嘗試藉由發展多種不同之經改良的處理及封裝技術來解決部分的這些挑戰。Component performance parameters are also affected by die packaging and processing. Attempts have been made to address some of these challenges by developing a variety of different improved processing and packaging techniques.

不論是位於超可攜式消費性電子元件中或是通訊系統的路由器與集線器中,功率開關係隨著電子產業的擴張而持續增加眾多廣泛的應用。因此,功率開關仍為一種具有高發展潛力的半導體元件。Whether in ultra-portable consumer electronic components or routers and hubs for communication systems, the power-on relationship continues to increase with a wide range of applications as the electronics industry expands. Therefore, the power switch is still a semiconductor component with high development potential.

發明概要Summary of invention

本發明提供用於功率元件之各種不同實施例及其製造 方法、封裝、及包含用於廣泛不同功率電子應用的該等功率元件之電路。廣言之,本發明的一態樣係合併數種電荷平衡技術及其他用於降低寄生電容之技術,以達成具有經改良的電壓效能、較高切換速度及較低接通電阻之功率元件的不同實施例。本發明的另一態樣係提供經改良之用於低、中及高電壓元件的終止結構。根據本發明的其他態樣提供了經改良之功率元件的製造方法。本發明的各種不同實施例係提供諸如溝道的成形、溝道內側之介電層的成形、台面結構的成形及降低基材厚度的程序及其他程序步驟等特定程序步驟之改良。根據本發明的另一態樣,經電荷平衡的功率元件係在相同晶粒上包含諸如二極體等溫度及電流感測部件。本發明的其他態樣係改良用於功率元件之等效串聯電阻(ESR)或閘電阻,在與功率元件相同的晶片上包含額外電路且對於經電荷平衡功率元件之封裝提供改良。The present invention provides various embodiments for power components and their manufacture Methods, packages, and circuits comprising such power components for a wide variety of power electronics applications. Broadly speaking, one aspect of the present invention incorporates several charge balancing techniques and other techniques for reducing parasitic capacitance to achieve power components with improved voltage performance, higher switching speeds, and lower on-resistance. Different embodiments. Another aspect of the present invention provides improved termination structures for low, medium and high voltage components. Methods of fabricating improved power components are provided in accordance with other aspects of the present invention. Various embodiments of the present invention provide improvements in specific process steps such as shaping of the trench, formation of a dielectric layer on the inside of the trench, formation of the mesa structure, and reduction of substrate thickness and other program steps. According to another aspect of the invention, the charge balanced power component comprises temperature and current sensing components such as diodes on the same die. Other aspects of the invention are improved for equivalent series resistance (ESR) or gate resistance of a power component, including additional circuitry on the same wafer as the power component and providing improved packaging for the charge balanced power component.

本發明的這些及其他態樣係連同圖式更詳細地描述於下文。These and other aspects of the invention are described in more detail below in conjunction with the drawings.

圖式簡單說明Simple illustration

第1圖顯示一示範性n型溝道功率MOSFET的一部分之橫剖視圖;第2A圖顯示一雙重溝道功率MOSFET的一示範性實施例;第2B圖顯示用於一具有源屏蔽溝道結構之平面性閘MOSFET的一示範性實施例; 第3A圖顯示一經屏蔽閘溝道功率MOSFET的一示範性實施例的部分;第3B圖顯示合併第2A圖的雙溝道結構與第3A圖的經屏蔽閘結構之用於一經屏蔽閘溝道功率MOSFET的一替代性實施例;第4A圖為一雙閘溝道功率MOSFET的一示範性實施例之簡化部分圖;第4B圖顯示合併一平面性雙閘結構與溝道式電極以供垂直電荷控制之一示範性功率MOSFET;第4C圖顯示在相同溝道內側合併雙閘與經屏蔽閘技術之一功率MOSFET的一示範性實行方式;第4D及4E圖為用於一具有深體部結構的功率MOSFET之替代性實施例的橫剖視圖;第4F及4G圖顯示溝道式深體部結構對於接近閘電極處之功率MOSFET內側的電位線分佈之影響;第5A、5B及5C圖為顯示具有各種不同的垂直電荷平衡結構之示範性功率MOSFET的部分之橫剖視圖;第6圖顯示合併一示範性垂直電荷控制結構與一經屏蔽閘結構之一功率MOSFET的簡化橫剖視圖;第7圖顯示合併一示範性垂直電荷控制結構與一雙閘結構之另一功率MOSFET的簡化橫剖視圖;第8圖顯示具有垂直電荷控制結構及經整合蕭特基二極體(Schottky diode)之一經屏蔽閘功率MOSFET的一範例;第9A、9B及9C圖描繪具有經整合蕭特基二極體的功率 MOSFET之各種不同示範性實施例;第9D、9E及9F圖顯示用於將蕭特基二極體晶胞散佈於一功率MOSFET的主動晶胞陣列內之示範性佈局變異;第10圖提供一具有經埋設二極體電荷平衡結構的示範性溝道功率MOSFET之簡化橫剖視圖;第11及12圖分別顯示合併了具有經埋設二極體電荷平衡的經屏蔽閘及雙閘技術之功率MOSFET的示範性實施例;第13圖為合併了經埋設二極體電荷平衡技術與經整合蕭特基二極體之一示範用平面性功率MOSFET的簡化橫剖視圖;第14圖顯示一具有對於電流流動呈平行排列的交替式傳導區之示範性累積模式功率電晶體的簡化實施例;第15圖為基於電荷分散用途具有溝道式電極之另一累積模式元件的簡化圖;第16圖為一示範性雙溝道累積模式元件之簡化圖;第17及18圖顯示用於包含呈現相反極性外部襯墊之充填有介電質的溝道之示範性累積模式元件的其他簡化實施例;第19圖為一採用一或多個經埋設二極體之累積模式元件的另一簡化實施例;第20圖為一沿著矽表面包括經重度摻雜相反極性區之示範性累積模式電晶體的簡化等角圖;第21圖顯示在電壓維持層中具有交替式相反極性區之 一超接面功率MOSFET的簡化範例;第22圖顯示一在電壓維持層中的垂直方向中分佈有相反極性島部之超接面功率MOSFET的一示範性實施例;第23及24層分別顯示具有雙閘及經屏蔽閘結構之超接面功率MOSFET的示範性實施例;第25A圖顯示用於一溝道電晶體之主動及終止溝道佈局的俯視圖;第25B-25F圖顯示用於溝道終止結構之替代性實施例的簡化佈局;第26A-26C圖為示範性溝道終止結構之橫剖視圖;第27圖顯示具有大曲率半徑之終止溝道的示範性元件;第28A-28D圖為具有矽條柱電荷平衡結構之終止區的橫剖視圖;第29A-29C圖為採用超接面技術的超高電壓元件之示範性實施例的橫剖視圖;第30A圖顯示用於一溝道元件之邊緣接觸的範例;第30B-30F圖顯示用於一溝道元件之邊緣接觸結構的示範性程序步驟;第31A圖係為用於多重經埋設多晶矽層之一主動區域接觸結構的一範例;第31B-31M圖顯示用來形成供一溝道所用之一主動區域屏蔽接觸結構的一示範性程序流;第31N圖為用於一主動區域屏蔽接觸結構之一替代性 實施例的橫剖視圖;第32A及32B圖為具有主動區域屏蔽接觸結構之一示範性溝道元件的佈局圖;第32C-32D圖為用以對於一具有破裂溝道結構的溝道元件中之周邊溝道產生接觸的兩實施例之簡化佈局圖;第33A圖為用以在主動區域中接觸溝道式屏蔽多晶矽層之一替代性實施例;第33B-33M圖顯示用以接觸屬於第33A圖所示類型的一主動區域屏蔽結構之一程序流的一範例;第34圖顯示具有一間隔件或緩衝(障壁)層以降低磊晶漂移區厚度之一磊晶層;第35圖顯示用於一具有一障壁層的元件之一替代性實施例;第36圖顯示一採用一深體部-磊晶接面來盡量降低磊晶層厚度之障壁層;第37圖為採用一擴散障壁層的電晶體之井-漂移區接面的一簡化範例;第38A-38D圖顯示一具有經埋設電極之經自我對準磊晶-井溝道元件的一範例之簡化程序流;第39A-39B圖顯示一用於一斜角狀井植入之示範性程序流;第40A-40E圖顯示一經自我對準磊晶井程序之一範例;第40R-40U圖顯示一用於降低基材厚度之方法;第41圖顯示一使用一化學程序作為最後薄化步驟之程 序流的一範例;第42A-42F圖顯示經改良的蝕刻程序之範例;第43A及43B圖顯示一可消除鳥喙(bird’s beak)問題之溝道蝕刻程序的實施例;第44A及44B圖顯示替代性蝕刻程序;第45A-45C圖顯示一用於形成一經改良的間際多晶矽介電層(inter-poly dielectric layer)之程序;第46A、46B及46C圖顯示一用於形成一IPD層之替代性方法;第47A及47B圖為另一用於形成一高品質的間際多晶矽介電層之方法的橫剖視圖;第48及49A-49D圖顯示用於形成一經改良的IPD層之其他實施例;第50A圖顯示一用於IPD平面化之異向性電漿程序;第50B圖顯示一使用一化學機械程序之替代性IPD平面化方法;第51圖為一用於控制氧化速率之示範性方法的流程圖;第52圖顯示一經改良的利用一次大氣性化學氣相沉積程序來在一溝道底部形成厚氧化物之方法;第53圖為一利用一方向性矽酸四乙酯程序來在一溝道底部形成厚氧化物之方法的示範性流程圖;第54及55圖顯示用於形成厚底部氧化物之另一實施例; 第56-59圖顯示用於在一溝道的底部形成一厚介電層之另一程序;第60圖為一具有一電流感測元件之MOSFET的簡化圖;第61A圖為一具有一平面性閘結構及經隔離的電流感測結構之電荷平衡MOSFET的一範例;第61B圖顯示將一電流感測元件與一溝道MOSFET加以整合之一範例;第62A-62C圖顯示用於一具有串列溫度感測二極體之MOSFET的替代性實施例;第63A及63B圖顯示用於一具有ESD保護之MOSFET的替代性實施例;第64A-64D圖顯示ESD保護電路之範例;第65圖顯示一用於形成具有較低ESR之經電荷平衡功率元件的示範性程序;第66A及66B圖顯示一用以降低ESR之佈局技術;第67圖顯示一使用功率切換之DC-DC轉換器電路;第68圖顯示使用功率切換之另一DC-DC轉換器電路;第69圖顯示一用於一雙閘MOSFET之示範性驅動器電路;第70A圖顯示一具有被分開驅動的閘電極之替代性實施例;第70B圖顯示一說明第70A圖的電路運作之定時圖;第71圖為一經模製封裝體之簡化橫剖視圖;及 第72圖為一未模製封裝體之簡化橫剖視圖。1 shows a cross-sectional view of a portion of an exemplary n-channel power MOSFET; FIG. 2A shows an exemplary embodiment of a dual channel power MOSFET; and FIG. 2B shows a structure with a source shielded trench An exemplary embodiment of a planar gate MOSFET; Figure 3A shows a portion of an exemplary embodiment of a shielded gate channel power MOSFET; Figure 3B shows a dual-channel structure incorporating Figure 2A and a shielded gate structure of Figure 3A for a shielded gate channel An alternative embodiment of a power MOSFET; FIG. 4A is a simplified partial view of an exemplary embodiment of a dual gate channel power MOSFET; FIG. 4B shows a planar dual gate structure and a channel electrode for vertical An exemplary power MOSFET for charge control; Figure 4C shows an exemplary implementation of a power MOSFET incorporating one of the double gate and shielded gate technologies on the same channel; Figures 4D and 4E are for a deep body A cross-sectional view of an alternative embodiment of a structured power MOSFET; Figures 4F and 4G show the effect of the trench-type deep body structure on the potential line distribution inside the power MOSFET near the gate electrode; Figures 5A, 5B, and 5C are A cross-sectional view showing a portion of an exemplary power MOSFET having various vertical charge balancing structures; and FIG. 6 is a simplified cross-sectional view showing a power MOSFET incorporating an exemplary vertical charge control structure and a shielded gate structure Figure 7 shows a simplified cross-sectional view of another power MOSFET incorporating an exemplary vertical charge control structure and a double gate structure; Figure 8 shows a vertical charge control structure and an integrated Schottky diode (Schottky diode) An example of a shielded gate power MOSFET; Figures 9A, 9B, and 9C depict power with integrated Schottky diodes Various exemplary embodiments of MOSFETs; Figures 9D, 9E, and 9F show exemplary layout variations for spreading Schottky diode cells within an active cell array of a power MOSFET; Figure 10 provides a A simplified cross-sectional view of an exemplary channel power MOSFET with a buried diode charge balancing structure; Figures 11 and 12 respectively show a power MOSFET incorporating a shielded gate and dual gate technology with buried diode charge balance. Exemplary Embodiment; Figure 13 is a simplified cross-sectional view of a planar planar power MOSFET incorporating one of the embedded dipole charge balancing techniques and an integrated Schottky diode; Figure 14 shows a flow for current flow A simplified embodiment of an exemplary cumulative mode power transistor in alternating parallel conducting regions; Figure 15 is a simplified diagram of another cumulative mode component having a channel electrode based on charge dispersion use; A simplified diagram of a dual channel accumulative mode component; Figures 17 and 18 show exemplary accumulation mode components for a dielectric filled channel containing an outer pad of opposite polarity. Simplified embodiment; FIG. 19 is another simplified embodiment of an accumulation mode element employing one or more buried diodes; and FIG. 20 is an exemplary diagram including a heavily doped opposite polarity region along the surface of the crucible A simplified isometric view of the cumulative mode transistor; Figure 21 shows an alternating opposite polarity region in the voltage sustaining layer A simplified example of a super junction power MOSFET; FIG. 22 shows an exemplary embodiment of a super junction power MOSFET having islands of opposite polarity distributed in a vertical direction in the voltage sustaining layer; layers 23 and 24 are respectively shown An exemplary embodiment of a super junction power MOSFET having a dual gate and shielded gate structure; Figure 25A shows a top view of the active and termination channel layout for a channel transistor; and Figure 25B-25F shows a trench A simplified layout of an alternative embodiment of a track termination structure; FIGS. 26A-26C are cross-sectional views of an exemplary channel termination structure; and FIG. 27 shows exemplary components of a termination channel having a large radius of curvature; 28A-28D A cross-sectional view of a termination region having a purlin column charge balancing structure; FIGS. 29A-29C are cross-sectional views of an exemplary embodiment of an ultra high voltage component employing a super junction technique; and FIG. 30A is a diagram showing a channel component Examples of edge contact; FIGS. 30B-30F show exemplary procedural steps for an edge contact structure of a channel element; and FIG. 31A is an active area contact structure for a multi-embedded polysilicon layer Example; FIG. 31B-31M show a first for forming a channel for one of the active region masked with a contact structure of the exemplary program flow; one active area shield contact structure of a first alternative graph for 31N A cross-sectional view of an embodiment; FIGS. 32A and 32B are layout views of an exemplary channel element having an active area shield contact structure; and FIGS. 32C-32D are for use in a channel element having a ruptured channel structure A simplified layout of two embodiments in which the peripheral channel is in contact; FIG. 33A is an alternative embodiment for contacting the trench shielding polysilicon layer in the active region; and FIGS. 33B-33M are shown to be in contact with the 33A An example of a program flow of an active area shield structure of the type shown; Figure 34 shows an epitaxial layer having a spacer or buffer (barrier) layer to reduce the thickness of the epitaxial drift region; An alternative embodiment of an element having a barrier layer; Figure 36 shows a barrier layer using a deep body-elongation junction to minimize the thickness of the epitaxial layer; and Figure 37 is a diffusion barrier layer A simplified example of a well-drift junction of a transistor; Figures 38A-38D show a simplified program flow of a self-aligned epitaxial-well channel element with an embedded electrode; 39A-39B The figure shows one for a bevel An exemplary flow of well implants; Figures 40A-40E show an example of a self-aligned epitaxial well program; 40R-40U shows a method for reducing substrate thickness; and Figure 41 shows a use a chemical process as the final thinning step An example of a sequence flow; panels 42A-42F show examples of improved etching procedures; and panels 43A and 43B show an embodiment of a channel etching procedure that eliminates bird's beak problems; 44A and 44B An alternative etch process is shown; Figures 45A-45C show a procedure for forming an improved inter-poly dielectric layer; and Figures 46A, 46B and 46C show an example for forming an IPD layer. Alternative Method; Figures 47A and 47B are cross-sectional views of another method for forming a high quality interstitial polysilicon dielectric layer; and Figs. 48 and 49A-49D show other embodiments for forming a modified IPD layer. Figure 50A shows an anisotropic plasma program for IPD planarization; Figure 50B shows an alternative IPD planarization method using a chemical mechanical program; and Figure 51 shows an exemplary control flow rate. A flow chart of the method; Figure 52 shows an improved method of forming a thick oxide at the bottom of a channel using a primary atmospheric chemical vapor deposition process; and Figure 53 is a process using a directional tetraethyl citrate procedure. At the bottom of a channel An exemplary method of forming the thick oxide flowchart; 54 and FIG. 55 shows another for forming a thick bottom oxide of the embodiment; Figures 56-59 show another procedure for forming a thick dielectric layer at the bottom of a trench; Fig. 60 is a simplified diagram of a MOSFET having a current sensing element; and Fig. 61A shows a plane having a plane An example of a charge balancing MOSFET having a gate structure and an isolated current sensing structure; FIG. 61B shows an example of integrating a current sensing element with a channel MOSFET; and FIGS. 62A-62C are shown for An alternative embodiment of a MOSFET of a series temperature sensing diode; Figures 63A and 63B show an alternative embodiment for a MOSFET with ESD protection; and Figures 64A-64D show an example of an ESD protection circuit; The figure shows an exemplary procedure for forming a charge-balanced power component with a lower ESR; panels 66A and 66B show a layout technique for reducing ESR; and Figure 67 shows a DC-DC converter using power switching. Circuitry; Figure 68 shows another DC-DC converter circuit using power switching; Figure 69 shows an exemplary driver circuit for a dual-gate MOSFET; Figure 70A shows an alternative to a gate electrode that is driven separately Sexual embodiment; Figure 70B A timing diagram illustrating the operation of the circuit of FIG. 70A is shown; and FIG. 71 is a simplified cross-sectional view of a molded package; Figure 72 is a simplified cross-sectional view of an unmolded package.

較佳實施例之詳細說明Detailed description of the preferred embodiment

可藉由功率MOSFET、IGBT、各種不同類型的閘流體及類似物來實行功率開關。此處提出的許多新穎技術係基於例示用途就功率MOSFET加以描述。然而請瞭解,此處所描述的本發明各種不同實施例並不侷限於功率MOSFET而可適用於譬如包括IGBT等其他類型的功率開關技術及其他類型的雙極開關及各種不同類型的閘流體以及二極體之其中許多種。並且,基於例示用途,將本發明的各種不同實施例顯示為包括特定的p及n型區。熟習該技術者瞭解,此處的教導係同樣適用於其中將各區的傳導性反轉之元件。The power switch can be implemented by power MOSFETs, IGBTs, various types of thyristors, and the like. Many of the novel techniques presented herein are described in terms of power MOSFETs for illustrative purposes. However, it should be understood that the various embodiments of the invention described herein are not limited to power MOSFETs and are applicable to other types of power switching technologies including IGBTs and other types of bipolar switches and various types of thyristors and Many of the polar bodies. Also, various embodiments of the present invention are shown to include specific p and n-type regions based on illustrative uses. Those skilled in the art will appreciate that the teachings herein are equally applicable to elements in which the conductivity of each zone is reversed.

參照第1圖,顯示一示範性n型溝道功率MOSFET 100的一部分之橫剖視圖。如同此處所描述的全部其他圖式,請瞭解圖中顯示的各種不同部件及組件之相對維度及尺寸並未精確地反映實際維度而只供例示用。溝道MOSFET 100係包括一形成於溝道102內側之閘電極,溝道102自基材頂表面延伸經過一p型井或體部區104,而終止於一n型漂移或磊晶區106中。溝道102係襯有薄介電層108且大致充填有諸如經摻雜多晶矽等傳導材料110。N型源區112形成於與溝道102相鄰之體部區104內側。一用於MOSFET 100之汲終端係形成於連接至一經重度摻雜的n+基材區114之基材背側上。第1圖所示的結構係在一譬如由矽製成的共同基材上重 覆許多次,以形成一陣列的電晶體。此陣列可以此技術已知的各種不同蜂巢狀或條紋狀架構所構成。當電晶體接通時,一傳導通路沿著閘溝道102的壁垂直地形成於源區112與漂移區106之間。Referring to Figure 1, a cross-sectional view of a portion of an exemplary n-channel power MOSFET 100 is shown. As with all other figures described herein, it is understood that the relative dimensions and dimensions of the various components and components shown in the figures do not accurately reflect the actual dimensions and are merely illustrative. The trench MOSFET 100 includes a gate electrode formed inside the trench 102. The trench 102 extends from a top surface of the substrate through a p-type well or body region 104 and terminates in an n-type drift or epitaxial region 106. . The channel 102 is lined with a thin dielectric layer 108 and is substantially filled with a conductive material 110 such as a doped polysilicon. The N-type source region 112 is formed inside the body region 104 adjacent to the channel 102. A germanium termination for MOSFET 100 is formed on the back side of the substrate that is coupled to a heavily doped n+ substrate region 114. The structure shown in Figure 1 is heavy on a common substrate made of tantalum. Overlay many times to form an array of transistors. This array can be constructed from a variety of different honeycomb or striped structures known in the art. When the transistor is turned on, a conduction path is formed vertically between the source region 112 and the drift region 106 along the wall of the gate channel 102.

因為其垂直閘結構之緣故,MOSFET 100係能夠具有比平面性閘元件更高的裝填密度,且較高的裝填密度可轉換成為相對較低的接通電阻。為了改良此電晶體的崩潰電壓效能,p+重體部區118係形成於p-井104內側藉以在p+重體部118與p-井104之間的介面上形成一驟然接面。藉由控制相對於溝道深度及井深度之p+重體部118的深度,電壓施加至電晶體時所產生之電場係移動遠離溝道。這增加了電晶體的雪崩電流處理能力。此經改良結構及用於形成電晶體的程序之變異且特別是驟然接面係更詳細地描述於共同擁有之發證予莫(Mo)等人的美國專利案6,429,481號中,該案以引用方式整體併入本文中。Because of its vertical gate structure, MOSFET 100 is capable of having a higher packing density than a planar gate element, and a higher packing density can be converted to a relatively lower on-resistance. To improve the breakdown voltage performance of the transistor, a p+ heavy body region 118 is formed inside the p-well 104 to form a sharp junction at the interface between the p+ heavy body portion 118 and the p-well 104. By controlling the depth of the p+ heavy body portion 118 relative to the channel depth and well depth, the electric field generated when a voltage is applied to the transistor moves away from the channel. This increases the avalanche current handling capability of the transistor. The modified structure and the variation of the procedure for forming the transistor, and in particular the abrupt junction, are described in more detail in commonly-owned U.S. Patent No. 6,429,481, the disclosure of which is incorporated herein by reference. The manner is incorporated herein in its entirety.

雖然垂直溝道MOSFET 100展現良好的接通電阻及經改良的堅固性,其具有一相對較高的輸入電容。用於溝道MOSFET 100之輸入電容係具有兩種組份:閘至源電容Cgs及閘至汲電容Cgd。閘至源電容Cgs係導因於接近溝道頂部的源區112與閘傳導材料110之間的重疊。因為在典型的功率切換應用中電晶體的源電極及體部係短路在一起,閘與體部中經倒置通路之間所形成的電容亦對於Cgs產生貢獻。閘至汲電容Cgd係導因於各溝道底部的閘傳導材料110與連接至汲部的漂移區106之間的重疊。閘至汲電容Cgd或 米勒電容係限制了電晶體VDS 轉折時間。因此,較高的Cgs及Cgd導致可察覺的切換損失。隨著功率管理應用移往較高的切換頻率,這些切換損失變得益加重要。Although vertical channel MOSFET 100 exhibits good on-resistance and improved robustness, it has a relatively high input capacitance. The input capacitor for the channel MOSFET 100 has two components: a gate-to-source capacitance Cgs and a gate-to-tantalum capacitance Cgd. The gate-to-source capacitance Cgs is due to the overlap between the source region 112 near the top of the channel and the gate conductive material 110. Because the source and body of the transistor are shorted together in a typical power switching application, the capacitance formed between the gate and the inverted via in the body also contributes to Cgs. The gate-to-tantalum capacitance Cgd is caused by the overlap between the gate conductive material 110 at the bottom of each channel and the drift region 106 connected to the crotch portion. The gate-to-tantalum capacitor Cgd or Miller capacitance limits the PV V DS turnaround time. Therefore, higher Cgs and Cgd result in appreciable switching losses. As power management applications move to higher switching frequencies, these switching losses become more important.

一種降低閘至源電容Cgs的方式係為降低電晶體的通路長度。較短的通路長度係直接地降低Cgs的閘至通路組份。較短的通路長度亦與RDSon 直接成正比並能夠以較少閘溝道獲得相同的元件電流容載。這藉由減少閘至源以及閘至汲重疊量來降低Cgs及Cgd兩者。然而,較短的通路長度將在經逆向偏壓體部-汲接面所導致形成之空乏層推押深入體部區內而趨近源區時使得元件易被貫穿。藉由降低漂移區的摻雜濃度使其維持較多的空乏層,將具有增加電晶體的接通電阻RDSon 之不良效果。One way to reduce the gate-to-source capacitance Cgs is to reduce the path length of the transistor. A shorter path length directly reduces the gate-to-channel component of the Cgs. The shorter path length is also directly proportional to R DSon and can achieve the same component current carrying with fewer gate channels. This reduces both Cgs and Cgd by reducing the gate to source and gate to 汲 overlap. However, the shorter path length will allow the element to be easily penetrated when the depleted layer formed by the reverse biased body-twisting surface is pushed deep into the body region and approaches the source region. By reducing the doping concentration of the drift region to maintain a large number of depletion layers, there is an undesirable effect of increasing the on-resistance R DSon of the transistor.

得以降低通路長度且亦可有效解決上述缺陷之電晶體結構的一改良處係在於:使用與閘溝道呈側向分隔之額外的“屏蔽”溝道。參照第2A圖,顯示一雙溝道MOSFET 200的一示範性實施例。術語“雙溝道”係指具有兩不同類型的溝道而非類似的溝道總數之電晶體。除了與第1圖的MOSFET共同之結構性特性外,雙溝道MOSFET 200係包括介入相鄰閘溝道202之間的屏蔽溝道220。第2A圖所示的示範性實施例中,屏蔽溝道220係從表面延伸經過p+區218、體部區204而進入大幅位於閘溝道202深度以下之漂移區206。溝道220係襯有一介電材料222且大致充填有諸如經摻雜多晶矽等傳導材料224。一金屬層216係電性連接溝道220內側的傳導材料224以及n+源區212與p+重體部區218。此實 施例,溝道220因此可稱為源屏蔽溝道。此型雙溝道MOSFET之一範例及其製造程序與電路應用係更詳細地描述於共同讓渡之塞普(Steven Sapp)的名稱為“雙溝道功率MOSFET”的美國專利申請案No.10/209,110號中,該案以引用方式整體併入本文中。An improvement in the transistor structure that reduces the length of the via and is also effective in addressing the above drawbacks is the use of an additional "shield" channel that is laterally separated from the gate channel. Referring to Figure 2A, an exemplary embodiment of a dual channel MOSFET 200 is shown. The term "dual channel" refers to a transistor having two different types of channels rather than a similar total number of channels. In addition to the structural features common to the MOSFET of FIG. 1, the dual channel MOSFET 200 includes a shield channel 220 interposed between adjacent gate channels 202. In the exemplary embodiment illustrated in FIG. 2A, shield channel 220 extends from the surface through p+ region 218, body region 204 into drift region 206 that is substantially below the depth of gate channel 202. The channel 220 is lined with a dielectric material 222 and is substantially filled with a conductive material 224 such as a doped polysilicon. A metal layer 216 is electrically connected to the conductive material 224 inside the trench 220 and the n+ source region 212 and the p+ heavy body region 218. This reality As an example, the channel 220 can therefore be referred to as a source shielded channel. An example of this type of dual channel MOSFET and its fabrication process and circuit application are described in more detail in U.S. Patent Application Serial No. 10, entitled "Double Channel Power MOSFET" by Steven Sapp. In the case of No. 209,110, the entire disclosure of this application is incorporated herein by reference.

較深源屏蔽溝道220的影響係為將經逆向偏壓體部-汲接面所導致形成的空乏層更加推押深入漂移區206內。因此,可導致一較寬的空乏層而不增加電場。這可讓漂移區被較重度摻雜而不降低崩潰電壓。一經較高摻雜的漂移區係降低電晶體的接通電阻。尚且,接近體部-汲接面處之經降低的電場係可讓通路長度顯著地降低而進一步降低了電晶體的接通電阻並顯著地降低了閘至源電容Cgs。並且,相較於第1圖的MOSFET,雙溝道MOSFET係能夠以遠為較少個閘溝道獲得相同之電晶體電流容載。這明顯地降低了閘至源及閘至汲重疊電容。請注意在第2A圖所示的示範性實施例中,閘溝道傳導層210係埋設在溝道內側,而不需要第1圖中MOSFET 100的溝道102上方所出現之間層介電圓頂。並且,如此處所教導的源屏蔽溝道之用途並不限於溝道閘式MOSFET,且當源屏蔽溝道採用在使閘水平地形成於基材頂表面上之平面性MOSFET中時將可獲得類似的優點。一用於具有源屏蔽溝道結構的平面性溝道MOSFET之示範性實施例係顯示於第2B圖中。The effect of the deeper source shielding channel 220 is to push the depletion layer formed by the reverse biased body-twisting surface further into the drift region 206. Therefore, a wider depletion layer can be caused without increasing the electric field. This allows the drift region to be heavily doped without reducing the breakdown voltage. A highly doped drift region reduces the on-resistance of the transistor. Moreover, the reduced electric field near the body-twisting surface can significantly reduce the length of the via to further reduce the on-resistance of the transistor and significantly reduce the gate-to-source capacitance Cgs. Moreover, compared to the MOSFET of Figure 1, the dual channel MOSFET is capable of achieving the same transistor current carrying capacity with far fewer gate channels. This significantly reduces the gate-to-source and gate-to-汲 overlap capacitance. Note that in the exemplary embodiment shown in FIG. 2A, the gate channel conductive layer 210 is buried inside the channel without requiring a dielectric circle between the top of the channel 102 of the MOSFET 100 in FIG. top. Also, the use of a source shielded channel as taught herein is not limited to a trench gate MOSFET, and a similar approach can be obtained when the source shielded trench is employed in a planar MOSFET that is formed horizontally on the top surface of the substrate. The advantages. An exemplary embodiment for a planar channel MOSFET having a source shielded channel structure is shown in FIG. 2B.

為了進一步降低輸入電容,可作出針對降低閘至源電容Cgd之額外結構性改良。如上述,閘至源電容Cgd係由溝 道底部之漂移區與閘之間的重疊所造成。一用於降低此電容之方法係增加溝道底部之閘介電層的厚度。再度參照第2A圖,將閘溝道202描繪為在與漂移區206(電晶體汲終端)呈重疊之溝道底部具有一比沿著閘溝道側壁的介電層更厚之介電層226。這降低了閘至汲電容Cgd而不劣化電晶體的正向傳導。可以數種不同方式在閘溝道底部生成一較厚的介電層。一用於生成較厚介電層之示範性程序係描述於共同擁有之發證予赫斯特(Hurst)等人的美國專利案6,437,386號中,該案以引用方式整體併入本文中。用於在一溝道底部形成一厚介電層之其他程序係進一步參照第56至59圖描述於下文中。盡量降低閘至汲電容之另一方式係將一配置於中央的第二介電核心包括在自溝道地板上的介電襯墊往上延伸之溝道內側。一實施例中,第二介電核心可一路往上延伸而接觸到溝道傳導材料210上方的介電層。此實施例的一範例及其變異係更詳細地描述於共同擁有之發證予申諾依(Shenoy)的美國專利案6,573,560號中。To further reduce the input capacitance, additional structural improvements can be made to reduce the gate-to-source capacitance Cgd. As mentioned above, the gate-to-source capacitance Cgd is defined by the trench The overlap between the drift zone at the bottom of the track and the gate is caused. One method for reducing this capacitance is to increase the thickness of the gate dielectric layer at the bottom of the trench. Referring again to FIG. 2A, the gate trench 202 is depicted as having a dielectric layer 226 that is thicker than the dielectric layer along the sidewalls of the gate trench at the bottom of the trench that overlaps the drift region 206 (the transistor termination). . This reduces the gate to the tantalum capacitor Cgd without degrading the forward conduction of the transistor. A thicker dielectric layer can be created at the bottom of the gate trench in a number of different ways. An exemplary procedure for the generation of a thicker dielectric layer is described in commonly-owned U.S. Patent No. 6,437,386 issued to the entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire content Other procedures for forming a thick dielectric layer at the bottom of a trench are further described below with reference to Figures 56 through 59. Another way to minimize the gate-to-tantalum capacitance is to include a centrally disposed second dielectric core including the inside of the channel extending upward from the dielectric liner on the trench floor. In one embodiment, the second dielectric core can extend all the way up to contact the dielectric layer above the channel conductive material 210. An example of this embodiment and its variants are described in more detail in co-owned certification issued to Shenoy, U.S. Patent No. 6,573,560.

另一種用以降低閘至汲電容Cgd之技術係包含利用一或多個經偏壓電極來屏蔽住閘。根據此實施例,在閘溝道內側及用於形成閘電極之傳導材料下方,形成有一或多個電極以屏蔽住閘不受到漂移區,藉以顯著地降低閘至汲重疊電容。參照第3A圖,顯示一經屏蔽閘溝道MOSFET 300A之一示範性實施例的部分。MOSFET 300A中的溝道302係包括一閘電極310以及此實施例中位於閘電極310底下的兩額外電極311a及311b。電極311a及311b係屏蔽住閘電極310 使其與漂移區306無任何顯著重疊而幾乎消除閘至汲重疊電容。屏蔽電極311a及311b可以最佳電位被獨立地偏壓。 一實施例中,屏蔽電極311a或311b的一者可以與源終端相同的電位被偏壓。類似於雙溝道結構,屏蔽電極的偏壓亦可有助於加寬體部-汲接面上所形成之空乏區藉以進一步降低Cgd。請瞭解屏蔽電極311數量可依據切換應用且特別是依據應用的電壓需求而改變。同樣地,屏蔽電極的尺寸可在一給定溝道中變動。譬如,屏蔽電極311a可大於屏蔽電極311b。一實施例中,最小的屏蔽電極係最接近溝道底部,而其餘屏蔽電極隨其接近閘電極而逐漸增加尺寸。溝道內側之經獨立偏壓的電極係亦可使用於垂直電荷控制用途以改良較小的正向電壓損失及較高的阻絕能力。下文連同較高電壓元件所進一步描述之電晶體結構的此態樣係亦更詳細地描述於共同讓渡之柯康(Kocon)的名稱為“具有經改良的較小正向電壓損失及較高阻絕能力之半導體結構”的美國專利申請案09/981,583號中,該案以引用方式整體併入本文中。Another technique for reducing the gate-to-tantalum capacitance Cgd involves using one or more biased electrodes to shield the gate. According to this embodiment, one or more electrodes are formed under the gate channel and under the conductive material for forming the gate electrode to shield the gate from the drift region, thereby significantly reducing the gate-to-turn overlap capacitance. Referring to Figure 3A, a portion of an exemplary embodiment of a shielded gate trench MOSFET 300A is shown. The channel 302 in the MOSFET 300A includes a gate electrode 310 and two additional electrodes 311a and 311b under the gate electrode 310 in this embodiment. The electrodes 311a and 311b shield the gate electrode 310 It does not have any significant overlap with the drift region 306 and almost eliminates the gate to 汲 overlap capacitance. The shield electrodes 311a and 311b can be independently biased at an optimum potential. In one embodiment, one of the shield electrodes 311a or 311b can be biased at the same potential as the source terminal. Similar to the dual channel structure, the bias of the shield electrode can also help widen the depletion region formed on the body-junction surface to further reduce Cgd. It is understood that the number of shield electrodes 311 can vary depending on the switching application and particularly on the voltage requirements of the application. Likewise, the size of the shield electrode can vary in a given channel. For example, the shield electrode 311a may be larger than the shield electrode 311b. In one embodiment, the smallest shield electrode is closest to the bottom of the channel, while the remaining shield electrodes are gradually increased in size as they approach the gate electrode. The independently biased electrode layers on the inside of the channel can also be used for vertical charge control applications to improve small forward voltage losses and higher rejection. This aspect of the transistor structure, further described below in connection with higher voltage components, is also described in more detail in the co-transfer of Kocon under the name "with improved small forward voltage loss and higher In the U.S. Patent Application Serial No. 09/981,583, the entire disclosure of which is incorporated herein by reference.

第3B圖顯示用於一合併了第2A圖的雙溝道結構與第3A圖的經屏蔽閘結構之經屏蔽閘溝道MOSFET 300B的一替代性實施例。第3B圖所示的示範性實施例中,閘溝道301係類似MOSFET 300A的溝道302般地包括閘多晶矽310。然而,MOSFET 300B係包括基於垂直電荷控制用途可能比閘溝道302更深之非閘溝道301。雖然電荷控制溝道301可如同第2A圖具有在溝道頂部連接至源金屬之單層的傳導材料 (譬如多晶矽),第3B圖所示的實施例係使用可獨立地被偏壓之多重堆積狀的多晶矽電極313。堆積在一溝道中之電極313數量係可依據應用需求而變,第3B圖所示的電極313尺寸亦然。電極可獨立地被電性偏壓或束縛在一起。並且,一元件內側之電荷控制溝道數量將依據應用而定。Figure 3B shows an alternative embodiment of a shielded gate trench MOSFET 300B for a shielded gate structure incorporating a dual channel structure of Figure 2A and a shielded gate structure of Figure 3A. In the exemplary embodiment illustrated in FIG. 3B, gate channel 301 includes gate polysilicon 310 similar to channel 302 of MOSFET 300A. However, MOSFET 300B includes a non-gate channel 301 that may be deeper than gate channel 302 based on vertical charge control applications. Although the charge control channel 301 can have a single layer of conductive material connected to the source metal at the top of the channel as in FIG. 2A (Example of the polysilicon), the embodiment shown in Fig. 3B uses a polycrystalline germanium electrode 313 which can be independently biased. The number of electrodes 313 stacked in a channel can vary depending on the application requirements, as does the size of electrode 313 shown in Figure 3B. The electrodes can be electrically biased or tied together independently. Also, the number of charge control channels on the inside of an element will depend on the application.

另一用於改良功率MOSFET的切換速度之技術係採用一雙閘結構來降低閘至汲電容Cgd。根據此實施例,溝道內側的閘結構係分割成兩分段:一第一分段,其進行接收切換訊號之習知的閘功能;及一第二分段,其屏蔽住第一閘分段不受到漂移(汲)區且可獨立地被偏壓。這鉅幅地降低了MOSFET的閘至源電容。第4A圖為一雙閘溝道MOSFET400A的一示範性實施例之簡化部分圖。如第4A圖所示,MOSFET 400A的閘係具有兩分段G1及G2。不同於第3A圖的MOSFET 300A中之屏蔽電極(311a及311b),用於在MOSFET 400A中形成G2之傳導材料係具有一與通路之重疊區401而因此作為一閘終端。然而,此次級閘終端G2係與主要閘終端G1獨立地被偏壓且不接收用於驅動切換電晶體之相同訊號。而是,一實施例中,G2以一恰高於MOSFET低限值電壓的固定電位被偏壓以使重疊區401中的通路倒反。這將在從次級閘G2轉折至主要閘G1時確保形成一連續通路。並且,因為G2處的電位高於源電極而使Cgd降低,且離開漂移區進入次級閘G2內的電荷轉移將進一步有助於降低Cgd。另一實施例中,若不具有固定電位,次級閘G2可恰在一切換事件之前偏壓至一高於低限值電壓之電位。 其他實施例中,可使G2處的電位成為可變式且受到最佳調整以盡量減少閘至汲電容Cgd的任何邊際部分。雙閘結構可使用在具有平面性閘結構之MOSFET及包括IGBT與類似物等其他類型的溝道閘功率元件中。此等雙閘溝道MOS閘式元件的變異及此等元件之製造程序係更詳細地描述於共同讓渡之柯康(Kocon)等人的名稱為“經改良之用於降低的米勒電容與切換損失的MOS閘道方法”的美國專利申請案No.10/640,742號中,該案以引用方式整體併入本文中。Another technique for improving the switching speed of power MOSFETs uses a dual gate structure to reduce the gate-to-tantalum capacitance Cgd. According to this embodiment, the gate structure inside the channel is divided into two segments: a first segment that performs the conventional gate function for receiving the switching signal; and a second segment that shields the first gate segment. The segments are not subject to drift (汲) regions and can be independently biased. This dramatically reduces the gate-to-source capacitance of the MOSFET. 4A is a simplified partial view of an exemplary embodiment of a dual gate trench MOSFET 400A. As shown in FIG. 4A, the gate of MOSFET 400A has two segments G1 and G2. Unlike the shield electrodes (311a and 311b) in the MOSFET 300A of Fig. 3A, the conductive material for forming G2 in the MOSFET 400A has an overlap region 401 with the via and thus serves as a gate terminal. However, the secondary gate terminal G2 is biased independently of the primary gate terminal G1 and does not receive the same signal for driving the switching transistor. Rather, in one embodiment, G2 is biased at a fixed potential just above the MOSFET low limit voltage to reverse the path in overlap region 401. This will ensure that a continuous path is formed as it transitions from the secondary gate G2 to the primary gate G1. Also, since the potential at G2 is higher than the source electrode, Cgd is lowered, and the charge transfer leaving the drift region into the secondary gate G2 will further contribute to lowering Cgd. In another embodiment, if there is no fixed potential, the secondary gate G2 can be biased to a potential above the low limit voltage just prior to a switching event. In other embodiments, the potential at G2 can be made variable and optimally adjusted to minimize the gate to any marginal portion of the tantalum capacitance Cgd. The dual gate structure can be used in MOSFETs with planar gate structures and other types of channel gate power elements including IGBTs and the like. Variations in such dual-gate channel MOS gate components and the manufacturing procedures for such components are described in more detail in the co-transfer of Kocon et al. entitled "Modified Miller Capacitors for Reduction" U.S. Patent Application Serial No. 10/640,742, the disclosure of which is incorporated herein by reference.

用於經改良的功率MOSFET之另一實施例係描述於第4B圖中,其中一示範性MOSFET 400B係合併一平面性雙閘結構與溝道式電極以供垂直電荷控制用。主要及次級閘終端G1及G2係與第4A圖的溝道式雙閘結構具有類似的運作方式,而深溝道420在漂移區中提供一電極以分散電荷並增加元件的崩潰電壓。圖示實施例中,屏蔽或次級閘G2係重疊於主要閘G1的上部並延伸於p井404與漂移區406上方。一替代性實施例中,主要閘G1係延伸於屏蔽/次級閘G2上方。Another embodiment for a modified power MOSFET is depicted in FIG. 4B, in which an exemplary MOSFET 400B incorporates a planar dual gate structure and a trench electrode for vertical charge control. The primary and secondary gate terminals G1 and G2 have a similar operation to the trench double gate structure of Figure 4A, while the deep trench 420 provides an electrode in the drift region to dissipate charge and increase the breakdown voltage of the device. In the illustrated embodiment, the shield or secondary gate G2 overlaps the upper portion of the primary gate G1 and extends above the p-well 404 and drift region 406. In an alternative embodiment, the primary gate G1 extends above the shield/secondary gate G2.

至今所描述之諸如閘屏蔽及用於垂直電荷控制的溝道式電極等各種不同技術係可合併以獲得功率元件,包括側向及垂直MOSFET、IGBT、二極體及類似物,且其效能特徵對於一給定應用加以最佳化。譬如,第4A圖所示的溝道式雙閘結構係可有利地合併屬於第3B或4B圖所示類型之垂直電荷控制溝道結構。此元件將包括一具有第4A圖所示的雙閘結構之主動溝道以及大致充填有單層傳導材料(如第4B圖的溝道420中)或充填有多重堆積狀傳導電極(如第 3B圖的溝道301中)之較深電荷控制溝道。對於其中汲終端定位在與源終端相同的基材表面上之側向元件(亦即,電流側向地流動),電荷控制電極將側向地配置而形成場板,而非堆積在垂直溝道中。電荷控制電極的定向係概括平行於漂移區中之電流流動方向。Various techniques, such as gate shields and channel electrodes for vertical charge control, described so far, can be combined to obtain power components, including lateral and vertical MOSFETs, IGBTs, diodes, and the like, and their performance characteristics Optimize for a given application. For example, the trench type double gate structure shown in Fig. 4A can advantageously incorporate a vertical charge control channel structure of the type shown in Fig. 3B or 4B. The device will include an active channel having a dual gate structure as shown in FIG. 4A and substantially filled with a single layer of conductive material (as in channel 420 of FIG. 4B) or filled with multiple stacked conductive electrodes (eg, The deeper charge control channel in channel 301 of Figure 3B). For lateral elements in which the crucible terminal is positioned on the same substrate surface as the source termination (ie, current flows laterally), the charge control electrodes will be laterally configured to form a field plate rather than being stacked in a vertical channel. . The orientation of the charge control electrode is generally parallel to the direction of current flow in the drift region.

一實施例中,雙閘及經屏蔽閘技術係被合併在相同溝道內側以提供切換速度及阻絕電壓增強作用。第4C圖顯示一MOSFET 400C,其中溝道402C係包括如圖示堆積在單一溝道中之一主要閘G1、一次級閘G2及一屏蔽層411。溝道402C可製成應用所需要的深度且可包括應用所需要的屏蔽層411數量。對於電荷平衡及屏蔽電極使用相同溝道之方式,因為如此不需要兩溝道且將其合併成一者,故能夠具有較高密度。其亦能夠具有較大的電流分散作用並改良元件的接通電阻。In one embodiment, the dual gate and shielded gate technology are combined inside the same channel to provide switching speed and rejection voltage enhancement. 4C shows a MOSFET 400C in which the channel 402C includes one of the main gate G1, the primary gate G2, and a shield layer 411 stacked in a single channel as illustrated. Channel 402C can be made to the depth required for the application and can include the number of shield layers 411 required for the application. For the charge balance and the use of the same channel for the shield electrode, since the two channels are not required and combined into one, it is possible to have a higher density. It can also have a large current dispersion and improve the on-resistance of the component.

至今所描述的元件係採用經屏蔽閘、雙閘及其他技術之組合來降低寄生電容。然而,由於邊際效應(fringing effects),這些技術無法完全使閘至汲電容Cgd達到最小。參照第4D圖,顯示具有深體部設計的MOSFET 400D之一示範性實施例的部分橫剖視圖。根據此實施例,體部結構係藉由一蝕刻經過閘溝道402之間構成的台面中心之溝道418所形成,並延伸深達閘溝道402或更深處。體部溝道418如圖示充填有源金屬。源金屬層可在金屬-擴散邊界(未圖示)上包括一薄耐火金屬。此實施例中,體部結構進一步包括一大致圍繞體部溝道418之p+體部植入件419。p+植入層419 能夠具有額外屏蔽以改變元件內側且特別是接近閘電極處之電位分佈。第4E圖所示的一替代性實施例中,體部溝道418譬如利用選擇性磊晶成長(SEG)沉積大致的充填有磊晶材料。或者,體部溝道418E大致充填有經摻雜的多晶矽。在這兩實施例的任一者中,若不植入p+屏蔽接面419,後續溫度處理將從經充填的體部使摻雜物擴散至矽內以形成p+屏蔽接面419。用於溝道式體部結構及成形的數種變異係更詳細地描述於共同讓渡之黃(Huang)的美國專利案No.6,437,399及6,110,799號中,該案以引用方式整體併入本文中。The components described so far use a combination of shielded gates, double gates, and other techniques to reduce parasitic capacitance. However, due to fringing effects, these techniques do not completely minimize the gate-to-tantalum capacitance Cgd. Referring to Figure 4D, a partial cross-sectional view of an exemplary embodiment of a MOSFET 400D having a deep body design is shown. According to this embodiment, the body structure is formed by etching a channel 418 through the center of the mesa formed between the gate channels 402 and extending deep to the gate channel 402 or deeper. The body channel 418 is filled with active metal as shown. The source metal layer can include a thin refractory metal on the metal-diffusion boundary (not shown). In this embodiment, the body structure further includes a p+ body implant 419 that substantially surrounds the body channel 418. p+ implant layer 419 It is possible to have an additional shielding to change the potential distribution inside the element and in particular close to the gate electrode. In an alternative embodiment illustrated in FIG. 4E, the body channel 418 is substantially filled with an epitaxial material, such as by selective epitaxial growth (SEG) deposition. Alternatively, body channel 418E is substantially filled with doped polysilicon. In either of these embodiments, if the p+ shield junction 419 is not implanted, subsequent temperature processing will diffuse the dopant from the filled body into the crucible to form the p+ shield junction 419. </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; .

第4D及4E圖所示的實施例中,閘溝道402與體部溝道418之間的距離L、以及兩溝道的相對深度係受到控制以盡量減小邊際閘至汲電容。在使用SEG或經多晶矽充填的體部溝道之實施例中,可藉由改變體部溝道418內側之SEG或多晶矽的摻雜濃度來調整層419外邊緣與閘溝道壁之間的間隔。第4F及4G圖顯示溝道式深體部對於接近閘電極處的元件內側電位線分佈之影響。基於例示目的,第4F及4G圖使用具有經屏蔽閘結構之MOSFET。第4F圖顯示用於一具有溝道式深體部418的經逆向偏壓閘MOSFET 400F之電位線,而第4G圖顯示用於一具有一淺體部結構的經逆向偏壓屏蔽閘MOSFET 400G之電位線。各元件中的輪廓線顯示當逆向偏壓時(亦即阻斷狀態)元件內側之電位分佈。白線顯示井接面且亦界定位於閘電極旁邊之通路底部。可從圖式看出,具有一較低電位及較低電場施加於第4F圖的溝道式深 體部MOSFET 400F所用之通路及周遭的閘電極上。此減小的電位能夠具有一降低的通路長度藉以降低元件之總閘電荷。譬如,閘溝道402的深度可降低至低於譬如0.5微米,並可製成比體部溝道418更淺且其中間隔L約為0.5微米或更小。一示範性實施例中,間隔L係小於0.3微米。此實施例的另一優點係為閘-汲電荷Qgd及米勒電容Cgd的降低。這些參數值愈低,元件能夠愈快地切換。經由閘電極旁邊所出現的電位之降低來實現此改良。經改良的結構係具有遠為較低之將被切換的電位,且閘中具有遠為較低之經感應電容性電流。這則能夠使閘更快地切換。In the embodiment illustrated in Figures 4D and 4E, the distance L between the gate channel 402 and the body channel 418, and the relative depth of the two channels are controlled to minimize the marginal gate to the tantalum capacitance. In embodiments using SEG or polysilicon filled body channels, the spacing between the outer edge of layer 419 and the gate channel wall can be adjusted by varying the doping concentration of SEG or polysilicon inside the body channel 418. . Figures 4F and 4G show the effect of the channel-type deep body on the distribution of the potential line inside the element near the gate electrode. For illustrative purposes, the 4F and 4G diagrams use MOSFETs with shielded gate structures. Figure 4F shows a potential line for a reverse biased gate MOSFET 400F having a trench-type deep body portion 418, and Figure 4G shows a reverse biased shielded gate MOSFET 400G for a shallow body structure. The potential line. The outline in each element shows the potential distribution inside the element when reverse biased (i.e., blocked). The white line shows the well junction and also defines the bottom of the pathway next to the gate electrode. It can be seen from the figure that a lower potential and a lower electric field are applied to the trench depth of the 4F map. The path used by the body MOSFET 400F and the surrounding gate electrode. This reduced potential can have a reduced path length to reduce the total gate charge of the component. For example, the depth of the gate channel 402 can be reduced to less than, for example, 0.5 microns, and can be made shallower than the body channel 418 and wherein the spacing L is about 0.5 microns or less. In an exemplary embodiment, the spacing L is less than 0.3 microns. Another advantage of this embodiment is the reduction in gate-to-turn charge Qgd and Miller capacitance Cgd. The lower the value of these parameters, the faster the component can switch. This improvement is achieved by a reduction in the potential appearing next to the gate electrode. The modified structure has a much lower potential to be switched, and the gate has a much lower induced capacitive current. This will enable the gate to switch faster.

連同第4D及4E圖所描述的溝道式深體部結構係可合併諸如經屏蔽閘或雙閘結構等其他電荷平衡技術,以進一步改良元件的切換速度、接通電阻及阻絕能力。The trench-type deep body structure described in conjunction with Figures 4D and 4E may incorporate other charge balancing techniques such as shielded or double gate structures to further improve component switching speed, turn-on resistance, and rejection.

上述功率元件及其變異所提供的改良係已經產生供相對較低電壓功率電子應用所使用之強固的切換部件。此處所用的低電壓係指譬如約30V-40V及以下範圍的電壓,但此範圍可能依據特定應用而變。需要顯著地高於此範圍的阻絕電壓之應用係必須對於功率電晶體作出某些類型的結構性修改。一般而言,功率半導體的漂移區中之摻雜濃度係降低藉以使元件在阻絕狀態期間維持較高電壓。然而,一較輕度摻雜的漂移區係導致電晶體接通電阻RDSon 的增加。較高的電阻係數係直接地增加開關的功率損失。隨著近來半導體製造的進展使得功率元件的裝填密度進一步提高,功率損失已經變得更顯著。The improvements provided by the above power components and variations thereof have resulted in robust switching components for relatively low voltage power electronics applications. As used herein, low voltage refers to a voltage in the range of, for example, about 30V to 40V and below, although this range may vary depending on the particular application. Applications requiring a blocking voltage that is significantly higher than this range must make some type of structural modification to the power transistor. In general, the doping concentration in the drift region of the power semiconductor is reduced to maintain the component at a higher voltage during the blocking state. However, a slightly doped drift region results in an increase in the transistor on resistance R DSon . A higher resistivity directly increases the power loss of the switch. With recent advances in semiconductor manufacturing that further increase the packing density of power components, power loss has become more pronounced.

已經嘗試改良元件接通電阻及功率損失,同時維持高阻絕電壓。其中許多這些嘗試係採用各種不同垂直的電荷控制技術來在半導體元件中垂直地生成一大體平坦的電場。已經提出此型的數種元件結構,包括共同擁有之馬臣(Marchant)的名稱為“具有一側向空乏結構之場效電晶體”的美國專利案6,713,813號中所揭露之側向空乏元件、以及共同擁有之柯康(Kocon)的美國專利案6,376,878號中所描述之元件,兩案皆以引用方式整體併入本文中。Attempts have been made to improve component turn-on resistance and power loss while maintaining a high resistive voltage. Many of these attempts employ a variety of different vertical charge control techniques to vertically generate a substantially flat electric field in a semiconductor component. A number of component structures of this type have been proposed, including the laterally depleted components disclosed in U.S. Patent No. 6,713,813, the commonly-owned, to the name of the s. And the elements described in U.S. Patent No. 6,376,878, the entire disclosure of which is incorporated herein by reference.

第5A圖顯示一具有一平面性閘結構之示範性功率MOSFET 500A的一部分之橫剖視圖。MOSFET 500A呈現出具有類似於第2B圖的平面性MOSFET 200B之結構,但與該元件的差異點在於兩明顯方面。並不採用傳導材料來充填溝道520,這些溝道充填有諸如二氧化矽等介電材料,且元件進一步包括與溝道520外側壁相鄰分隔之不連續性浮P型區。如同對於第2A圖的雙溝道MOSFET所描述,源溝道202中的傳導材料(譬如多晶矽)係藉由將空乏層推押更深入漂移區內而有助於改良晶胞崩潰電壓。因此,除非採用降低電場的其他手段,自溝道免除傳導材料將導致崩潰電壓降低。浮p區524係具有降低電場的作用。Figure 5A shows a cross-sectional view of a portion of an exemplary power MOSFET 500A having a planar gate structure. The MOSFET 500A exhibits a structure having a planar MOSFET 200B similar to that of FIG. 2B, but differs from the element in two distinct aspects. The conductive material is not used to fill the trenches 520, which are filled with a dielectric material such as hafnium oxide, and the elements further include discontinuous floating P-type regions spaced adjacent the outer sidewalls of the trenches 520. As described for the dual channel MOSFET of Figure 2A, the conductive material (e.g., polysilicon) in the source channel 202 helps to improve the cell collapse voltage by pushing the depletion layer deeper into the drift region. Therefore, the removal of the conductive material from the channel will result in a breakdown voltage reduction unless other means of reducing the electric field is employed. The floating p region 524 has a function of reducing an electric field.

參照第5A圖所示的MOSFET 500A,隨著汲電壓增加時電場的增加,浮p區524係獲得藉由其在空間電荷區中的位置所決定之一對應電位。這些p區524的浮電位係造成電場分散更深入漂移區內,而導致對於溝道520之間的台面區深度整體具有一更均勻的場。結果,使電晶體的崩潰電壓增 加。以絕緣材料來取代溝道中傳導材料之優點係在於:有一更大部分的空間電荷區出現於一絕緣體上、而非可能為矽的漂移區上。因為一絕緣體的電容率低於譬如矽的電容率,且因為溝道中空乏區的面積減小,元件的輸出電容係顯著地降低。這進一步增強了電晶體的切換特徵。充填有介電質之溝道520的深度係依據電壓需求而定,溝道愈深則阻絕電壓愈高。垂直電荷控制技術的一項附加優點在於其可讓電晶體晶胞側向地位移以供熱隔離之用而沒有可察覺的附加電容。一替代性實施例中,不採用浮p區,p型層係襯墊住充填有介電質之溝道的外側壁以達成類似的垂直電荷平衡。此實施例的簡化及部分橫剖視圖顯示於第5B圖中,其中溝道520外側壁係被一p型層或襯墊526覆蓋。第5B圖所示的示範性實施例中,閘亦為溝道式,而進一步改良了元件的互導(transconductance)。採用此技術變異的經改良功率元件之其他實施例係更詳細地描述於共同讓渡之賽普(Sapp)等人名稱為“具有低輸出電容之垂直電荷控制半導體元件”的美國專利申請案No.10/200,056號(事務所案號No.18865-0097/17732-55280)中,該案以引用方式整體併入本文中。Referring to the MOSFET 500A shown in Fig. 5A, as the electric field increases as the erbium voltage increases, the floating p region 524 obtains a corresponding potential determined by its position in the space charge region. The floating potential of these p regions 524 causes the electric field to disperse deeper into the drift region, resulting in a more uniform field for the depth of the mesa region between the trenches 520 as a whole. As a result, the breakdown voltage of the transistor is increased plus. The advantage of replacing the conductive material in the channel with an insulating material is that a larger portion of the space charge region appears on an insulator rather than a drift region that may be germanium. Since the permittivity of an insulator is lower than that of, for example, 电容, and because the area of the hollow region of the channel is reduced, the output capacitance of the element is significantly reduced. This further enhances the switching characteristics of the transistor. The depth of the channel 520 filled with dielectric is determined by the voltage requirement, and the deeper the channel, the higher the blocking voltage. An additional advantage of the vertical charge control technique is that it allows the transistor cell to be laterally displaced for thermal isolation without appreciable additional capacitance. In an alternative embodiment, instead of a floating p-region, the p-type layer pads the outer sidewalls of the dielectric filled trench to achieve a similar vertical charge balance. A simplified and partial cross-sectional view of this embodiment is shown in Figure 5B, wherein the outer sidewall of the channel 520 is covered by a p-type layer or liner 526. In the exemplary embodiment shown in FIG. 5B, the gate is also channeled, which further improves the transconductance of the component. Other embodiments of the improved power component employing this variation are described in more detail in U.S. Patent Application Serial No. Sapp et al., entitled "Vertical Charge Control Semiconductor Component with Low Output Capacitance". In the case of No. 10/200,056 (Attorney Docket No. 18865-0097/17732-55280), the entire disclosure of which is incorporated herein by reference.

如上述,第5B圖的溝道MOSFET 500B係呈現降低的輸出電容及經改良的崩潰電壓。然而,因為主動溝道(閘溝道502)定位在充填有介電質的電荷控制溝道520之間,MOSFET 500B的通路寬度不像習知溝道MOSFET結構一樣大。這可能導致較高的接通電阻RDSon 。參照第5C圖,顯示 一免除了次級電荷控制溝道之具有垂直電荷控制的溝道MOSFET 500C之一替代性實施例。MOSFET 500C中的溝道502C係包括閘多晶矽501及一延伸深入漂移區506內之充填有介電質的下部。一實施例中,溝道502C係延伸至約為漂移區506深度一半以下之深度。一p型襯墊526C係如圖所示沿著各溝道下部圍繞外壁。此單溝道結構免除了次級電荷控制溝道,而允許具有增大的通路寬度及較低的RDSon 。在外壁上被一p型襯墊526C圍繞之較深溝道502C的下部係支持住電場的一主要部分藉以降低輸出電容及閘至汲電容。 一替代性實施例中,將p型襯墊526C沿著溝道502C側邊及底部製作在複數個不連續區內。可能藉由如上述合併單溝道電荷控制結構與經屏蔽閘或雙閘技術而產生其他實施例,以進一步降低元件寄生電容。As described above, the channel MOSFET 500B of FIG. 5B exhibits reduced output capacitance and improved breakdown voltage. However, because the active channel (gate channel 502) is positioned between the charge control channels 520 filled with dielectric, the via width of MOSFET 500B is not as large as the conventional channel MOSFET structure. This may result in a higher on-resistance R DSon . Referring to Figure 5C, an alternate embodiment of a trench MOSFET 500C with vertical charge control that eliminates the secondary charge control channel is shown. Channel 502C in MOSFET 500C includes gate polysilicon 501 and a lower portion filled with dielectric extending into drift region 506. In one embodiment, the channel 502C extends to a depth that is less than about half the depth of the drift region 506. A p-type liner 526C surrounds the outer wall along the lower portion of each channel as shown. This single channel structure eliminates the secondary charge control channel and allows for an increased via width and a lower R DSon . The lower portion of the deeper channel 502C surrounded by a p-type pad 526C on the outer wall supports a major portion of the electric field to reduce the output capacitance and the gate to the tantalum capacitance. In an alternative embodiment, p-type liner 526C is formed in a plurality of discontinuities along the sides and bottom of channel 502C. Other embodiments may be created by combining a single channel charge control structure with a shielded gate or double gate technique as described above to further reduce component parasitic capacitance.

參照第6圖,顯示一適合亦需要較快切換的較高電壓應用之功率MOSFET 600的簡化橫剖視圖。MOSFET 600係合併了用於改良崩潰電壓之垂直電荷控制與用於改良切換速度之經屏蔽閘結構。如第6圖所示,一屏蔽電極611係在閘傳導材料610與溝道底部之間定位於閘溝道602內。電極611係屏蔽住電晶體的閘不受到下方的汲區(漂移區606),藉以顯著地降低電晶體的閘至汲電容且因此增高其最大切換頻率。包含經p摻雜襯墊626之充填有介電質的溝道620係有助於垂直地生成一大體平坦的電場以改良元件的崩潰電壓。操作時,包含p型襯墊626之充填有介電質的溝道620與經屏蔽閘結構之組合係降低了寄生電容並有助於使n漂移區空 乏藉以分散閘電極邊緣部分上之電場濃度。此型元件可使用在RF放大器或高頻切換應用中。Referring to Figure 6, a simplified cross-sectional view of a power MOSFET 600 suitable for higher voltage applications that also require faster switching is shown. The MOSFET 600 family incorporates vertical charge control for improved breakdown voltage and shielded gate structure for improved switching speed. As shown in FIG. 6, a shield electrode 611 is positioned within the gate channel 602 between the gate conductive material 610 and the bottom of the trench. The electrode 611 shields the gate of the transistor from the underlying germanium region (drift region 606), thereby significantly reducing the gate-to-tantalum capacitance of the transistor and thereby increasing its maximum switching frequency. A channel 620 filled with a dielectric filled with p-doped pad 626 helps to create a substantially flat electric field vertically to improve the breakdown voltage of the device. In operation, the combination of the dielectric filled trench 620 and the shielded gate structure including the p-type pad 626 reduces parasitic capacitance and helps to make the n drift region empty. Lacking to disperse the electric field concentration on the edge portion of the gate electrode. This type of component can be used in RF amplifier or high frequency switching applications.

第7圖描繪適合較高電壓、較高頻應用之另一功率MOSFET的一替代性實施例。第7圖所示的簡化範例中,MOSFET 700係合併用於改良崩潰電壓之垂直電荷控制與用於改良切換速度之雙閘結構。類似於第6圖所示的元件,利用包括經p摻雜襯墊726之充填有介電質的溝道720來實行垂直電荷控制。利用一其中藉由一次級閘電極G2屏蔽住一主要閘電極G1不受到汲部(n漂移區706)之雙閘結構來達成寄生電容的降低。次級閘電極G2可被連續地偏壓或只在一切換事件之前被偏壓藉以使區701中的通路倒反而在元件接通時確保一不中斷的電流流動經過一連續通路。Figure 7 depicts an alternate embodiment of another power MOSFET suitable for higher voltage, higher frequency applications. In the simplified example shown in Figure 7, MOSFET 700 combines vertical charge control for improved breakdown voltage with dual gate structure for improved switching speed. Similar to the elements shown in FIG. 6, vertical charge control is performed using a channel 720 filled with a dielectric filled with p-doped pad 726. The reduction in parasitic capacitance is achieved by a double gate structure in which a primary gate electrode G1 is shielded from the crotch portion (n drift region 706) by the primary gate electrode G2. The secondary gate electrode G2 can be continuously biased or biased only prior to a switching event to reverse the path in region 701 to ensure an uninterrupted current flow through a continuous path when the component is turned "on".

另一實施例中,經屏蔽的垂直電荷控制MOSFET亦採用經摻雜側壁之充填有介電質的溝道來實行一經整合的蕭特基二極體。第8圖顯示根據此實施例一經屏蔽閘MOSFET 800的一範例。此範例中,溝道802下部中的電極811係屏蔽住閘電極810不受到漂移區806以降低寄生閘至汲電容。在外側壁上包含有經p摻雜襯墊之充填有介電質的溝道820係提供垂直電荷控制。一蕭特基二極體828形成於用來構成一呈寬度W的台面之兩溝道820A與820B之間。此蕭特基二極體結構係散置於溝道MOSFET晶胞陣列上以增強MOSFET開關的效能特徵。利用蕭特基結構828的低障壁高度來降低正向電壓降。此外,此二極體相較於垂直功率MOSFET的正常PN接面將具有一先天的逆向回復速度優點。譬如藉由 硼來摻雜充填有介電質的溝道820之側壁,可消除由於磷隔離導致之側壁洩漏路徑。可利用溝道程序的特性來使蕭特基二極體828的效能達到最佳化。譬如,一實施例中,調整寬度W使得蕭特基結構828的漂移區中之空乏被相鄰的PN接面所影響及控制以增加蕭特基二極體828的逆向電壓能力。一經單調性整合的溝道MOSFET及蕭特基二極體之一範例請見於共同讓渡之賽普(Sapp)的美國專利案6,351,018號中,該案以引用方式整體併入本文中。In another embodiment, the shielded vertical charge control MOSFET also employs a dielectric filled channel through the doped sidewalls to implement an integrated Schottky diode. Figure 8 shows an example of a shielded gate MOSFET 800 in accordance with this embodiment. In this example, electrode 811 in the lower portion of trench 802 shields gate electrode 810 from drift region 806 to reduce parasitic gate to tantalum capacitance. A channel 820 filled with a p-doped pad filled with a dielectric on the outer sidewall provides vertical charge control. A Schottky diode 828 is formed between the two channels 820A and 820B that are used to form a mesa having a width W. This Schottky diode structure is interspersed on the channel MOSFET cell array to enhance the performance characteristics of the MOSFET switch. The low barrier height of the Schottky structure 828 is utilized to reduce the forward voltage drop. In addition, this diode will have an inherent reverse recovery speed advantage over the normal PN junction of a vertical power MOSFET. For example by Boron is doped to the sidewalls of the dielectric filled trench 820 to eliminate sidewall leakage paths due to phosphorus isolation. The characteristics of the channel program can be utilized to optimize the performance of the Schottky diode 828. For example, in one embodiment, the width W is adjusted such that the depletion in the drift region of the Schottky structure 828 is affected and controlled by the adjacent PN junction to increase the reverse voltage capability of the Schottky diode 828. An example of a monotonically integrated channel MOSFET and a Schottky diode can be found in U.S. Patent No. 6,351,018, the entire disclosure of which is incorporated herein by reference.

請瞭解一形成於充填有介電質的溝道之間的蕭特基二極體係可與多種不同類型的MOSFET加以整合,包括具有一平面性閘結構之MOSFET、不具有任何屏蔽電極且在溝道底部上含有或不含厚介電質之溝道閘MOSFET等。一具有整合的蕭特基二極體之雙閘溝道MOSFET的一示範性實施例係顯示於第9A圖中。MOSFET 900A包括閘溝道902,其中一主要閘G1係形成於一次級閘G2上方以降低寄生電容並增高切換頻率。MOSFET 900A亦包括沿其外側壁包含經p摻雜襯墊926之充填有介電質的溝道920以供垂直電荷控制來增強元件阻絕電壓。對於上述許多實施例之一用於形成襯墊之方法(譬如第5B、6、7、8及9A圖所示者)係使用一電漿摻雜程序。蕭特基二極體928A係如圖所示形成於兩相鄰之充填有介電質的溝道920A及920B之間。另一變異中,形成一經單調性整合的蕭特基二極體及溝道MOSFET而不具有經充填介電質之溝道。第9B圖為根據此實施例之一示範性元件900B的橫剖視圖。MOSFET 900B係包括主動 溝道902B且其各具有埋設於一閘電極910底下之電極911。一蕭特基二極體928B係如圖所示形成於兩溝道902L及902R之間。經偏壓電極911的電荷平衡效應係得以增高漂移區的摻雜濃度而不犧牲逆向阻絕電壓。漂移區中較高的摻雜濃度則轉而降低此結構之正向電壓降。如同具有經埋設電極之前述溝道MOSFET中,各溝道深度以及經埋設電極的數量可能改變。第9C圖所示的一變異中,溝道902C只有一經埋設電極911且蕭特基晶胞928C中的閘電極910S係如圖所示連接至源電極。蕭特基二極體的閘可替代性連接至MOSFET的閘終端。第9D、9E及9F圖顯示散佈於MOSFET的主動晶胞陣列內之蕭特基二極體的示範性佈局變異。第9D及9E圖分別顯示單台面蕭特基及雙台面蕭特基佈局,而第9F圖則顯示一種使蕭特基區垂直於MOSFET溝道之佈局。一經整合蕭特基二極體之這些及其他變異且包括交替狀的多個蕭特基至MOSFET區係可與此處所述的任何電晶體結構加以合併。It is understood that a Schottky diode system formed between channels filled with dielectric can be integrated with a variety of different types of MOSFETs, including MOSFETs with a planar gate structure, without any shield electrodes and in trenches. Channel gate MOSFETs with or without thick dielectric on the bottom of the track. An exemplary embodiment of a dual gate trench MOSFET with integrated Schottky diodes is shown in Figure 9A. The MOSFET 900A includes a gate channel 902 in which a main gate G1 is formed over the primary gate G2 to reduce parasitic capacitance and increase the switching frequency. MOSFET 900A also includes a dielectric filled channel 920 including a p-doped pad 926 along its outer sidewall for vertical charge control to enhance the device blocking voltage. One of the many embodiments described above for forming a liner (such as those shown in Figures 5B, 6, 7, 8 and 9A) uses a plasma doping procedure. The Schottky diode 928A is formed between two adjacent dielectric filled trenches 920A and 920B as shown. In another variation, a monotonically integrated Schottky diode and channel MOSFET are formed without a channel filled with dielectric. Figure 9B is a cross-sectional view of an exemplary element 900B in accordance with one such embodiment. MOSFET 900B includes active The trenches 902B each have an electrode 911 buried under a gate electrode 910. A Schottky diode 928B is formed between the two channels 902L and 902R as shown. The charge balance effect of the biased electrode 911 is such that the doping concentration of the drift region is increased without sacrificing the reverse blocking voltage. The higher doping concentration in the drift region in turn reduces the forward voltage drop of the structure. As in the aforementioned channel MOSFET having a buried electrode, the depth of each channel and the number of buried electrodes may vary. In a variation shown in Fig. 9C, the channel 902C has only one buried electrode 911 and the gate electrode 910S in the Schottky cell 928C is connected to the source electrode as shown. The Schottky diode gate can be alternatively connected to the gate terminal of the MOSFET. Figures 9D, 9E, and 9F show exemplary layout variations of Schottky diodes interspersed within the active cell array of the MOSFET. Figures 9D and 9E show a single mesa Schottky and a double mesa Schottky layout, respectively, while the 9F shows a layout that makes the Schottky zone perpendicular to the MOSFET channel. These and other variations of the Schottky diodes, including alternating Schottky-to-MOSFET regions, can be combined with any of the transistor structures described herein.

另一實施例中,利用埋設在一襯有介電質的溝道內側、且對於元件漂移區中的電流流動呈平行排列之串列的一或多個二極體結構,來增強一功率元件的電壓阻絕能力。第10圖提供根據此實施例之一示範性溝道MOSFET 1000的經簡化橫剖視圖。二極體溝道1020係配置於一閘溝道1002兩側其中任一側上,而延伸深入漂移區1006內。二極體溝道1020係包括由用以在溝道內側形成一或多個PN接面的相反傳導類型區1023及1025所構成之一或多個二極體 結構。一實施例中,溝道1020係包括與漂移區具有一相反極性之單區,故使單PN接面形成於與漂移區之介面上。可分別利用經P型及n型摻雜之多晶矽或矽來形成區1023及1025。亦可利用諸如碳化矽、砷化鎵、鍺化矽等其他類型的材料來形成區1023及1025。一沿著溝道內側壁延伸之薄介電層1021係使溝道中的二極體與漂移區1006絕緣。如圖所示,沿著溝道1020底部不具有介電層,因此可讓底部區1027與下方基材呈電性接觸。一實施例中,與用來決定閘氧化物1008的設計及製造之考慮因素相似之考慮因素係適用於介電層1021的設計及形成過程。譬如,介電層1021的厚度係取決於諸如其維持所需要電壓以及在漂移區中所感應引發之二極體溝道中的電場範圍(亦即經過介電層之務合範圍)等因素。In another embodiment, a power component is enhanced by one or more diode structures embedded in a matrix lined with a dielectric and parallel to the current flow in the drift region of the component. The ability to resist voltage. Figure 10 provides a simplified cross-sectional view of an exemplary channel MOSFET 1000 in accordance with one such embodiment. The diode channel 1020 is disposed on either side of a gate channel 1002 and extends deep into the drift region 1006. The diode channel 1020 includes one or more diodes formed by opposite conductivity type regions 1023 and 1025 for forming one or more PN junctions on the inside of the channel. structure. In one embodiment, the channel 1020 includes a single region of opposite polarity to the drift region such that a single PN junction is formed on the interface with the drift region. The regions 1023 and 1025 can be formed using P-type and n-type doped polysilicon or germanium, respectively. Other types of materials such as tantalum carbide, gallium arsenide, antimony telluride, etc. may also be utilized to form regions 1023 and 1025. A thin dielectric layer 1021 extending along the inner sidewall of the trench insulates the diode in the trench from the drift region 1006. As shown, there is no dielectric layer along the bottom of the channel 1020, thus allowing the bottom region 1027 to be in electrical contact with the underlying substrate. In one embodiment, considerations similar to those used to determine the design and fabrication of gate oxide 1008 are applicable to the design and formation of dielectric layer 1021. For example, the thickness of the dielectric layer 1021 depends on factors such as the voltage required to maintain it and the range of electric fields in the diode channel induced in the drift region (ie, the range of the dielectric layer).

操作時,當MOSFET 1000在其阻絕狀態中被偏壓時,二極體溝道1020內的PN接面係被逆向偏壓而其中峰值電場發生於各二極體接面處。經由介電層1021,二極體溝道中的電場係在漂移區1006中感應引發一對應電場。所引發的電場係在漂移區中以一上擺尖凸及漂移區中電場曲線的一概括增高之形式加以明示。此電場增高係導致電場曲線底下一較大的面積,轉而導致一較高的崩潰電壓。此實施例的變異更詳細地描述於共同讓渡之科康(Kocon)等人的名稱為“漂移區較高阻絕較低正向電壓降半導體結構”的美國專利申請案10/288,982號(事務所案號No.18865-117/17732-65560)中,該案以引用方式整體併入 本文中。In operation, when the MOSFET 1000 is biased in its blocking state, the PN junction in the diode channel 1020 is reverse biased and the peak electric field occurs at the junction of the diodes. Via the dielectric layer 1021, the electric field in the diode channel induces a corresponding electric field in the drift region 1006. The induced electric field is clearly shown in the drift region as a generalized increase in the upper electric field curve in the upper pendulum and the drift region. This increase in electric field results in a larger area at the bottom of the electric field curve, which in turn results in a higher breakdown voltage. The variation of this embodiment is described in more detail in U.S. Patent Application Serial No. 10/288,982, the entire disclosure of which is assigned to the benefit of the benefit of the benefit of the co-transfer of Kocon et al. In case number No. 18865-117/17732-65560), the case is incorporated by reference in its entirety. In this article.

可能具有合併了用於電荷平衡的溝道式二極體及諸如經屏蔽閘或雙閘結構等用以降寄生電容的技術之功率元件的其他實施例。第11圖顯示根據此一實施例之一MOSFET 1100的一範例。MOSFET 1100係在閘電極1110底下的主動溝道1102內側使用一屏蔽電極1111,以對於如上文譬如就第3A圖的MOSFET 300A所述的電晶體來降低閘至汲電容Cgd。MOSFET 1100中係採用與MOSFET 1000不同數量之PN接面。第12圖為一合併了雙閘技術與溝道式二極體結構之MOSFET 1200的橫剖視圖。MOSFET 1200中的主動溝道1202係包括一主要閘G1及一次級閘G2並以與第4B圖所述的雙閘MOSFET中主動溝道相同之方式進行操作。二極體溝道1220係提供電荷平衡以增高元件阻絕電壓,雙閘主動溝道結構則改良元件的切換速度。There may be other embodiments of power elements incorporating a trench diode for charge balancing and a technique such as a shielded gate or double gate structure to reduce parasitic capacitance. Figure 11 shows an example of a MOSFET 1100 in accordance with this embodiment. The MOSFET 1100 uses a shield electrode 1111 inside the active channel 1102 under the gate electrode 1110 to reduce the gate-to-tantalum capacitance Cgd for the transistor as described above for the MOSFET 300A of FIG. 3A. The MOSFET 1100 uses a different number of PN junctions than the MOSFET 1000. Figure 12 is a cross-sectional view of a MOSFET 1200 incorporating a dual gate technique and a trench diode structure. Active channel 1202 in MOSFET 1200 includes a primary gate G1 and a primary gate G2 and operates in the same manner as the active channel in the dual gate MOSFET described in FIG. 4B. The diode channel 1220 provides charge balancing to increase the component rejection voltage, and the dual gate active channel structure improves the component switching speed.

另一實施例係在如第13圖所示的一平面性MOSFET 1300中合併了溝道式二極體電荷平衡技術與經整合蕭特基二極體。可藉由如第8及9圖實施例所述般地整合蕭特基二極體1328及MOSFET來獲得類似的優點。此實施例中,基於例示目的顯示一平面性閘結構,熟習該技術者瞭解可在一具有包括溝道閘、雙閘及屏蔽閘等任何其他類型的閘結構之MOSFET中採用一經整合蕭特基二極體與溝道式二極體結構之組合。所產生實施例的任一者亦可與溝道式體部技術加以合併來進一步盡量降低邊際寄生電容,如同第4D及4E圖的MOSFET 400D或400E所描述。亦可能具有其他變 異及均等物。譬如,二極體溝道內側之具有相反傳導性的區數可能改變,二極體溝道的深度亦然。具有相反傳導性的區之極性可反轉,MOSFET的極性亦然。並且,任何PN區(923、925或1023、1025等)係可視需要藉由使各別區沿著第三維度延伸、然後到達與其產生電性接觸之矽表面而獨立地被偏壓。並且,可依照元件大小與應用的電壓要件之需要來使用多個二極體溝道,且可以各種不同條紋或蜂巢狀設計來實行二極體溝道的間隔及排列。Another embodiment incorporates a trench diode charge balancing technique and an integrated Schottky diode in a planar MOSFET 1300 as shown in FIG. Similar advantages can be obtained by integrating the Schottky diode 1328 and the MOSFET as described in the eighth and ninth embodiments. In this embodiment, a planar gate structure is shown for illustrative purposes, and those skilled in the art will appreciate that an integrated Schottky can be employed in a MOSFET having any other type of gate structure including a gate gate, a double gate, and a shield gate. A combination of a diode and a trench diode structure. Any of the resulting embodiments can also be combined with trench body technology to further minimize marginal parasitic capacitance, as described for MOSFET 400D or 400E of Figures 4D and 4E. May also have other changes Different from equals. For example, the number of regions of opposite conductivity inside the diode channel may change, as does the depth of the diode channel. The polarity of the region with opposite conductivity can be reversed, as is the polarity of the MOSFET. Also, any PN region (923, 925 or 1023, 1025, etc.) can be independently biased by extending the respective regions along the third dimension and then to the surface of the crucible in which they are in electrical contact. Also, multiple diode channels can be used as needed for component size and application voltage requirements, and the spacing and alignment of the diode channels can be performed in a variety of different stripes or honeycomb designs.

另一實施例中,提供一種等級的累積模式電晶體,其採用各種不同電荷平衡技術以具有較小正向電壓損失及較高的阻絕能力。一典型的累積模式電晶體中,不具有阻絕接面且藉由輕微地倒反閘終端旁邊的通路區來鉗斷電流流動而使元件關斷。當電晶體藉由施加一閘偏壓而接通時,通路區中係形成一累積層而非一倒反層(inversion layer)。因為並未形成有倒反通路,故使通路電阻達到最小。此外,在一累積模式電晶體中不具有PN體部二極體,而使原本發生於諸如同步整流器等特定電路應用中之損失達到最小。習知累積模式元件的缺陷係在於:必須將漂移區輕度摻雜以當元件處於阻絕模式時支持一逆向偏壓電壓。一較輕度摻雜的漂移區係代表較高的接通電阻。此處所述的實施例係利用一累積模式元件中各種不同的電荷平衡技術來克服此限制。In another embodiment, a graded accumulation mode transistor is provided that employs a variety of different charge balancing techniques to have less forward voltage loss and higher rejection. In a typical accumulation mode transistor, there is no blocking junction and the component is turned off by clamping the path region next to the gate terminal slightly to reverse the current flow. When the transistor is turned on by applying a gate bias, an accumulation layer is formed in the via region instead of an inversion layer. Since the reverse path is not formed, the path resistance is minimized. In addition, there is no PN body diode in an accumulation mode transistor, which minimizes losses that would otherwise occur in a particular circuit application such as a synchronous rectifier. A drawback of the conventional accumulation mode component is that the drift region must be lightly doped to support a reverse bias voltage when the component is in the blocking mode. A lightly doped drift zone represents a higher on-resistance. The embodiments described herein overcome this limitation by utilizing various different charge balancing techniques in a cumulative mode component.

參照第14圖,顯示一具有與電流流動呈平行排列的交替傳導性區之示範性累積模式電晶體1400的一經簡化實施 例。此範例中,電晶體1400係為一n通路電晶體且其具有一形成於溝道1402內側的閘終端、一形成於溝道之間的n型通路區1412、一包括相反極性的柱狀n型及p型段1403與1405之漂移區1406、及一n型汲區1414。不同於增強模式電晶體,累積模式電晶體1400並不包括內部形成有通路之阻絕(此範例中為p型)井或體部區。而是,在一累積層形成於區1412中時形成一傳導通路。依據區1412的摻雜濃度及閘電極的摻雜類型而定,電晶體1400通常為接通或關斷。當n型區1412完全空乏及輕微倒反時,其係為關斷。相反極性區1403及1405中的摻雜濃度係被調整以盡量加大電荷分散,藉以能夠使電晶體支持較高的電壓。利用平行於電流流之柱狀相反極性區係藉由不讓其遠離區1412及1406之間所形成接面呈線性減小而撫平電場分佈。此結構的電荷分散效應係可允許使用一用以降低電晶體接通電阻之經較高度摻雜的漂移區。各種不同區的摻雜濃度可能改變;譬如,n型區1412及1413可具有相同或不同的摻雜濃度。熟習該技術者瞭解可藉由反轉第14圖所示的元件之各種不同區的極性來獲得一經改良的p通路電晶體。漂移區內側之柱狀相反極性區的其他變異係連同下文進一步所述的超高電壓元件更詳細地加以描述。Referring to Figure 14, a simplified implementation of an exemplary cumulative mode transistor 1400 having alternating conductive regions arranged in parallel with current flow is shown. example. In this example, the transistor 1400 is an n-channel transistor and has a gate terminal formed inside the channel 1402, an n-type via region 1412 formed between the channels, and a columnar n having opposite polarities. The drift region 1406 of the type and p-type segments 1403 and 1405, and an n-type germanium region 1414. Unlike the enhanced mode transistor, the cumulative mode transistor 1400 does not include a well or a body region that is internally formed with a via (in this example, a p-type). Rather, a conductive path is formed as a cumulative layer is formed in region 1412. Depending on the doping concentration of region 1412 and the doping type of the gate electrode, transistor 1400 is typically turned "on" or "off". When the n-type region 1412 is completely depleted and slightly inverted, it is turned off. The doping concentrations in the opposite polarity regions 1403 and 1405 are adjusted to maximize charge dispersion, thereby enabling the transistor to support higher voltages. The electric field distribution is smoothed by a columnar opposite polarity region parallel to the current flow by not allowing it to linearly decrease from the junction formed between the regions 1412 and 1406. The charge dispersion effect of this structure allows for the use of a highly doped drift region to reduce the on-resistance of the transistor. The doping concentration of the various zones may vary; for example, n-type regions 1412 and 1413 may have the same or different doping concentrations. Those skilled in the art will appreciate that an improved p-channel transistor can be obtained by reversing the polarity of the various regions of the elements shown in Figure 14. Other variations of the columnar opposite polarity regions on the inside of the drift region are described in more detail along with the ultra high voltage components described further below.

第15圖為基於電荷分散目的具有溝道式電極之另一累積模式元件1500的簡化圖。所有區1512、1506及1504屬於相同的傳導類型,在此範例中為n型。對於一正常為關斷之元件,閘多晶矽1510製成p型。區1512的摻雜濃度係受到調 整以在無偏壓條件下形成一經空乏的阻絕接面。各溝道1502內側,一或多個經埋設電極1511形成於閘電極1510底下,皆被介電材料1508所圍繞。如同連帶第3A圖的增強模式MOSFET 300A所描述,經埋設電極1511係作為場板且可依需要被偏壓至一使其電荷分散功能達到最佳化之電位。因為可藉由獨立地偏壓經埋設電極1511來控制電荷分散,最大電場可顯著地增加。類似於MOSFET 300A中所使用的經埋設電極,此結構可能有不同的變異。譬如,溝道1502的深度及經埋設電極1511的尺寸與數量可依據應用而變。利用類似於第3B圖中MOSFET 300B的溝道結構所示者的方式,電荷分散電極可埋設在與用於容置電晶體閘電極的主動溝道呈分離之溝道內側。此實施例的一範例顯示於第16圖中。第16圖所示的範例中,n型區1612係包括可選擇性添加之較重度摻雜的n+源區1603。經重度摻雜的源區1603可如圖示沿著n型區1612頂邊緣延伸或可形成為沿著n型區1612頂邊緣與溝道壁相鄰之兩區(此圖未顯示)。部分實施例中,由於包括n+區1603係可能必須降低n型區1606的摻雜濃度計藉以確保電晶體可適當地關斷。此選擇性經重度摻雜的源區係可與此處所述的任一累積電晶體具有相同的使用方式。Figure 15 is a simplified diagram of another accumulation mode element 1500 having a channel electrode for charge dispersion purposes. All zones 1512, 1506 and 1504 belong to the same conductivity type, in this example n-type. For a component that is normally turned off, the gate polysilicon 1510 is made p-type. The doping concentration of zone 1512 is regulated In order to form a depleted junction at an unbiased condition. Inside one of the channels 1502, one or more buried electrodes 1511 are formed under the gate electrode 1510, and are surrounded by a dielectric material 1508. As described in conjunction with the enhanced mode MOSFET 300A of Figure 3A, the buried electrode 1511 acts as a field plate and can be biased as needed to a potential that optimizes its charge dispersion function. Since the charge dispersion can be controlled by independently biasing the buried electrode 1511, the maximum electric field can be significantly increased. Similar to the buried electrodes used in MOSFET 300A, this structure may have different variations. For example, the depth of the channel 1502 and the size and number of the buried electrodes 1511 can vary depending on the application. The charge-distributing electrode can be buried inside the channel separated from the active channel for accommodating the transistor gate electrode by a manner similar to that shown in the channel structure of the MOSFET 300B in FIG. 3B. An example of this embodiment is shown in Figure 16. In the example shown in FIG. 16, n-type region 1612 includes a relatively heavily doped n+ source region 1603 that can be selectively added. The heavily doped source region 1603 may extend along the top edge of the n-type region 1612 as illustrated or may be formed as two regions adjacent the channel wall along the top edge of the n-type region 1612 (not shown). In some embodiments, it may be necessary to reduce the doping concentration of the n-type region 1606 by including the n+ region 1603 to ensure that the transistor can be properly turned off. This selectively heavily doped source region can be used in the same manner as any of the accumulated transistors described herein.

一經改良累積模式電晶體的另一實施例係採用包括一相反極性外襯墊之充填有介電質的溝道。第17圖為根據此實施例之一累積電晶體1700的簡化橫剖視圖。充填有介電質的溝道1720自矽表面往下延伸深入漂移區1706內。溝道 1720大致充填有諸如二氧化矽等介電材料。此示範性實施例中,電晶體1700係為一具有溝道式閘結構之n通路電晶體。一p型區1726係如圖示襯墊於充填有介電質的溝道1720之外壁。類似於連同第5A、5B及5C分別所述的增強模式電晶體500A、500B及500C,溝道1720係降低電晶體的輸出電容,而p型襯墊1726提供漂移區中的電荷平衡以增加電晶體的阻絕能力。第18圖所示的一替代性實施例中,經相反摻雜的襯墊1826N及1826P係形成為與一充填有介電質的溝道1820之相反側相鄰。亦即,一充填有介電質的溝道1820係具有一沿著一側上的外側壁延伸之p型襯墊1826P,及一沿著相同溝道另一側上的外側壁延伸之n型襯墊1826N。如同連帶對應的增強模式電晶體所描述,可能具有累積電晶體與充填有介電質的溝道之此組合的其他變異。這些變異譬如係包括:一累積電晶體,其具有一平面性(而非溝道式)閘結構及浮p型區而非如同第5A圖所示元件中之p型襯墊1726;一累積電晶體,其具有一只覆蓋住外側壁而非如同第5B圖所示元件中的溝道1726底部之p型襯墊;及一累積電晶體,其具有包含一覆蓋住如第5C圖所示元件中之溝道下部的p型襯墊之單一溝道結構,及其他。Another embodiment of a modified accumulation mode transistor employs a dielectric filled channel comprising an outer pad of opposite polarity. Figure 17 is a simplified cross-sectional view of a cumulative transistor 1700 in accordance with this embodiment. A dielectric filled channel 1720 extends downwardly from the surface of the crucible into the drift region 1706. Channel The 1720 is substantially filled with a dielectric material such as cerium oxide. In this exemplary embodiment, the transistor 1700 is an n-channel transistor having a trench gate structure. A p-type region 1726 is patterned as a spacer on the outer wall of the dielectric filled channel 1720. Similar to the enhanced mode transistors 500A, 500B, and 500C described in conjunction with FIGS. 5A, 5B, and 5C, respectively, the channel 1720 reduces the output capacitance of the transistor, while the p-type pad 1726 provides charge balancing in the drift region to increase the charge. The ability of the crystal to block. In an alternative embodiment illustrated in FIG. 18, the oppositely doped pads 1826N and 1826P are formed adjacent the opposite side of a dielectric filled channel 1820. That is, a dielectric filled channel 1820 has a p-type pad 1826P extending along an outer sidewall on one side and an n-type extending along an outer sidewall on the other side of the same channel. Pad 1826N. Other variations of this combination of cumulative transistor and dielectric filled channel may be as described in conjunction with the corresponding enhancement mode transistor. These variations include, for example, a cumulative transistor having a planar (rather than trench) gate structure and a floating p-type region rather than a p-type pad 1726 in the device shown in Figure 5A; a crystal having a p-type liner covering the outer sidewall instead of the bottom of the channel 1726 in the component shown in FIG. 5B; and a cumulative transistor having a cover member as shown in FIG. 5C The single channel structure of the p-type pad in the lower part of the channel, and others.

另一實施例中,一累積模式電晶體係採用基於電荷平衡目的而串列式形成於一溝道內側之一或多個二極體。根據此實施例之一示範性累積模式電晶體1900的簡化橫剖視圖係顯示於第19圖中。二極體溝道1920係配置於一閘溝道1902的任一側上,而延伸深入漂移區1906內。二極體溝道 1920係包括由用於在溝道內側形成一或多個PN接面之相反傳導類型區1923及1925所構成之一或多個二極體結構。可利用經P型及n型摻雜多晶矽或矽來形成區1923及1925。一沿著溝道內側壁延伸之薄介電層1921係使溝道中的二極體與漂移區1906絕緣。如圖所示,沿著溝道1920底部不具有介電層,因此可讓底部區1927電性接觸到下方的基材。如同連帶第10、11、12及13圖所示的對應增強模式電晶體及其變異所描述,係可能具有累積電晶體與溝道式二極體之此組合的其他變異。In another embodiment, an accumulation mode electro-crystal system is formed in tandem on one or more diodes inside a channel based on charge balancing purposes. A simplified cross-sectional view of an exemplary cumulative mode transistor 1900 in accordance with one of the embodiments is shown in FIG. The diode channel 1920 is disposed on either side of a gate channel 1902 and extends deep into the drift region 1906. Diode channel The 1920 series includes one or more diode structures formed by opposite conductivity type regions 1923 and 1925 for forming one or more PN junctions on the inside of the channel. Regions 1923 and 1925 can be formed using P-type and n-type doped polysilicon or germanium. A thin dielectric layer 1921 extending along the inner sidewall of the trench insulates the diode in the trench from the drift region 1906. As shown, there is no dielectric layer along the bottom of the channel 1920, thus allowing the bottom region 1927 to electrically contact the underlying substrate. Other variants of this combination of cumulative transistor and channel diode may be as described for the corresponding enhancement mode transistors and their variations shown in Figures 10, 11, 12 and 13.

上述任一累積模式電晶體皆可採用頂(源)區中之一經重度摻雜的相反極性區。第20圖為一示範性累積模式電晶體2000的簡化立體圖,其顯示與其他變異合併之此特性。此實施例中,累積模式電晶體2000中的電荷平衡二極體係形成於與閘相同的溝道內側。溝道2002係包括閘電極2010且其下方係有n型2023及p型2025矽或多晶矽層形成了PN接面。一薄介電層2008係使二極體結構自閘終端2002以及漂移區2006分離。經重度摻雜的p+區2118係如圖示以間隔沿著源區2012中的溝道之間的台面長度而形成。經重度摻雜的p+區2118係降低n-區2012的面積並降低元件的洩漏。P+區2118亦可允許具有將改善雪崩中的電洞流流動且改善元件強固性之p+接觸。已經討論一示範性垂直MOS閘式累積電晶體的變異來例示此級元件的各種不同特性及優點。熟習該技術者瞭解可以包括側向MOS閘式電晶體、二極體、雙極電晶體及類似物等其他類型的元件加以實行。電荷分 散電極係可形成於與閘相同的溝道內側或可形成於分離的溝道內側。上述的各種不同示範性累積模式電晶體係具有終止於漂移區中之溝道,但其亦可終止於與汲部連接之經較重度摻雜基材中。各種不同電晶體可由包括六角或正方形電晶體晶胞等條紋或蜂巢狀架構形成。可能具有部分其他實施例所述之其他變異及組合,且其中多者進一步描述於先前引用的美國專利申請案No.60/506,194及60/588,845號中,兩案以引用方式整體併入本文中。Any of the above cumulative mode transistors may employ a heavily doped opposite polarity region in one of the top (source) regions. Figure 20 is a simplified perspective view of an exemplary cumulative mode transistor 2000 showing this characteristic combined with other variations. In this embodiment, the charge balancing dipole system in the accumulation mode transistor 2000 is formed inside the same channel as the gate. The trench 2002 includes a gate electrode 2010 and has an n-type 2023 and a p-type 2025 germanium or polysilicon layer underneath to form a PN junction. A thin dielectric layer 2008 separates the diode structure from the gate terminal 2002 and the drift region 2006. The heavily doped p+ regions 2118 are formed with a spacing along the length of the mesas between the channels in the source region 2012 as illustrated. The heavily doped p+ region 2118 reduces the area of the n-region 2012 and reduces leakage of components. The P+ region 2118 may also allow for p+ contacts that will improve the flow of the hole in the avalanche and improve the robustness of the component. Variations of an exemplary vertical MOS gate cumulative transistor have been discussed to illustrate the various different features and advantages of such a stage component. Those skilled in the art will appreciate that other types of components, including lateral MOS gate transistors, diodes, bipolar transistors, and the like, can be implemented. Charge fraction The diffused electrode system may be formed inside the same channel as the gate or may be formed inside the separated channel. The various exemplary cumulative mode electro-optic systems described above have channels that terminate in the drift region, but they can also terminate in the heavily doped substrate that is coupled to the crotch portion. A variety of different transistors can be formed from stripe or honeycomb structures including hexagonal or square transistor cells. There may be other variations and combinations of some of the other embodiments, and many of which are further described in the previously cited U.S. Patent Application Serial Nos. 60/506,194, the entire disclosure of each of .

設計成使用在很高電壓應用(譬如500V-600V及以上)之另一等級的功率切換元件係在基材與井之間的磊晶區中採用交替式垂直段的經p摻雜及經n摻雜矽。參照第21圖,顯示一採用此型結構之MOSFET 2100的一範例。MOSFET 2100中,有時稱為電壓維持區或阻絕區之區2102係包含交替式n型段2104及p型段2106。此結構的效果係在於:當電壓施加至元件時,空乏區水平地分散至段2104及2106各側內。因為各垂直段2104、2106中的淨電荷量係小於產生崩潰場所需要者,在水平場夠高以產生雪崩崩潰之前阻絕層2102的整體垂直厚度係呈現空乏。在此區完全水平空乏之後,此場繼續垂直地累積直到抵達近似20至30伏特每微米的雪崩場為止。這大幅地增強了元件的電壓阻絕能力而使元件的電壓範圍延伸至400伏特及以上。此型超接面元件的不同變異係更詳細地描述於共同擁有之尼爾森(Nielson)的專利案6,081,009及6,066,878號中,該案以引用方式整體併入本文中。Another level of power switching element designed to be used in very high voltage applications (eg, 500V-600V and above) is the use of alternating vertical segments of p-doped and n-type in the epitaxial region between the substrate and the well. Doped with antimony. Referring to Fig. 21, an example of a MOSFET 2100 of this type is shown. In the MOSFET 2100, a region 2102, sometimes referred to as a voltage sustaining region or a blocking region, includes an alternating n-type segment 2104 and a p-type segment 2106. The effect of this configuration is that when a voltage is applied to the component, the depletion region is horizontally dispersed into each of the sides 2104 and 2106. Since the amount of net charge in each of the vertical segments 2104, 2106 is less than that required to create a crash site, the overall vertical thickness of the barrier layer 2102 is depleted before the horizontal field is high enough to cause an avalanche collapse. After this area is completely horizontally depleted, the field continues to accumulate vertically until it reaches an avalanche field of approximately 20 to 30 volts per micrometer. This greatly enhances the voltage blocking capability of the component and extends the voltage range of the component to 400 volts and above. The different variants of this type of super-junction element are described in more detail in the commonly-owned Nielson Patent Nos. 6,081, 009 and 6, 066, 878, the entireties of each of which are incorporated herein by reference.

超接面MOSFET 2100的一變異係在n型阻絕區中使用浮p型島部。利用浮p型島部而非條柱方式,將可使電荷平衡層的厚度減小而藉此降低RDSon 。一實施例中,不使p型島部均勻地分隔,而是使其分隔開來藉以維持此電場接近臨界電場。第22圖為一MOSFET 2200的簡化橫剖視圖,其顯示根據此實施例的一元件之一範例。此範例中,較深的浮p區2226係與上方者分隔較遠。亦即,距離L3大於距離L2,而距離L2大於距離L1。藉由此方式來操縱浮接面之間的距離,以較為粒狀的方式導入少數載體。這些載體的來源愈呈粒狀,則可產生愈低的RDSon 及愈高的崩潰電壓。熟習該技術者瞭解可能具有許多變異。譬如,垂直方向之浮區2226數量並不限於如圖所示的四個,最佳數量可能改變。並且,各浮區2226中的摻雜濃度可能改變;譬如,一實施例中,各浮區2226中的摻雜濃度係隨著區靠近基材2114而逐漸減小。A variation of the super-junction MOSFET 2100 uses a floating p-type island in the n-type barrier region. Using the floating island portion rather than p-type bars embodiment, the thickness of the charge-balancing layer can be reduced and thereby reducing the R DSon. In one embodiment, the p-type islands are not evenly separated, but are separated to maintain the electric field close to the critical electric field. Figure 22 is a simplified cross-sectional view of a MOSFET 2200 showing an example of an element in accordance with this embodiment. In this example, the deeper floating p-zone 2226 is separated from the upper one. That is, the distance L3 is greater than the distance L2, and the distance L2 is greater than the distance L1. By manipulating the distance between the floating faces in this way, a small number of carriers are introduced in a relatively granular manner. The more granular the source of these carriers, the lower the R DSon and the higher the breakdown voltage. Those skilled in the art understand that there may be many variations. For example, the number of floating zones 2226 in the vertical direction is not limited to four as shown, and the optimum number may vary. Also, the doping concentration in each floating zone 2226 may vary; for example, in one embodiment, the doping concentration in each floating zone 2226 decreases as the zone approaches the substrate 2114.

並且,如同連帶低電壓及中電壓元件所述,許多用於降低寄生電容以增強切換速度且包括經屏蔽閘及雙閘結構之技術係可與第21及22圖所述的高電壓元件及其變異加以合併。第23圖為一合併了超接面架構的一變異與一雙閘結構之高電壓MOSFET 2300的簡化橫剖視圖。MOSFET 2300具有與譬如上文第4B圖所示的雙閘電晶體相似地藉由閘終端G1及G2構成之一平面性雙閘結構。相反極性(此範例中為p型)區2326垂直地配置於p井2308底下的n型漂移區2306中。p型區2326的尺寸與間隔在此範例中係會變動,其中因 此使較靠近p井2308之較緊密配置區2326彼此產生接觸而進一步配置於下方之區2326則浮動且如圖示具有較小尺寸。第24圖描繪合併了超接面技術與經屏蔽閘結構之高電壓MOSFET 2400的另一實施例。MOSFET係為一具有一閘電極2410之溝道閘元件,且其藉由譬如類似於第3A圖的MOSFET 300A之一屏蔽電極2411受到屏蔽。MOSFET 2400亦包括與電流流動平行地配置於漂移區2406中之相反極性浮閘2426。Also, as described in conjunction with low voltage and medium voltage components, many techniques for reducing parasitic capacitance to enhance switching speed and including shielded gate and double gate structures can be combined with the high voltage components described in FIGS. 21 and 22 and The mutations are combined. Figure 23 is a simplified cross-sectional view of a high voltage MOSFET 2300 incorporating a variation of a super junction architecture and a dual gate structure. The MOSFET 2300 has a planar double gate structure formed by the gate terminals G1 and G2 similarly to the double gate transistor as shown in FIG. 4B above. The opposite polarity (p-type in this example) region 2326 is disposed vertically in the n-type drift region 2306 below the p-well 2308. The size and spacing of the p-type region 2326 will vary in this example, where This causes the closerly disposed regions 2326 closer to the p-well 2308 to make contact with each other and the region 2326 that is further disposed below floats and has a smaller size as illustrated. Figure 24 depicts another embodiment of a high voltage MOSFET 2400 incorporating a super junction technique and a shielded gate structure. The MOSFET is a channel gate element having a gate electrode 2410, and is shielded by a shield electrode 2411 such as one of the MOSFETs 300A similar to FIG. 3A. MOSFET 2400 also includes an opposite polarity floating gate 2426 that is disposed in drift region 2406 in parallel with the flow of current.

終止結構Termination structure

上述各種不同類型的離散元件係具有一受到晶粒邊緣上空乏區的圓柱形或球形形狀所限制之崩潰電壓。因為圓柱形或球形崩潰電壓一般遠低於元件的主動區域中之平行平面崩潰電壓BVpp,元件的邊緣係需要終止藉以使元件達成一接近主動區域崩潰電壓之崩潰電壓。已經發展出不同技術來使場及電壓均勻地分散於邊緣終止寬度上方以達成一接近BVpp之崩潰電壓。其包括場板、場環、接面終止延伸部(JTE:junction termination extension)及這些技術的不同組合。上文所引用共同擁有之發證予莫(Mo)等人的美國專利案6,429,481號係描述一圍繞主動晶胞陣列包括一具有一鋪覆的場氧化物層之深接面(比井更深)之場終止結構的一範例。在一n通路電晶體的案例中,譬如,終止結構係包括一用於與n型汲區形成一PN接面之深p+區。The various types of discrete elements described above have a breakdown voltage that is limited by the cylindrical or spherical shape of the depletion region on the edge of the grain. Since the cylindrical or spherical breakdown voltage is generally much lower than the parallel plane breakdown voltage BVpp in the active region of the component, the edge of the component needs to be terminated so that the component reaches a breakdown voltage close to the active region breakdown voltage. Different techniques have been developed to evenly spread the field and voltage over the edge termination width to achieve a near-BVpp breakdown voltage. It includes field plates, field loops, junction termination extensions (JTE) and different combinations of these techniques. U.S. Patent No. 6,429,481, the disclosure of which is incorporated herein by reference in its entirety in its entire entire entire entire entire entire entire entire entire entire content An example of the termination structure of the field. In the case of an n-channel transistor, for example, the termination structure includes a deep p+ region for forming a PN junction with the n-type germanium region.

替代性實施例中,圍繞晶胞陣列周邊之一或多個環形溝道係具有減緩電場及增加雪崩崩潰之作用。第25A圖顯示 一供溝道電晶體用之常用的溝道佈局。主動溝道2502係被一環形終止溝道2503所圍繞。此結構中,在台面端點上以點狀圓形代表的區2506係比其他區更快速地空乏,在此區域中造成增高的場因此降低了逆向偏壓條件下的崩潰電壓。因此,此型佈局係只限於較低的電壓元件(譬如<30V)。第25B至25F圖顯示用於具有不同溝道佈局的終止結構之數項替代性實施例,以降低第25A圖所示的高電場區。如圖所示,這些實施例中,部分或全部的主動溝道係與終止溝道斷開。終止溝道與主動溝道的端點之間的間隙WG 係具有降低第25A圖所示結構中所觀察到的電場擁擠效應之功用。一示範性實施例中,使WG 成為近似溝道之間台面寬度的一半。對於較高電壓元件,可採用如第25F圖所示的多重終止溝道來進一步增加元件的崩潰電壓。以引用方式併入本文中之共同擁有的夏拉(Challa)之名稱為“用於半導體元件之溝道結構”的美國專利案6,683,363號係更詳細地描述某些該等實施例之變異。In an alternative embodiment, one or more of the annular channel systems surrounding the perimeter of the unit cell array have the effect of slowing the electric field and increasing avalanche collapse. Figure 25A shows a common trench layout for a channel transistor. The active channel 2502 is surrounded by a toroidal termination channel 2503. In this configuration, the region 2506, represented by a point circle on the end of the mesa, is more depleted than the other regions, causing an increased field in this region thus reducing the breakdown voltage under reverse bias conditions. Therefore, this type of layout is limited to lower voltage components (such as <30V). Figures 25B through 25F show several alternative embodiments for termination structures having different channel layouts to reduce the high electric field regions shown in Figure 25A. As shown, in these embodiments, some or all of the active channel is disconnected from the termination channel. The gap W G between the termination channel and the end of the active channel has the function of reducing the electric field crowding effect observed in the structure shown in Fig. 25A. In an exemplary embodiment, W G is made to be approximately half the width of the mesa between the channels. For higher voltage components, a multiple termination channel as shown in Figure 25F can be employed to further increase the breakdown voltage of the component. The variation of certain such embodiments is described in more detail in U.S. Patent No. 6,683,363, the entire disclosure of which is incorporated herein by reference in its entirety in its entirety in its entirety in the the the the the the the the the

第26A至26C圖描繪用於經電荷平衡的溝道MOSFET之各種不同示範性溝道終止結構的橫剖視圖。所顯示的示範性實施例中,MOSFET 2600A係使用一經屏蔽閘結構,其將一屏蔽多晶矽電極2611埋設在主動溝道2602內側的閘多晶矽2610底下。第26A圖所示的實施例中,終止溝道2603A係襯有一相對較厚層的介電質(氧化物)2605A並充填有諸如多晶矽2607A等傳導材料。氧化物層2605A的厚度、終止溝道2603A的深度及終止溝道與相鄰主動溝道之間的間隔 (亦即最後台面的寬度)係取決於元件逆向阻絕電壓。第26A圖所示的實施例中,溝道在表面上較寬(T-溝道結構)並在終止區上方使用一金屬場板2609A。一替代性實施例(未圖示)中,可藉由使多晶矽2607A在終止溝道2603A內側延伸於表面上方及終止區上方(第26A圖中終止溝道的左方),以利用多晶矽來形成場板。可能有許多變異方式。譬如,為了具有更好的歐姆接觸,可添加一位居與矽的金屬接觸部底下之p+區(未圖示)。可選擇性移除與終止溝道2603A相鄰的最後台面中之p-井區2604及其各別的接觸部。並且,可將浮p型區添加至終止溝道2603A的左方(亦即,主動區域外側)。26A-26C depict cross-sectional views of various exemplary channel termination structures for charge balanced channel MOSFETs. In the exemplary embodiment shown, MOSFET 2600A uses a shielded gate structure that embeds a shielded polysilicon electrode 2611 under gate polysilicon 2610 inside active channel 2602. In the embodiment illustrated in Figure 26A, the termination channel 2603A is lined with a relatively thick layer of dielectric (oxide) 2605A and filled with a conductive material such as polysilicon 2607A. The thickness of the oxide layer 2605A, the depth of the termination channel 2603A, and the spacing between the termination channel and the adjacent active channel (that is, the width of the final mesa) depends on the component's reverse blocking voltage. In the embodiment shown in Fig. 26A, the channel is wider on the surface (T-channel structure) and a metal field plate 2609A is used over the termination region. In an alternative embodiment (not shown), the polysilicon 2607A can be formed by using polysilicon by extending the inside of the termination channel 2603A over the surface and over the termination region (the left side of the termination channel in FIG. 26A). Field board. There may be many variations. For example, in order to have a better ohmic contact, a p+ region (not shown) underneath the metal contact portion of the crucible can be added. The p-well 2604 and its respective contacts in the last mesa adjacent to the termination channel 2603A can be selectively removed. Also, a floating p-type region can be added to the left of the termination channel 2603A (ie, outside the active region).

另一變異中,不以多晶矽來充填終止溝道2603,一多晶矽電極係在一充填有氧化物的溝道內側埋設於溝道下部中。此實施例顯示於第26B圖中,其中近似一半的終止溝道2603B係充填有氧化物2605B且具有一多晶矽電極2607B的下半部被埋設在氧化物內側。溝道2603B的深度及經埋設多晶矽2607B的高度可基於元件處理而不同。第26C圖所示的另一實施例中,一終止溝道2603C大致充填有介電質且其中未埋設傳導材料。對於第26A、B及C圖所示的所有三項實施例,用以分離終止溝道及最後主動溝道之最後台面的寬度係可能不同於兩主動溝道之間所形成的一典型台面之寬度,並且可加以調整來在終止區中達成最佳電荷平衡。第26A圖所示的結構之上述所有變異皆可適用於第26B及26C圖所示者。並且,熟習該技術者瞭解,雖然此處已經針對一經屏蔽閘元件來描述終止結構,可對於上述所有各種不 同基於溝道的元件將類似的結構實行成為終止區。In another variation, the termination channel 2603 is not filled with polysilicon, and a polysilicon electrode is buried in the lower portion of the channel inside a channel filled with oxide. This embodiment is shown in Figure 26B, in which approximately half of the termination channel 2603B is filled with oxide 2605B and the lower half of a polysilicon electrode 2607B is buried inside the oxide. The depth of the trench 2603B and the height of the buried polysilicon 2607B may vary based on component processing. In another embodiment, illustrated in FIG. 26C, a termination channel 2603C is substantially filled with a dielectric and in which a conductive material is not embedded. For all three embodiments shown in Figures 26A, B and C, the width of the last mesa used to separate the termination channel from the last active channel may be different from a typical mesa formed between the two active channels. Width, and can be adjusted to achieve optimal charge balance in the termination zone. All of the above variations of the structure shown in Fig. 26A are applicable to those shown in Figs. 26B and 26C. Moreover, those skilled in the art understand that although the termination structure has been described herein for a shielded gate element, all of the above may not be Similar structures are implemented as termination regions with channel-based components.

對於較低電壓元件,溝道終止環之角落設計可能不重要。然而,對於較高電壓元件,可能需要具有較大曲率半徑之終止環的角落圓弧化作用。元件電壓需求愈高,則終止溝道角落上之曲率半徑可能愈大。並且,終止環的數量可能隨著元件電壓增高而增多。第27圖顯示一具有兩個呈現相對較大曲率半徑之終止溝道2703-1及2703-2的示範性元件。溝道之間的間隔亦可以元件電壓需求為基礎加以調整。此實施例中,終止溝道2703-1與2703-2之間的距離S1係近似為第一終止溝道2703-1與主動溝道端點之間距離的兩倍。For lower voltage components, the corner design of the channel termination ring may not be important. However, for higher voltage components, corner arcing of the termination ring with a larger radius of curvature may be required. The higher the component voltage requirement, the greater the radius of curvature at the end of the channel corner. Also, the number of termination loops may increase as the component voltage increases. Figure 27 shows an exemplary component having two termination channels 2703-1 and 2703-2 that exhibit a relatively large radius of curvature. The spacing between the channels can also be adjusted based on the component voltage requirements. In this embodiment, the distance S1 between the termination channels 2703-1 and 2703-2 is approximately twice the distance between the first termination channel 2703-1 and the active channel end point.

第28A、28B、28C及28D圖顯示用於具有矽條柱電荷平衡結構之各種不同終止區的示範性橫剖視圖。第28A圖所示的實施例中,場板2809A係接觸p型條柱2803A的每個環。如此可因為場板導致的側向空乏而允許具有較寬的台面區。崩潰電壓一般係依據場氧化物厚度、環的數量、及終止條柱2803A的深度與間隔而定。此型終止結構可能具有許多不同變異。譬如,第28B圖顯示一使一大場板2809-1覆蓋住最後條柱除外的所有條柱2803B之替代性實施例,最後條柱係連接至另一場板2809-2。藉由使大場板2809-1接地,p型條柱之間的台面區係快速地空乏而水平電壓降將不明顯,造成比第28A圖所示實施例更低之崩潰電壓。第28C圖所示的另一實施例中,終止結構在中條柱上不具有場板。因為中條柱上不具有場板,其具有較窄台面區以適當地空 乏。一實施例中,一朝向外環逐漸減小的台面寬度係產生最佳效能。第28D圖所示的實施例係如圖示藉由提供一較寬井區2808D及增加場氧化物層之間的間隔而有利於接觸至p型條柱。Figures 28A, 28B, 28C, and 28D show exemplary cross-sectional views for various termination regions having a purlin column charge balancing structure. In the embodiment shown in Fig. 28A, the field plate 2809A contacts each of the p-type bars 2803A. This allows for a wider mesa area due to lateral depletion caused by the field plates. The breakdown voltage is generally determined by the field oxide thickness, the number of rings, and the depth and spacing of the termination bar 2803A. This type of termination structure may have many different variations. For example, Figure 28B shows an alternative embodiment of having all of the bars 2803-1 covering the last bar except for the last plate 2809-1, which is connected to the other field plate 2809-2. By grounding the large field plate 2809-1, the mesa regions between the p-type bars are rapidly depleted and the horizontal voltage drop will be less pronounced, resulting in a lower breakdown voltage than the embodiment shown in Figure 28A. In another embodiment, illustrated in Figure 28C, the termination structure does not have a field plate on the center post. Because the middle column does not have a field plate, it has a narrower mesa area to be properly empty. Lacky. In one embodiment, a mesa width that tapers toward the outer ring produces optimum performance. The embodiment shown in Fig. 28D facilitates contact with the p-type bar by providing a wider well region 2808D and increasing the spacing between the field oxide layers.

在採用上述類型的各種不同超接面技術之超高電壓元件之案例中,崩潰電壓係遠高於習知的BVpp。對於一超接面元件,電荷平衡或超接面結構(譬如,相反極性條柱或浮區、經埋設電極等)亦使用於終止區中。亦可使用與諸如元件邊緣處的頂表面上之場板等電荷平衡結構合併之標準邊緣終止結構。部分實施例中,可利用終止接面中一快速減小的電荷來消除頂部上之標準邊緣結構。譬如,終止區中的p型條柱可在遠離主動區域時形成有減小的電荷,而生成一淨n型平衡電荷。In the case of ultra-high voltage components using various types of super-junction techniques of the type described above, the breakdown voltage is much higher than the conventional BVpp. For a super junction component, a charge balancing or super junction structure (e.g., opposite polarity bars or floats, buried electrodes, etc.) is also used in the termination region. Standard edge termination structures combined with charge balancing structures such as field plates on the top surface at the edge of the component can also be used. In some embodiments, a rapidly decreasing charge in the termination junction can be utilized to eliminate the standard edge structure on the top. For example, a p-type strip in the termination region can form a reduced charge while moving away from the active region to generate a net n-type equilibrium charge.

一較佳實施例中,終止區中p型條柱之間的間隔係隨著條柱移動遠離主動區而變。根據此實施例的一元件2900A之一示範性實施例的高度簡化橫剖視圖係顯示於第29A圖中。在元件2900A的主動區域中,譬如由多重經連接p型球體製成的相反傳導性條柱2926A係在n型漂移區2904A中形成於p型井2908A底下。在元件邊緣處,終止區底下,p型終止條柱TP1、TP2至TPn係如圖示般地形成。並不像主動區域中具有均勻的間隔,終止條柱TP1至TPn之間的中心至中心間隔係隨著條柱移動遠離與主動區的介面而增大。亦即,TP2與TP3之間的距離D1係小於TP3與TP4之間的距離D2,而距離D2小於TP4與TP5之間的距離D3,依此類推。In a preferred embodiment, the spacing between the p-type bars in the termination zone is a function of the movement of the bars away from the active zone. A highly simplified cross-sectional view of an exemplary embodiment of an element 2900A in accordance with this embodiment is shown in Figure 29A. In the active region of element 2900A, an opposite conductive strip 2926A, such as made up of multiple connected p-type spheres, is formed under p-well 2908A in n-type drift region 2904A. At the edge of the element, under the termination zone, p-type termination bars TP1, TP2 to TPn are formed as shown. Rather than having a uniform spacing in the active region, the center-to-center spacing between the terminating bars TP1 through TPn increases as the bar moves away from the interface with the active region. That is, the distance D1 between TP2 and TP3 is smaller than the distance D2 between TP3 and TP4, and the distance D2 is smaller than the distance D3 between TP4 and TP5, and so on.

此型超接面終止結構可能具有數種變異。譬如,不在電壓維持層2904A內側以變動距離形成p型終止條柱TP1-TPn,中心至中心間隔可保持均勻但各終端條柱的寬度可變動。第29B圖顯示根據此實施例之一終止結構的一簡化範例。此範例中,終止條柱TP1具有比終止條柱TP2的寬度W2更大之一寬度W1,而W2則製成比終止條柱TP3的寬度W3更大,依此類推。就終止區中相反極性電荷平衡區之間的間隔而論,即便元件2900B中溝道條柱之間的中心至中心間隔可能相同,元件2900B中所產生的結構係類似於元件2900A中者。第29C圖的簡化橫剖視圖所示之另一示範性實施例中,主動區中各相反極性條柱2926C的寬度係從頂表面減小至基材,而終止條柱TP1及TP2的寬度則保持大致相同。這達成了所需要的崩潰電壓同時利用較小的面積。熟習該技術者瞭解,上述各種不同終止結構可以任何所需要方式加以合併,譬如包括:第29C圖所示的元件2900C中之終止條柱的中心至中心間隔及/或整體寬度可如連同第29A及29B圖所示實施例描述般地加以改變。This type of superjunction termination structure may have several variations. For example, the p-type terminating bars TP1-TPn are not formed inside the voltage maintaining layer 2904A with a varying distance, and the center-to-center spacing can be kept uniform but the width of each terminal bar can be varied. Figure 29B shows a simplified example of a termination structure in accordance with one of the embodiments. In this example, the terminating bar TP1 has a width W1 greater than the width W2 of the terminating bar TP2, while W2 is made larger than the width W3 of the terminating bar TP3, and so on. With respect to the spacing between opposite polarity charge balance regions in the termination region, even though the center-to-center spacing between the channel strips in element 2900B may be the same, the structure produced in element 2900B is similar to that in element 2900A. In another exemplary embodiment illustrated in the simplified cross-sectional view of FIG. 29C, the width of each of the opposite polarity bars 2926C in the active region is reduced from the top surface to the substrate, while the widths of the terminating bars TP1 and TP2 are maintained. Roughly the same. This achieves the required breakdown voltage while utilizing a smaller area. Those skilled in the art will appreciate that the various termination structures described above can be combined in any desired manner, including, for example, the center-to-center spacing and/or overall width of the terminating bars in element 2900C shown in Figure 29C can be as described in conjunction with section 29A. And the description of the embodiment shown in Fig. 29B is changed as usual.

處理技術Processing technology

至今已經描述具有多重經埋設電極的溝道結構之數種不同元件。為了偏壓這些溝道式電極,這些元件可允許對於各經埋設層產生電性接觸。此處揭露數種用於形成具有經埋設電極的溝道結構及對於溝道內側的經埋設多晶矽層產生接觸之方法。一實施例中,在晶粒邊緣上產生對於溝道式多晶矽層之接觸。第30A圖顯示一溝道元件3000與兩多 晶矽層3010及3020之邊緣接觸的一範例。第30A圖描繪元件沿著一溝道的縱軸線之橫剖視圖。根據使溝道終止於接近晶粒邊緣處之此實施例,多晶矽層3010及3020係被帶到基材表面以供接觸用。介電質(或氧化物)層3030及3040中的開口3012及3022係得以與多晶矽層產生金屬接觸。第30B至30F圖顯示關於形成第30A圖的邊緣接觸結構之各種不同處理步驟。第30B圖中,一介電質(譬如二氧化矽)層3001被圖案化於磊晶層3006頂部上,而基材的經暴露表面係被蝕刻以形成溝道3002。一第一氧化物層3003隨後形成橫越基材頂表面且包括溝道,如第30C圖所示。一第一層的傳導材料(譬如多晶矽)3010隨後形成於氧化物層3003頂部上,如第30D圖所示。參照第30E圖,多晶矽層3010在溝道內側被蝕除且另一氧化物層3030形成於多晶矽3010上方。進行類似的步驟以如第30F圖所示形成第二氧化物-多晶矽-氧化物嵌夾部,其中將頂氧化物層3040顯示為被蝕刻以構成分別供對於多晶矽層3010及3020的金屬接觸層所用之開口3012及3022。可對於額外的多晶矽層重覆最後步驟,且多晶矽可依需要藉由鋪覆的金屬層束縛在一起。Several different components of a channel structure having multiple buried electrodes have been described to date. To bias these channel electrodes, these elements may allow electrical contact to be made to each buried layer. Several methods for forming a channel structure having buried electrodes and contacting the buried polysilicon layer on the inside of the channel are disclosed herein. In one embodiment, contact to the trench polysilicon layer is created on the edge of the die. Figure 30A shows a channel element 3000 and two more An example of edge contact of wafer layers 3010 and 3020. Figure 30A depicts a cross-sectional view of the component along the longitudinal axis of a channel. According to this embodiment, which terminates the channel near the edge of the die, polysilicon layers 3010 and 3020 are brought to the surface of the substrate for contact. Openings 3012 and 3022 in dielectric (or oxide) layers 3030 and 3040 are in metal contact with the polysilicon layer. Figures 30B through 30F show various processing steps for forming the edge contact structure of Figure 30A. In FIG. 30B, a dielectric (e.g., hafnium oxide) layer 3001 is patterned on top of the epitaxial layer 3006, and the exposed surface of the substrate is etched to form the trench 3002. A first oxide layer 3003 is then formed across the top surface of the substrate and includes a channel as shown in Figure 30C. A first layer of conductive material (e.g., polysilicon) 3010 is then formed on top of the oxide layer 3003 as shown in Figure 30D. Referring to FIG. 30E, the polysilicon layer 3010 is etched away inside the trench and another oxide layer 3030 is formed over the polysilicon 3010. A similar step is performed to form a second oxide-polysilicon-oxide embedded portion as shown in FIG. 30F, wherein the top oxide layer 3040 is shown as being etched to form a metal contact layer for the polysilicon layers 3010 and 3020, respectively. Openings 3012 and 3022 are used. The final step can be repeated for additional polysilicon layers, and the polysilicon can be bound together by the laid metal layer as desired.

另一實施例中,在元件的主動區域中而非沿著晶粒邊緣對於一給定溝道中多重的多晶矽層產生接觸。第31A圖描繪用於多重經埋設多晶矽層之主動區域接觸結構的一範例。此範例中,沿著溝道縱軸線的橫剖視圖係顯示一多晶矽層3110,且其供應了用來提供兩屏蔽層之閘終端及多晶矽層3111a及3111b。雖然將三個分離的金屬線3112、3122 及3132顯示為對於屏蔽多晶矽層產生接觸,其皆束縛在一起且連接至元件的源終端,或者可依照一特定應用所需要來使用任何其他的接觸組合。此結構的一優點係在於:相較於第30A圖所示的多層邊緣接觸結構,此接觸係具有平面性本質。In another embodiment, contact is made for multiple polysilicon layers in a given channel in the active region of the device rather than along the edge of the die. Figure 31A depicts an example of an active area contact structure for a multiple buried polysilicon layer. In this example, a cross-sectional view along the longitudinal axis of the channel shows a polysilicon layer 3110, and it supplies gate terminals and polysilicon layers 3111a and 3111b for providing two shield layers. Although three separate metal wires 3112, 3122 And 3132 are shown as making contact for the shielded polysilicon layer, which are tied together and connected to the source termination of the component, or any other combination of contacts can be used as needed for a particular application. An advantage of this configuration is that the contact system has a planar nature compared to the multilayer edge contact structure shown in Figure 30A.

第31B至31M圖顯示一用以形成一供兩層多晶矽的一溝道所用之主動區域屏蔽接觸結構之程序流的一範例。第31B圖中溝道3102的蝕刻之後係為第31C圖中的屏蔽氧化物3108之成形。屏蔽多晶矽3111隨後係如第31D圖所示沉積及凹入溝道內側。屏蔽多晶矽3111在第31E圖中係更額外地凹入,但需要基材表面之屏蔽接觸的位置除外。第31E圖中,一罩幕3109係保護中溝道內側之多晶矽不受進一步蝕刻。一實施例中,此罩幕沿著不同溝道施加於不同位置,所以譬如對於中溝道而言,屏蔽多晶矽係在第三維度(未圖示)凹入溝道的其他部分中。另一實施例中,主動區域中之一或多個選擇溝道內側的屏蔽多晶矽3111係沿著溝道全長受到罩幕。屏蔽氧化物3108隨後如第31F圖所示被蝕刻,且罩幕3109移除之後,一薄層的閘氧化物3108a形成橫越基材頂部,如第31G圖所示。接著係為閘多晶矽沉積及凹入(第31H圖),p井植入及驅動(第31I圖),及n+源植入(第31J圖)。第31K、31L及31M係分別描繪BPSG沉積、接觸蝕刻及p+重體部植入、接著為金屬化之步驟。第31N圖顯示一主動區域屏蔽接觸結構之一替代性實施例的橫剖視圖,其中屏蔽多晶矽3111在屏蔽氧化物頂部上形成一相對較寬的平台。 這將有利於接觸屏蔽多晶矽,但導入可能進一步使製程變得複雜之拓樸結構。Figures 31B through 31M show an example of a program flow for forming an active area shield contact structure for a channel of two polysilicon layers. The etching of the trench 3102 in Fig. 31B is followed by the formation of the shield oxide 3108 in Fig. 31C. The shielded polysilicon 3111 is then deposited and recessed inside the trench as shown in Figure 31D. The shielded polysilicon 3111 is more recessed in Figure 31E, except where the shielded contact of the substrate surface is required. In Fig. 31E, a mask 3109 protects the polysilicon inside the middle channel from further etching. In one embodiment, the mask is applied to different locations along different channels, so for example, for a mid-channel, the shielded polysilicon is recessed into other portions of the trench in a third dimension (not shown). In another embodiment, the shielded polysilicon 3111 inside one or more of the active channels in the active region is shielded along the entire length of the channel. The shield oxide 3108 is then etched as shown in FIG. 31F, and after the mask 3109 is removed, a thin layer of gate oxide 3108a is formed across the top of the substrate as shown in FIG. This is followed by gate polysilicon deposition and recession (Fig. 31H), p well implant and drive (Fig. 31I), and n+ source implantation (Fig. 31J). Sections 31K, 31L, and 31M depict steps of BPSG deposition, contact etching, and p+ heavy body implantation, followed by metallization, respectively. Figure 31N shows a cross-sectional view of an alternative embodiment of an active area shield contact structure in which a shielded polysilicon 3111 forms a relatively wide platform on top of the shield oxide. This will facilitate contact with the shielded polysilicon, but introduce a topological structure that may further complicate the process.

一具有一主動區域屏蔽接觸結構之示範性溝道元件的經簡化俯視佈局圖係顯示於第32A圖中。一用於界定多晶矽凹部之罩幕係防止屏蔽多晶矽在位置3211C凹入主動區中及周邊屏蔽溝道3213中。此技術的一修改係對於屏蔽多晶矽凹入罩幕使用一類似“狗骨頭”形狀,其在與各溝道3202的交會部提供一較寬區來接觸屏蔽多晶矽。如此可讓經罩幕區中的屏蔽多晶矽亦凹入但台面的原始表面除外,因此消除了拓樸結構。一替代性實施例的俯視佈局圖顯示於第32B圖中,其中主動區域溝道係連接至周邊溝道。此實施例中,屏蔽多晶矽凹入罩幕係沿著一選定溝道(圖示範例中係為中溝道)長度防止屏蔽多晶矽的凹入,以使主動區域屏蔽溝道接觸至源金屬。第32C及32D為顯示用於在一具有破裂的溝道結構之溝道元件中與周邊溝道產生接觸之兩不同實施例的簡化佈局圖。這些圖中,主動溝道3202及周邊溝道3213基於例示目的係由單條線加以描繪。第32C圖中,來自周邊閘多晶矽流道3210的延伸部或指部係相對於周邊屏蔽多晶矽指部呈現交錯以使周邊接觸部與周邊溝道分隔開來。源及屏蔽接觸區域3215亦如圖所示在位置3211C中對於主動區中的屏蔽多晶矽產生接觸。第32D圖所示的實施例係消除了主動及周邊溝道之間的偏移,以避免溝道間距需求所導致之可能的限制。此實施例中,主動溝道3202及來自周邊溝道3213的水平延伸部係對準,而閘多晶矽流道3210 中的窗3217係可允許對於周邊周圍的屏蔽多晶矽產生接觸。如同先前實施例,在位置3211C中產生主動區域接觸。A simplified top view layout of an exemplary channel element having an active area shield contact structure is shown in Figure 32A. A mask for defining the recess of the polysilicon prevents the shielded polysilicon from being recessed into the active region and the perimeter shield channel 3213 at location 3211C. A modification of this technique uses a similar "dog bone" shape for the shielded polycrystalline recessed mask, which provides a wider area at the intersection with each channel 3202 to contact the shielded polysilicon. This allows the shielded polysilicon in the masked area to be recessed but the original surface of the mesa is excluded, thus eliminating the topography. A top plan view of an alternative embodiment is shown in Figure 32B, wherein the active region channel is connected to the peripheral channel. In this embodiment, the shielded polysilicon recessed mask is prevented from being recessed in the shielded polysilicon along a selected channel (the middle channel in the illustrated example) to allow the active region shield channel to contact the source metal. 32C and 32D are simplified layout diagrams showing two different embodiments for making contact with a peripheral channel in a channel element having a ruptured channel structure. In these figures, active channel 3202 and peripheral channel 3213 are depicted by a single line for illustrative purposes. In Fig. 32C, the extensions or fingers from the peripheral gate polysilicon channel 3210 are staggered relative to the perimeter shield polysilicon fingers to separate the perimeter contacts from the perimeter channels. The source and shield contact regions 3215 also make contact with the shielded polysilicon in the active region at location 3211C as shown. The embodiment shown in Fig. 32D eliminates the offset between the active and peripheral channels to avoid possible limitations due to channel spacing requirements. In this embodiment, the active channel 3202 and the horizontal extension from the peripheral channel 3213 are aligned, and the gate polysilicon channel 3210 The window 3217 in the middle allows for contact with the shielded polysilicon around the perimeter. As in the previous embodiment, active area contact is created in position 3211C.

一用於在主動區域中接觸溝道式屏蔽多晶矽層之替代性實施例係顯示於第33A圖。此實施例中,屏蔽多晶矽並不凹入,而是垂直地延伸於主動溝道的一顯著部分上方直到矽表面為止。參照第33A圖,屏蔽多晶矽3311在沿著溝道3302高度垂直地延伸時係將閘多晶矽3310分割成兩者。兩閘多晶矽分段係在溝道內側的一適當位置或當其離開溝道時於第三維度中被連接。此實施例的一優點在於:藉由在主動溝道內側產生源多晶矽接觸而非使用溝道式多晶矽接觸專用的矽空間所節省之面積。第33B至33M圖顯示一用於形成一屬於第33A圖類型的主動區域屏蔽接觸結構之程序流的一範例。第33B圖中之溝道3302的蝕刻之後係為第33C圖所示之屏蔽氧化物3308成形。屏蔽多晶矽3311隨後如第33D圖所示沉積於溝道內側。屏蔽多晶矽3311係如第33E圖所示蝕刻及凹入溝道內側。屏蔽氧化物3308隨後如第33F圖所示被蝕刻,留下用以在其位於溝道內側的側邊上形成兩槽之屏蔽多晶矽3311的一經暴露部。一薄層的閘氧化物3308a隨後係如第33G圖所示形成橫越基材頂部、溝道側壁及溝道內側的槽。接著係為閘多晶矽沉積及凹入(第33H圖)、p井植入及驅動(第33I圖)、及n+源植入(第33J圖)。第33K、33L及33M圖分別描繪BPSG沉積、接觸蝕刻及p+重體部植入、接著為金屬化之步驟。此程序流可能具有變異。譬如,藉由重新排定部分程序步驟的次序,可在形成屏蔽 多晶矽3311的步驟之前執行形成閘多晶矽3310之程序步驟。An alternative embodiment for contacting a trench shielded polysilicon layer in the active region is shown in Figure 33A. In this embodiment, the shield polysilicon is not recessed, but extends vertically over a significant portion of the active channel until the surface of the germanium. Referring to Fig. 33A, the shield polysilicon 3311 splits the gate polysilicon 3310 into two when it extends vertically along the height of the channel 3302. The two gate polysilicon segments are connected at a suitable location inside the channel or in a third dimension as they exit the channel. An advantage of this embodiment is the area saved by creating a source polysilicon contact inside the active channel rather than using a trench-type polysilicon contact dedicated germanium space. Figures 33B through 33M show an example of a program flow for forming an active area shield contact structure of the type 33A. The etching of the channel 3302 in Fig. 33B is followed by the formation of the shield oxide 3308 shown in Fig. 33C. The shielded polysilicon 3311 is then deposited on the inside of the trench as shown in Figure 33D. The shield polysilicon 3311 is etched and recessed inside the trench as shown in Fig. 33E. Shield oxide 3308 is then etched as shown in Figure 33F, leaving an exposed portion of shielded polysilicon 3311 for forming two trenches on its sides on the inside of the trench. A thin layer of gate oxide 3308a then forms a trench across the top of the substrate, the sidewalls of the trench, and the inside of the trench as shown in Figure 33G. This is followed by gate polysilicon deposition and recession (Fig. 33H), p well implant and drive (Fig. 33I), and n+ source implantation (Fig. 33J). Figures 33K, 33L, and 33M depict steps of BPSG deposition, contact etching, and p+ heavy body implantation, followed by metallization, respectively. This program flow may have variations. For example, by rearranging the order of some of the program steps, a mask can be formed The step of forming the gate polysilicon 3310 is performed before the step of the polysilicon 3311.

已經熟知用於進行上述程序流中許多步驟之特定的程序配方及參數與其變異。對於一給定應用,可微調特定程序配方、化學作用及材料類型來增強元件的可製造性及效能。可由起始材料-亦即其頂上可供形成磊晶漂移區之基材來作出改良。在大部份的功率應用中,希望降低電晶體接通電阻RDSon 。一功率電晶體的理想接通電阻係為臨界場之一強烈函數,其中將臨界場定義為處於崩潰條件下之元件中的最大電場。如果以一具有比矽更高的臨界場之材料來製造此元件,在維持合理活動性之限制條件下,將可顯著地降低電晶體的特定接通電阻。雖然已經利用一矽基材為背景來描述至今所述的包括結構與程序等許多功率元件特性,亦可能具有使用矽以外的基材材料之其他實施例。根據一實施例,此處所述的功率元件係製造在一藉由譬如包括碳化矽(SiC)、氮化鎵(GaN)、砷化鎵(GaAs)、磷化銦(InP)、鑽石與類似物等寬帶隙材料製成之基材中。這些寬帶隙材料呈現出比矽的臨界場更高之臨界場,且可讓電晶體接通電阻具有顯著的降低。Specific program recipes and parameters and variations thereof for performing many of the steps in the above described program flow are well known. For a given application, specific program recipes, chemistries, and material types can be fine-tuned to enhance the manufacturability and performance of the component. The improvement can be made from the starting material - that is, the substrate on top of which the epitaxial drift region can be formed. In most power applications, it is desirable to reduce the transistor on-resistance R DSon . The ideal on-resistance of a power transistor is a strong function of the critical field, where the critical field is defined as the maximum electric field in the component under collapse conditions. If the component is fabricated from a material having a higher critical field than 矽, the specific on-resistance of the transistor can be significantly reduced under the constraints of maintaining reasonable mobility. While a number of power component characteristics, including structures and procedures, described so far have been described using a single substrate as a background, other embodiments of substrate materials other than tantalum may be used. According to an embodiment, the power components described herein are fabricated by, for example, including tantalum carbide (SiC), gallium nitride (GaN), gallium arsenide (GaAs), indium phosphide (InP), diamonds, and the like. In a substrate made of a wide band gap material such as a material. These wide bandgap materials exhibit a higher critical field than the critical field of germanium and can have a significant reduction in transistor on-resistance.

對於電晶體接通電阻的另一主要貢獻者係來自於漂移區的厚度及摻雜濃度。漂移區一般係由磊晶成長的矽所形成。為了降低RDSon ,需要盡量降低此磊晶漂移區的厚度。磊晶層的厚度部分地取決於起始基材的類型。譬如,一經摻雜紅磷的基材係為用於離散半導體元件之一常見類型的 起始基材材料。然而,磷原子的性質係為其可在矽中快速地擴散。因此,決定基材頂上所形成的磊晶區厚度使其可容納磷原子自下方經重度摻雜基材之往上擴散。Another major contributor to transistor on-resistance is the thickness and doping concentration from the drift region. The drift region is generally formed by epitaxially grown germanium. In order to reduce R DSon , it is necessary to minimize the thickness of this epitaxial drift region. The thickness of the epitaxial layer depends in part on the type of starting substrate. For example, a red phosphorus doped substrate is a starting substrate material commonly used for one of the discrete semiconductor components. However, the nature of the phosphorus atom is such that it can diffuse rapidly in the crucible. Therefore, the thickness of the epitaxial region formed on the top of the substrate is determined to accommodate the upward diffusion of phosphorus atoms from the underlying heavily doped substrate.

為了盡量降低磊晶層的厚度,根據第34圖所示的一實施例,一諸如砷等具有相對較小擴散性的摻雜物之磊晶間隔件或緩衝(或障壁)層3415係形成於一磷基材3414上方。經合併之經摻雜磷的基材與經摻雜砷的緩衝層係對於磊晶漂移區3406的後續成形提供了基礎。層3415中的砷摻雜物濃度係取決於元件的崩潰電壓需求,而砷磊晶層3415的厚度取決於特定的熱預算(thermal budget)。隨後,一普通的磊晶層3406可沉積在砷磊晶頂部上,其厚度由元件需求加以決定。砷遠為較低的擴散性係可讓磊晶漂移區的整體厚度降低,導致電晶體接通電阻之降低。In order to minimize the thickness of the epitaxial layer, according to an embodiment shown in FIG. 34, an epitaxial spacer or buffer (or barrier) layer 3415 of a dopant having a relatively small diffusivity such as arsenic is formed. Above the phosphorous substrate 3414. The combined phosphorus-doped substrate and the arsenic-doped buffer layer provide the basis for subsequent formation of the epitaxial drift region 3406. The concentration of arsenic dopant in layer 3415 is dependent on the breakdown voltage requirement of the component, while the thickness of arsenic epitaxial layer 3415 depends on the particular thermal budget. Subsequently, a conventional epitaxial layer 3406 can be deposited on top of the arsenic epitaxial layer, the thickness of which is determined by the component requirements. The fact that arsenic is far lower diffuse allows the overall thickness of the epitaxial drift region to decrease, resulting in a decrease in the on-resistance of the transistor.

一替代性實施例中,為了不使摻雜物物種自經重度摻雜基材往上擴散至磊晶層,在兩層之間採用一擴散障壁。根據第35圖所示的一示範性實施例,一譬如由碳化矽Six C1-X 構成之障壁層3515係磊晶沉積在硼或磷基材3514上。磊晶層3506隨後沉積在障壁層3515頂上。厚度及碳組成物可能依據處理技術的熱預算而變。或者,碳摻雜物可首先植入基材3514內,然後熱處理使碳原子活化以在基材3514表面上形成一化合物Six C1-xIn an alternative embodiment, a diffusion barrier is employed between the two layers in order not to diffuse the dopant species from the heavily doped substrate up to the epitaxial layer. According to an exemplary embodiment shown in Fig. 35, a barrier layer 3515 composed of, for example, tantalum carbide Si x C 1-X is epitaxially deposited on a boron or phosphor substrate 3514. Epitaxial layer 3506 is then deposited atop barrier layer 3515. Thickness and carbon composition may vary depending on the thermal budget of the processing technology. Alternatively, the carbon dopant may be implanted in the substrate 3514 first, and then activated to heat the carbon atoms on the surface of the substrate 3514 to form a compound of Si x C 1-x.

用來限制降低磊晶厚度能力之特定溝道電晶體技術的另一態樣係為:有時使用在主動區中且有時使用在終止區中之深體部與磊晶層之間所形成的接面。此深體部區的成 形通常係包含位於程序早期的一植入步驟。由於場氧化物及閘氧化物的成形所需要之大的後續熱預算,深體部區及漂移區上的接面係評定為具有一大範圍。為了避免晶粒邊緣處的早期崩潰,需要一遠為較厚的漂移區而導致較高的接通電阻。亦可在深體部-磊晶接面上採用一擴散障壁層來盡量降低所需要的磊晶厚度。根據第36圖所示的一示範性實施例,碳摻雜物係在進行深體部植入之前經由深體部窗植入。後續熱程序係活化碳原子以在深體部區3630的邊界上形成一層Six C1-x 化合物3615。碳化矽層3615係作為一防止硼擴散之擴散障壁。所產生的深體部接面係較淺以讓磊晶層3606厚度降低。可自一擴散障壁獲得利益之一典型溝道電晶體中的另一接面係為井-漂移區接面。一採用此障壁層之實施例的一簡化範例顯示於第37圖中。第31M圖的結構之示範性程序流中,一p井形成於第31H及31I圖所示的兩步驟之間。井摻雜物(此示範性n通路實施例中為p型)植入之前,先植入碳。後續熱程序係活化碳原子以在p井磊晶接面上形成一層3715Six C1-x 。層3715作為一擴散障壁以防止硼擴散藉以保存p井3704的深度。這有助於降低電晶體通路長度而不增高用於觸穿(reach-through)之電位。當前進空乏邊界的邊緣隨著汲-源電壓增加而觸及源接面時係發生觸穿。層3715亦藉由作為擴散障壁來防止觸穿。Another aspect of a particular channel transistor technique used to limit the ability to reduce epitaxial thickness is that it is sometimes used in the active region and sometimes between the deep body and the epitaxial layer in the termination region. The junction. The formation of this deep body region typically involves an implantation step at an early stage of the procedure. Due to the large subsequent thermal budget required for the formation of field oxides and gate oxides, the junctions in the deep body region and the drift region are rated to have a wide range. In order to avoid early collapse at the edge of the grain, a much thicker drift region is required resulting in a higher on-resistance. A diffusion barrier layer can also be used on the deep body-epitaxial junction to minimize the required epitaxial thickness. According to an exemplary embodiment illustrated in Fig. 36, the carbon dopant is implanted through the deep body window prior to the deep body implantation. The subsequent thermal process activates the carbon atoms to form a layer of Si x C 1-x compound 3615 at the boundary of the deep body region 3630. The tantalum carbide layer 3615 acts as a diffusion barrier against boron diffusion. The resulting deep body junction is shallower to reduce the thickness of the epitaxial layer 3606. One of the other junctions in a typical channel transistor that can benefit from a diffusion barrier is a well-drift junction. A simplified example of an embodiment employing this barrier layer is shown in Figure 37. In the exemplary program flow of the structure of Fig. 31M, a p well is formed between the two steps shown in Figs. 31H and 31I. Carbon is implanted prior to implantation of the well dopant (p-type in this exemplary n-channel embodiment). Subsequent thermal procedures activate the carbon atoms to form a layer of 3715Si x C 1-x on the epitaxial junction of the p-well. Layer 3715 acts as a diffusion barrier to prevent boron diffusion thereby preserving the depth of p-well 3704. This helps to reduce the length of the transistor via without increasing the potential for reach-through. At the edge of the current vacant boundary, a breakdown occurs as the 汲-source voltage increases and the source junction is touched. Layer 3715 also prevents contact through by acting as a diffusion barrier.

如上述,希望降低電晶體通路長度,因為如此將導致接通電阻減小。另一實施例中,利用磊晶成長的矽來形成井區藉以盡量降低電晶體通路長度。亦即,不使用包含植 入漂移磊晶層內、接著為一擴散步驟之用於形成井的習知方法,而是將井區形成於磊晶漂移層頂上。利用一磊晶井成形係可獲得除了通路長度較短以外之優點。經屏蔽閘溝道電晶體中,譬如,對於決定閘電荷Qgd而言,閘電極在井底部下方延伸碰到溝道之距離(閘至汲重疊)係具有關鍵意義。閘電荷Qgd直接地影響電晶體的切換速度。因此,需要能夠精確地盡量降低及控制此距離。然而,在如圖所示的井被植入及擴散至磊晶內之製程中,譬如上述的第31I圖中,將難以控制此距離。As mentioned above, it is desirable to reduce the transistor path length as this will result in a reduction in the on-resistance. In another embodiment, the epitaxially grown germanium is used to form the well region to minimize the transistor path length. That is, do not use inclusion Into the drift epitaxial layer, followed by a conventional method for forming a well in a diffusion step, the well region is formed on top of the epitaxial drift layer. The advantage of a shorter length of the passage can be obtained by using an epitaxial well forming system. In the shielded gate channel transistor, for example, for determining the gate charge Qgd, the gate electrode extends below the bottom of the well and the distance to the channel (gate to 汲 overlap) is of critical importance. The gate charge Qgd directly affects the switching speed of the transistor. Therefore, it is necessary to be able to accurately reduce and control this distance as much as possible. However, in the process in which the well as shown is implanted and diffused into the epitaxial crystal, as in the above-mentioned 31st I, it will be difficult to control this distance.

為了更良好地控制井輪廓上之閘至汲重疊,提出各種不同用於形成一具有經自我對準井之溝道元件之方法。一實施例中,一包含沉積一磊晶井之程序流係能夠使體部接面底部自我對準至閘底部。參照第38A-38D圖,顯示一具有經埋設電極(或經屏蔽閘)之經自我對準的磊晶井溝道元件的一範例之簡化程序流。一溝道3802係蝕刻至一形成於基材3814頂上之第一磊晶層3806內。對於n通路電晶體,基材3814及第一磊晶層3806係屬於n型材料。In order to better control the gate-to-汲 overlap on the well profile, various methods for forming a channel element having a self-aligned well are proposed. In one embodiment, a program flow system comprising depositing an epitaxial well enables self-alignment of the bottom of the body junction to the bottom of the gate. Referring to Figures 38A-38D, an exemplary simplified program flow of a self-aligned epitaxial well channel element having an embedded electrode (or shielded gate) is shown. A channel 3802 is etched into a first epitaxial layer 3806 formed on top of the substrate 3814. For an n-channel transistor, the substrate 3814 and the first epitaxial layer 3806 are of an n-type material.

第38A圖顯示成長於包括在溝道3802內側的磊晶層3806頂表面上之一層屏蔽介電質3808A。諸如多晶矽等傳導材料3811隨後係沉積在溝道3802內側且在磊晶台面下方回蝕,如第38B圖所示。額外的介電材料3809S係沉積以覆蓋住屏蔽多晶矽3811。介電質回蝕以清除台面之後,如第38C圖所示,一第二層磊晶3804選擇性成長在第一磊晶層3806頂上。磊晶層3804所形成的台面係如圖所示在原始溝道 3802上方生成一上溝道部。此第二磊晶層3804具有與第一磊晶層3806呈相反極性(譬如p型)之摻雜物。第二磊晶層3804中的摻雜物濃度係設定為電晶體井區之所需要位準。用於形成層3804之選擇性磊晶成長(SEG)的步驟之後,一層閘介電質3808G係形成於頂表面上且沿著溝道側壁形成。閘傳導材料(多晶矽)隨後係沉積以充填溝道3802的其餘部分,然後如第38D圖所示加以平面化。譬如在第31J到31M圖所示的程序流中繼續此程序以完成電晶體結構。Figure 38A shows a layer of shielding dielectric 3808A grown on the top surface of epitaxial layer 3806 included on the inside of trench 3802. Conductive material 3811, such as polysilicon, is then deposited inside channel 3802 and etched back below the epitaxial mesa, as shown in FIG. 38B. An additional dielectric material 3809S is deposited to cover the shielded polysilicon 3811. After the dielectric etch back to remove the mesa, as shown in FIG. 38C, a second epitaxial 3804 is selectively grown atop the first epitaxial layer 3806. The mesa formed by the epitaxial layer 3804 is as shown in the original channel An upper channel portion is generated above 3802. The second epitaxial layer 3804 has a dopant of opposite polarity (eg, p-type) to the first epitaxial layer 3806. The dopant concentration in the second epitaxial layer 3804 is set to the desired level of the transistor well region. After the step of forming a selective epitaxial growth (SEG) of layer 3804, a layer of gate dielectric 3808G is formed on the top surface and formed along the sidewalls of the channel. The gate conductive material (polysilicon) is then deposited to fill the remainder of the trench 3802 and then planarized as shown in Figure 38D. This procedure is continued, for example, in the program flow shown in Figures 31J through 31M to complete the transistor structure.

如第38D圖所示,此程序係導致與井磊晶3804呈現自我對準之閘多晶矽3810。為了使閘多晶矽3810底部降低至磊晶井3804下方,如第38C圖所示,間際多晶矽介電層3809A的頂表面可被輕微地蝕刻至溝道3802內側所需要的位置。因此,此程序係對於閘電極底部與井輪廓之間的距離提供精確的控制。熟習該技術者瞭解,SEG井成形程序並不限於一經屏蔽閘溝道電晶體而可使用在許多其他溝道閘電晶體結構中且此處已經描述其中數者。其他用於形成SEG平台結構的方法係描述於共同讓渡之麥德森(Madson)等人的美國專利案6,391,699號及布魯須(Brush)等人的6,373,098號中,各案以引用方式整體併入本文中。As shown in Fig. 38D, this procedure results in a gate polysilicon 3810 that is self-aligned with well epitaxy 3804. To lower the bottom of the gate polysilicon 3810 below the epitaxial well 3804, as shown in FIG. 38C, the top surface of the interstitial polysilicon dielectric layer 3809A can be slightly etched to the desired location inside the trench 3802. Therefore, this procedure provides precise control of the distance between the bottom of the gate electrode and the well profile. It is understood by those skilled in the art that the SEG well forming procedure is not limited to a shielded gate channel transistor and can be used in many other trench gate transistor structures and many of which have been described herein. Other methods for forming the SEG platform structure are described in U.S. Patent No. 6,391,699 to Madson et al., and Bruker et al. 6,373,098, each of which is incorporated by reference in its entirety. Incorporated herein.

一基於自我對準用途來控制井的角落之替代性方法並不仰賴SEG井資訊,而是採用一包含斜角狀井植入之程序。第39A及39B圖顯示此實施例的一示範性程序流。並非譬如第31H及31I圖所示在溝道充填有閘多晶矽之後形成井,此實施例中,在屏蔽多晶矽嵌入溝道3902內側的介電 層3908內之後及溝道的其餘部分被充填之前,進行處於一給定部分劑量的一第一井植入3905。隨後如第39B圖所示經由溝道3902的側壁進行一第二但呈斜角狀的井植入。然後完成驅動循環以對於溝道角落上之井至漂移磊晶介面獲得所需要的輪廓。植入劑量、能量及驅動循環的特定細節將依據元件的結構性需求而變。此技術可使用在數種不同的元件類型中。一替代性實施例中,溝道間距及角度植入體係受到調整,藉以當角度植入體擴散時,使其合併來自一鄰近晶胞的區以形成一連續井,而不需要第一井植入。An alternative approach to controlling the corners of a well based on self-aligned use does not rely on SEG well information, but rather a procedure involving the implantation of a beveled well. Figures 39A and 39B show an exemplary program flow of this embodiment. It is not the case that the well is formed after the channel is filled with the gate polysilicon as shown in FIGS. 31H and 31I. In this embodiment, the dielectric of the shield polysilicon is embedded inside the channel 3902. A first well implant 3905 at a given portion of the dose is performed after layer 3908 and before the remainder of the channel is filled. A second, but beveled, well implant is then performed through the sidewalls of the channel 3902 as shown in FIG. 39B. The drive cycle is then completed to obtain the desired profile for the well to drift epitaxial interface on the corners of the channel. The specific details of the implant dose, energy, and drive cycle will vary depending on the structural requirements of the component. This technique can be used in several different component types. In an alternative embodiment, the channel spacing and angle implant system is adjusted such that when the angle implant is diffused, it merges regions from an adjacent unit cell to form a continuous well without the need for a first well In.

一用於形成一溝道元件之經自我對準磊晶井程序的另一實施例係連同第40A至40E圖加以描述。如上述,為了降低閘至汲電容,部分溝道閘電晶體係採用一在閘多晶矽下方的溝道底部處比沿著內垂直側壁的介電層更厚之閘介電層。根據第40A至40E圖所示的示範性程序實施例,一介電層4008B係首先形成於一磊晶漂移層4006頂上,如第40A圖所示。介電層4208B形成溝道底部所需要的厚度,並隨後被蝕刻而如第40B圖所示留下具有與隨後所形成的溝道呈相同寬度之介電柱。接著,第40C圖中,進行一選擇性磊晶成長步驟以在介電柱4008B周圍形成一第二磊晶漂移層4006-1。第二漂移磊晶層4006-1係與第一磊晶漂移層4006具有相同的傳導類型且可能由相同材料製成。或者,可能對於第二磊晶漂移層4006-1使用其他類型的材料。一示範性實施例中,第二漂移磊晶層4006-1係由一承受一矽化鍺(Six Ge1-x )合金之SEG步驟所形成。SiGe合金係改良了接近 溝道底部的累積區之載體活動性。這改良了電晶體的切換速度並降低RDSon 。亦可能使用諸如GaAs或GaN等其他化合物。Another embodiment of a self-aligned epitaxial well procedure for forming a channel element is described in conjunction with Figures 40A through 40E. As described above, in order to reduce the gate-to-tantalum capacitance, the partial channel gate transistor system employs a gate dielectric layer that is thicker at the bottom of the channel below the gate polysilicon than the dielectric layer along the inner vertical sidewall. According to the exemplary program embodiment shown in FIGS. 40A through 40E, a dielectric layer 4008B is first formed on top of an epitaxial drift layer 4006, as shown in FIG. 40A. Dielectric layer 4208B forms the desired thickness of the bottom of the trench and is subsequently etched to leave a dielectric post having the same width as the subsequently formed trench as shown in FIG. 40B. Next, in FIG. 40C, a selective epitaxial growth step is performed to form a second epitaxial drift layer 4006-1 around the dielectric pillar 4008B. The second drift epitaxial layer 4006-1 has the same conductivity type as the first epitaxial drift layer 4006 and may be made of the same material. Alternatively, other types of materials may be used for the second epitaxial drift layer 4006-1. In an exemplary embodiment, the second drift epitaxial layer 4006-1 is formed by a SEG step that is subjected to a germanium telluride (Si x Ge 1-x ) alloy. The SiGe alloy system improves carrier mobility near the accumulation region at the bottom of the channel. This improves the switching speed of the transistor and reduces R DSon . Other compounds such as GaAs or GaN may also be used.

一毯覆磊晶井層4004隨後係形成於頂表面上,然後蝕刻以形成溝道4002,分別如第40D及40E圖所示。接著係為閘氧化物成形及閘多晶矽沉積(未圖示)。所產生的結構係為一具有一經自我對準磊晶井之溝道閘。可使用習知的處理技術來完成其餘的程序步驟。熟習該技術者瞭解可能具有變異。譬如,並不形成一毯覆磊晶井層4004且隨後蝕刻溝道4002,磊晶井4002可以只選擇性成長在第二漂移磊晶層4006-1頂上而在其成長時形成溝道4002。A blanket epitaxial layer 4004 is then formed on the top surface and then etched to form the trench 4002 as shown in Figures 40D and 40E, respectively. This is followed by gate oxide formation and gate polysilicon deposition (not shown). The resulting structure is a channel gate with a self-aligned epitaxial well. The remaining processing steps can be accomplished using conventional processing techniques. Those skilled in the art understand that there may be variations. For example, instead of forming a blanket epitaxial well layer 4004 and subsequently etching the trench 4002, the epitaxial well 4002 can selectively grow only on top of the second drift epitaxial layer 4006-1 to form the trench 4002 as it grows.

上述各種不同處理技術係著重在井區的成形以降低通路長度及RDSon ,藉以增強元件效能。可藉由改良程序流的態樣來達成類似的增強。譬如,可藉由降低基材厚度來進一步降低元件電阻。因此,通常進行一晶圓薄化程序藉以降低基材的厚度。一般藉由機械研磨及卷帶程序來進行晶圓薄化。研磨及卷帶程序係將機械力施加至晶圓上而會對於晶圓表面造成損傷,導致製造問題。The various processing techniques described above focus on the formation of the well region to reduce the path length and R DSon to enhance component performance. Similar enhancements can be achieved by improving the pattern of program flow. For example, the element resistance can be further reduced by reducing the thickness of the substrate. Therefore, a wafer thinning process is usually performed to reduce the thickness of the substrate. Wafer thinning is generally performed by mechanical polishing and tape winding procedures. The grinding and tape-drawing process applies mechanical force to the wafer which can cause damage to the wafer surface, causing manufacturing problems.

下述的一實施例中,一經改良的晶圓薄化程序係顯著地降低基材電阻。第40R、40S、40T及40U圖中顯示一用於降低基材厚度之方法。所需要的電路製造在一晶圓上之後,可供製造電路之晶圓頂部係暫時地結合至一載體。第40R圖顯示一經完成晶圓4001且其藉由一結合材料4003結合至一載體4005。經完成晶圓的背側隨後係利用一諸如研 磨、化學蝕刻或類似方式等程序拋光至所需要的厚度。第40S圖顯示已經薄化所完成晶圓4001之與第40R圖相同的嵌夾物。晶圓4001的背側拋光之後,晶圓背側係如第40T圖所示結合至一低電阻(譬如金屬)晶圓4009。可利用譬如在溫度與壓力下採用一薄塗層的銲料4007將金屬晶圓4009結合至經薄化完成晶圓4001之習知方法來達成此作用。載體4005隨後被移除,且經薄化完成晶圓4001的頂表面在進一步處理之前受到清理。高度傳導性金屬基材4009係利於散熱、降低電阻並對於經薄化晶圓提供機械強度。In one embodiment described below, an improved wafer thinning process significantly reduces substrate resistance. A method for reducing the thickness of a substrate is shown in Figures 40R, 40S, 40T and 40U. After the required circuitry is fabricated on a wafer, the top of the wafer that can be used to fabricate the circuit is temporarily bonded to a carrier. Figure 40R shows once wafer 4001 is completed and bonded to a carrier 4005 by a bonding material 4003. After the completion of the back side of the wafer, a A program such as grinding, chemical etching or the like is polished to the desired thickness. Fig. 40S shows that the wafer 4001 that has been thinned has the same inlay as the 40R. After the back side of the wafer 4001 is polished, the backside of the wafer is bonded to a low resistance (e.g., metal) wafer 4009 as shown in FIG. 40T. This effect can be achieved by, for example, bonding a metal wafer 4009 to a thinned wafer 4001 using a thin coating of solder 4007 under temperature and pressure. The carrier 4005 is then removed and the top surface of the wafer 4001 is cleaned prior to further processing by thinning. The highly conductive metal substrate 4009 facilitates heat dissipation, reduces electrical resistance, and provides mechanical strength to the thinned wafer.

一替代性實施例係利用一化學程序來進行最後薄化步驟以達成較薄的晶圓,而無習知機械程序之缺陷。根據此實施例,主動元件係形成於一厚玻璃覆矽(SOTG:silicon-on-thick-glass)基材的矽層中。在研磨階段,可利用在SOTG基材背側上將玻璃化學蝕除的方式藉以薄化晶圓。第41圖描述根據此實施例之一示範性程序流。從一矽基材開始,首先在步驟4110,一諸如He或H2 等摻雜物係植入矽基材內。然後,在4112,矽基材結合至一玻璃基材。可使用不同的結合程序。一範例中,一矽晶圓及一玻璃晶圓係被嵌夾且加熱到約譬如400℃以結合兩基材。玻璃譬如可為二氧化矽及類似物,且可具有譬如約600微米的厚度。接著係為4114中之矽基材的一選擇性壁切及形成SOTG基材。為了保護基材在操作及後續處理期間不受應力,結合程序可重覆進行以在基材另一側上形成SOTG層(步驟4116)。一磊晶層接著沉積在基材的矽表面上(步驟4118)。 除了前側外可在背側上進行此作用。背側磊晶的摻雜水準較佳係類似於背側矽,但前側磊晶係依據元件所需要加以摻雜。基材隨後在用以將主動元件形成於前側矽層上之製程中受到各種不同步驟。An alternative embodiment utilizes a chemical process to perform the final thinning step to achieve a thinner wafer without the drawbacks of conventional mechanical procedures. According to this embodiment, the active component is formed in a layer of a SOG (silicon-on-thick-glass) substrate. In the grinding stage, the wafer can be thinned by chemically etching the glass on the back side of the SOTG substrate. Figure 41 depicts an exemplary program flow in accordance with one such embodiment. Starting from a silicon substrate, first in step 4110, such as a He, H 2, based dopant implanted into the silicon substrate. Then, at 4112, the tantalum substrate is bonded to a glass substrate. Different combination procedures can be used. In one example, a stack of wafers and a glass wafer are clamped and heated to about 400 ° C to bond the two substrates. The glass can be, for example, cerium oxide and the like, and can have a thickness of, for example, about 600 microns. This is followed by a selective wall cut of the tantalum substrate in 4114 and formation of the SOTG substrate. To protect the substrate from stress during handling and subsequent processing, the bonding process can be repeated to form a SOTG layer on the other side of the substrate (step 4116). An epitaxial layer is then deposited on the surface of the substrate (step 4118). This effect can be performed on the back side except for the front side. The doping level of the dorsal epitaxial layer is preferably similar to that of the dorsal side, but the front side epitaxial system is doped as required by the element. The substrate is then subjected to a variety of different steps in the process for forming the active component on the front side layer.

一實施例中,為了進一步增強基材承受前側處理步驟所導入的應力之強度,背側基材可被圖案化以近似前側晶粒框架的一倒反結構。利用此方式,玻璃基材係蝕刻成一格柵以幫助薄基材在晶圓中維持應力。研磨時,首先,來自背側的矽層係藉由一習知研磨程序加以移除(步驟4120)。接著係為另一用於移除玻璃一部分(譬如一半)之研磨步驟4122。玻璃的剩餘部分隨後係藉由一譬如使用氫氟酸的化學蝕刻程序加以移除。可進行背側玻璃的蝕刻而不具有對於主動矽層造成侵襲或機械損傷之危險。藉此不再需要將晶圓上卷帶,故免除了卷帶與再卷帶設備以及與各該等操作相關之程序危險。為此,此程序係允許進一步將基材厚度盡量降低以增強元件效能。請瞭解此經改良的晶圓薄化程序可能具有許多變異。譬如,依據最後基材的所需要厚度而定,薄化步驟可能包含或不包含研磨且化學蝕刻可能已經足夠。並且,經改良的晶圓薄化程序係不限於離散元件的處理而可使用在其他類型元件之處理中。其他晶圓薄化程序係描述於共同讓渡之普力契(Pritchett)的美國專利案6,500,764號中,該案以引用方式整體併入本文中。In one embodiment, to further enhance the strength of the substrate to withstand the stress introduced by the front side processing step, the backside substrate can be patterned to approximate an inverted structure of the front side grain frame. In this manner, the glass substrate is etched into a grid to help the thin substrate maintain stress in the wafer. When grinding, first, the ruthenium layer from the back side is removed by a conventional grinding procedure (step 4120). This is followed by another grinding step 4122 for removing a portion of the glass, such as half. The remainder of the glass is then removed by a chemical etching procedure such as the use of hydrofluoric acid. The etching of the backside glass can be performed without the risk of invasive or mechanical damage to the active layer. This eliminates the need to reel the wafer, thereby eliminating the risk of tape and rewinding equipment and the procedural processes associated with each of these operations. To this end, this procedure allows for further reduction in substrate thickness to enhance component performance. Please understand that this improved wafer thinning process can have many variations. For example, depending on the desired thickness of the final substrate, the thinning step may or may not include grinding and chemical etching may be sufficient. Moreover, the improved wafer thinning process is not limited to the processing of discrete components and can be used in the processing of other types of components. Other wafer thinning procedures are described in U.S. Patent No. 6,500,764, the entire disclosure of which is incorporated herein by reference.

具有數種可顯著地影響效能之功率電晶體及其他功率元件的其他結構性及處理態樣。溝道的形狀係為一範例。 為了降低傾向於集中在溝道角落周圍之潛在損害性電場,需要避免尖銳角落而是形成具有圓滑角落的溝道。為了改良可靠度,亦需要具有呈現平坦表面之溝道側壁。不同的蝕刻化學作用係提供了諸如下列數種回應之間的取捨關係:矽蝕刻速率、對於罩幕層的敏感度、蝕刻輪廓(側壁角度)、頂角落圓滑化、側壁粗糙度、及溝道底部的圓滑化。 一譬如SF6 等含氟化學作用係提供高的矽蝕刻速率(大於1.5微米/分鐘)、圓滑的溝道底部、及一直線狀輪廓。含氟化學作用的缺陷係為粗糙的側壁及難以控制溝道頂部(可為凹腔)。一譬如Cl2 等含氯化學作用係提供較平坦的側壁、及溝道頂部與蝕刻輪廓之較良好控制。含氯化學作用之取捨關係在於較低的矽蝕刻速率(小於1.0微米/分鐘)、及較小之溝道底部圓滑化作用。There are several other structural and processing aspects of power transistors and other power components that can significantly affect performance. The shape of the channel is an example. In order to reduce potentially damaging electric fields that tend to concentrate around the corners of the channel, it is necessary to avoid sharp corners but to form channels with rounded corners. In order to improve reliability, it is also desirable to have a channel sidewall that presents a flat surface. Different etch chemistries provide trade-offs between several such responses: 矽 etch rate, sensitivity to mask layer, etch profile (sidewall angle), top corner rounding, sidewall roughness, and channel The bottom is rounded. A fluorochemical system such as SF 6 provides a high enthalpy etch rate (greater than 1.5 microns/min), a smooth channel bottom, and a linear profile. Fluorine-containing chemical defects are rough sidewalls and it is difficult to control the top of the channel (which can be a cavity). A chlorine-containing chemistry such as Cl 2 provides better control of the flatter sidewalls, and the top of the trench and the etch profile. The trade-off relationship between chlorine-containing chemistry is a lower ruthenium etch rate (less than 1.0 micron/min) and a smaller channel bottom rounding effect.

可將額外氣體添加至各化學作用以在蝕刻期間幫助鈍化側壁。利用側壁鈍化來盡量減少側向蝕刻,同時蝕刻至所需要的溝道深度。可利用額外的處理步驟來撫平溝道側壁,並達成溝道底部及頂角落的圓滑化。溝道側壁的表面品質因為會影響一可成長在溝道側壁上之氧化物層的品質所以很重要。不論使用何種化學作用,通常在主蝕刻步驟之前使用一突破步驟。突破步驟之目的在於移除可能在主蝕刻步驟期間罩幕住矽的蝕刻之矽表面上的任何原生氧化物。典型的突破蝕刻化學作用係包含CF4 或Cl2Additional gas can be added to each chemistry to help passivate the sidewalls during etching. Sidewall passivation is utilized to minimize lateral etching while etching to the desired channel depth. Additional processing steps can be utilized to smooth the channel sidewalls and achieve rounding of the bottom and top corners of the trench. The surface quality of the trench sidewalls is important because it affects the quality of an oxide layer that can grow on the sidewalls of the trench. Regardless of the chemistry used, a breakthrough step is typically used prior to the main etch step. The purpose of the breakthrough step is to remove any native oxide on the etched surface of the etch that may be exposed during the main etch step. A typical breakthrough etch chemistry consists of CF 4 or Cl 2 .

第42A圖所示的一經改良蝕刻程序之一實施例係採用一氯基主矽溝道蝕刻,接著為一氟基蝕刻步驟。此程序的 一範例係採用Cl2 /HBr主蝕刻步驟,接著為一SF6 蝕刻步驟。利用含氯步驟來將主溝道蝕刻至所需要深度的一部分。這界定了具有部分推拔程度且具有平坦側壁之溝道輪廓。利用後續的含氟步驟來蝕刻溝道深度的其餘部分,使溝道底部圓滑化,並進一步撫平溝道側壁上之任何垂懸的矽結合部。含氟蝕刻步驟較佳係在相對較低的氟流率、低壓力及低功率進行以控制撫平及圓滑化。由於兩蝕刻化學作用之間的蝕刻速率差異,可平衡兩步驟的時間以藉由一可接受的整體蝕刻時間來達成較可靠且可製造的程序,同時維持所需要的溝道輪廓、側壁粗糙度及溝道底部圓滑化。One embodiment of an improved etch process illustrated in Figure 42A employs a chlorine-based main channel etching followed by a fluorine-based etching step. An example of this procedure is the Cl 2 /HBr main etch step followed by an SF 6 etch step. A chlorine containing step is used to etch the main trench to a portion of the desired depth. This defines a channel profile with a partial degree of push and a flat sidewall. The subsequent fluorine-containing step is used to etch the remainder of the channel depth to round the bottom of the trench and further smooth any hanging ruthenium bonds on the sidewalls of the trench. The fluorine-containing etching step is preferably performed at a relatively low fluorine flow rate, low pressure, and low power to control smoothing and smoothing. Due to the difference in etch rate between the two etch chemistries, the two-step time can be balanced to achieve a more reliable and manufacturable process with an acceptable overall etch time while maintaining the desired channel profile, sidewall roughness And the bottom of the channel is rounded.

第42B圖所示的另一實施例中,一經改良之用於矽蝕刻的方法係包括一氟基主蝕刻步驟,接著係為一氯基第二蝕刻步驟。此程序的一範例係使用一SF6 /O2 主蝕刻,接著為一Cl2 步驟。利用氟步驟來蝕刻主溝道的大部份深度。此步驟產生一具有直線狀側壁及一圓滑溝道底部之溝道。可將氧選擇性添加至此步驟以提供側壁鈍化,並藉由降低側向蝕刻來幫助維持一直線狀側壁。一氯後續步驟係使溝道頂角落圓滑化並降低側壁的粗糙度。氟步驟的高矽蝕刻速率係藉由增加蝕刻系統的產出來提高此程序的可製造性。In another embodiment, illustrated in Figure 42B, a modified method for ruthenium etching includes a fluorine-based main etch step followed by a chloro-based second etch step. An example of this procedure uses an SF 6 /O 2 main etch followed by a Cl 2 step. A fluorine step is used to etch most of the depth of the main channel. This step produces a channel having linear sidewalls and a rounded channel bottom. Oxygen can be selectively added to this step to provide sidewall passivation and help maintain a linear sidewall by reducing lateral etching. The subsequent step of monochlorination smoothes the top corner of the channel and reduces the roughness of the sidewall. The high etch rate of the fluorine step increases the manufacturability of the process by increasing the output of the etch system.

如第42C圖所示,另一實施例中,藉由將氬添加至一氟基化學作用來獲得一經改良的矽蝕刻程序。根據此實施例的主蝕刻步驟所使用之一化學作用的一範例係為SF6 /O2 /Ar。藉由使氬添加至蝕刻步驟將可增加離子轟擊且因此使蝕刻更為具體。這有助於控制溝道頂部,並解除溝 道頂部成為凹腔之趨勢。添加氬亦可增加溝道底部的圓滑化。對於側壁撫平可能需要一額外的蝕刻程序。As shown in Fig. 42C, in another embodiment, an improved ruthenium etch process is obtained by adding argon to a fluoro group chemistry. An example of one of the chemistries used in the main etching step according to this embodiment is SF 6 /O 2 /Ar. By adding argon to the etching step, ion bombardment can be increased and thus the etching is made more specific. This helps control the top of the channel and relieves the top of the channel from becoming a cavity. The addition of argon also increases the rounding of the bottom of the channel. An additional etching procedure may be required for sidewall smoothing.

用於一經改良矽蝕刻程序之一替代性實施例係使用一氟基化學作用,且其自主蝕刻步驟的起點開始移除氧,如第42D圖所示。此程序的一範例係使用一SF6 步驟,接著係為一SF6 /O2 步驟。蝕刻的第一階段中,由於未出現O2 而缺乏側壁鈍化。這導致溝道頂部之側向蝕刻量的增加。然後,第二蝕刻步驟,SF6 /O2 ,係以一直線狀輪廓繼續蝕刻溝道深度的其餘部分,且有一圓滑的溝道底部。這導致一在頂部較寬之溝道結構,有時稱為T溝道。採用T溝道結構之元件的範例係詳細地描述於共同讓渡之海瑞克(Robert Herrick)的名稱為“用於形成具有經自我對準特性的溝道MOSFET之結構及方法”的美國專利申請案No.10/442,670號(事務所案號18865-131/17732-66850),該案以引用方式整體併入本文中。可調整兩主蝕刻步驟的時間長度以對於T溝道的各部分(頂T部、底直線側壁部)達成所需要之深度。可利用額外處理來打圓T溝道的頂角落並撫平溝道側壁。這些額外的方法可譬如包括:(1)溝道蝕刻配方終點之一氟基步驟,或(2)一分離的蝕刻系統上之一分離的氟基蝕刻,或(3)一可犧牲性氧化物,或任何其他的組合。可使用一化學機械平面化(CMP)步驟來移除溝道輪廓的頂凹腔部。亦可使用一H2 退火來幫助溝道輪廓變得圓滑並產生有利的斜率。An alternative embodiment for an improved ruthenium etch process uses a fluoro-based chemistry and the beginning of its autonomous etch step begins to remove oxygen, as shown in Figure 42D. An example of this procedure uses an SF 6 step followed by an SF 6 /O 2 step. In the first stage of etching, sidewall passivation is lacking due to the absence of O 2 . This results in an increase in the amount of lateral etching of the top of the channel. Then, a second etching step, SF 6 /O 2 , continues to etch the remainder of the channel depth in a straight line profile with a rounded channel bottom. This results in a wider channel structure at the top, sometimes referred to as a T-channel. An example of a component employing a T-channel structure is described in detail in the US patent entitled "Structure and Method for Forming a Channel MOSFET with Self-Aligned Characteristics" by Robert Herrick. Application No. 10/442,670 (office number 18865-131/17732-66850), which is incorporated herein in its entirety by reference. The length of time of the two main etching steps can be adjusted to achieve the desired depth for each portion of the T-channel (top T portion, bottom straight sidewall portion). Additional processing can be utilized to round the top corners of the T-channel and smooth the channel sidewalls. These additional methods may include, for example: (1) a fluorine-based step of the channel etch recipe endpoint, or (2) a separate fluorine-based etch on a separate etch system, or (3) a sacrificial oxide , or any other combination. A chemical mechanical planarization (CMP) step can be used to remove the top cavity portion of the channel profile. An H 2 anneal can also be used to help the channel profile become rounded and produce a favorable slope.

對於傾向於具有較深溝道之高電壓應用,具有額外考量。譬如,由於具有較深溝道,對於產生可製造性程序來 說,矽蝕刻速率係很重要。因為含氯蝕刻化學作用太緩慢,此應用的蝕刻化學作用通常係為一含氟化學作用。並且,需要一直線狀至推拔狀的溝道輪廓,且具有平坦側壁。由於溝道深度之故,蝕刻程序亦需對於罩幕層具有優良敏感度。如果敏感度不良則需要一較厚的罩幕層,而增加特性的整體尺寸比。側壁鈍化亦很關鍵;需達成微妙的平衡。側壁鈍化過大將造成溝道底部窄化到關閉的程度;側壁鈍化過小將導致增大的側向蝕刻。For high voltage applications that tend to have deeper channels, there are additional considerations. For example, due to the deeper channel, for the process of producing manufacturability It is said that the etch rate is very important. Because the chlorination etch chemistry is too slow, the etch chemistry of this application is typically a fluorochemical. Also, a channel profile that is linear to push-out is required and has a flat sidewall. Due to the depth of the channel, the etching process also requires excellent sensitivity to the mask layer. If the sensitivity is poor, a thicker mask layer is needed, and the overall size ratio of the characteristics is increased. Side passivation is also critical; a delicate balance is required. Excessive sidewall passivation will cause the bottom of the trench to narrow to the extent of closure; too small sidewall passivation will result in increased lateral etching.

一實施例中,提供一可最佳地平衡所有這些要求之深溝道蝕刻程序。如第42E圖所示,根據此實施例,蝕刻程序係包括一具有斜增(ramped)的O2 、斜增功率、及/或斜增壓力之氟基化學作用。一示範性實施例係以在整體蝕刻中維持蝕刻輪廓及矽蝕刻速率的方式來使用一蝕刻步驟。利用使O2 斜增,可在整體蝕刻中控制側壁鈍化量以避免增加的側向蝕刻(鈍化太少之案例中)或鉗除溝道底部(鈍化太多之案例中)。使用具有斜增氧氣流之氟基蝕刻的範例係詳細地描述於共同擁有之名稱為“具有增量式氧氣流之積體電路溝道蝕刻”的美國專利案6,680,232號,該案以引用方式併入本文中。功率及壓力的斜增將有助於控制離子通量密度並有助於矽蝕刻速率。如果當溝道蝕刻更深時矽蝕刻速率在蝕刻期間顯著地減小,總蝕刻時間將增長。這將對於蝕刻機上的程序導致低的晶圓產出。並且,O2 的斜增可能有助於控制對於罩幕材料的敏感度。對於譬如比10微米更深的溝道之根據此實施例的一示範性程序係可在10-20瓦特每 分鐘的功率水準及2-3 mT每分鐘的壓力水準下具有3到5 sccm每分鐘之O2 流率。In one embodiment, a deep trench etch process that optimally balances all of these requirements is provided. As shown in FIG. 42E, in accordance with this embodiment, the etching process includes a fluorine-based chemistry having ramped O 2 , ramping power, and/or ramping pressure. An exemplary embodiment uses an etch step in a manner that maintains an etch profile and a ruthenium etch rate during the overall etch. By ramping O 2 , the amount of sidewall passivation can be controlled in the overall etch to avoid increased lateral etch (in the case of too little passivation) or to clamp the bottom of the trench (in the case of too much passivation). An example of the use of a fluorine-based etch with a ramp-enhanced oxygen stream is described in detail in commonly-owned U.S. Patent No. 6,680,232, entitled "Integrated Circuit Channel Etching with Incremental Oxygen Flow," which is incorporated by reference. Into this article. The ramping in power and pressure will help control ion flux density and contribute to the etch rate. If the erbium etch rate is significantly reduced during etching as the channel etches deeper, the total etch time will increase. This will result in low wafer yield for the process on the etch machine. Also, the ramp up of O 2 may help control the sensitivity to the mask material. An exemplary procedure according to this embodiment for a channel deeper than 10 microns can have 3 to 5 sccm per minute at a power level of 10-20 watts per minute and a pressure level of 2-3 mT per minute. O 2 flow rate.

一深溝道蝕刻程序的一替代性實施例係使用一諸如NF3 等較具侵略性的氟基化學作用。因為對於矽蝕刻而言NF3 比SF6 更具反應性,藉由NF3 程序可達成增高的矽蝕刻速率。可能需對於側壁鈍化及輪廓控制添加額外的氣體。An alternative a deep trench etch process using an embodiment of the system, such as a fluorine-based NF 3 chemistry more aggressive. Since NF 3 is more reactive than SF 6 for germanium etching, an increased germanium etch rate can be achieved by the NF 3 procedure. Additional gas may need to be added for sidewall passivation and contour control.

另一實施例中,一NF3 蝕刻步驟之後係為一SF6 /O2 程序。根據此實施例,利用NF3 步驟以高的矽蝕刻速率來蝕刻大部份的溝道深度。然後,利用SF6 /O2 蝕刻步驟來鈍化既存的溝道側壁,並蝕刻溝道深度的其餘部分。第42F圖所示之此實施例的一變異中,NF3 及SF6 /O2 蝕刻步驟以交替方式進行。如此產生一比直線SF6 /O2 程序具有更高矽蝕刻速率之程序。其在一快速蝕刻速率步驟(NF3 )與一供輪廓控制以產生側壁鈍化(SF6 /O2 )之步驟之間取得平衡。步驟的平衡係可控制側壁的粗糙度。亦可能需要將蝕刻的SF6 /O2 部分之壓力、功率及O2 加以斜增來維持矽蝕刻速率,並產生足夠的側壁鈍化以幫助控制蝕刻輪廓。熟習該技術者瞭解,連同上述實施例所描述的各種不同步驟係可用不同方式合併以達成最佳的溝道蝕刻程序。亦瞭解這些溝道蝕刻程序可使用於此處所述的任何功率元件中之任何溝道,亦可使用於其他類型積體電路中所用之任何其他類型的溝道。In another embodiment, an NF 3 etching step is followed by an SF 6 /O 2 procedure. According to this embodiment, most of the channel depth is etched at a high erbium etch rate using the NF 3 step. The SF 6 /O 2 etch step is then used to passivate the existing trench sidewalls and etch the rest of the trench depth. In a variation of this embodiment illustrated in Figure 42F, the NF 3 and SF 6 /O 2 etching steps are performed in an alternating manner. This produces a program that has a higher etch rate than the linear SF 6 /O 2 program. It strikes a balance between a fast etch rate step (NF 3 ) and a step for contour control to create sidewall passivation (SF 6 /O 2 ). The balance of the steps controls the roughness of the sidewalls. It may also be desirable to ramp the pressure, power, and O 2 of the etched SF 6 /O 2 portion to maintain the erbium etch rate and create sufficient sidewall passivation to help control the etch profile. Those skilled in the art will appreciate that the various steps described in connection with the above embodiments can be combined in different ways to achieve an optimal channel etch process. It is also understood that these channel etch procedures can be used for any of the power devices described herein, as well as for any other type of channel used in other types of integrated circuits.

溝道蝕刻程序之前,一溝道蝕刻罩幕形成於矽表面上且被圖案化以暴露出將被溝道化之區域。如第43A圖所示,一典型元件中,溝道蝕刻在蝕刻矽基材之前係首先蝕刻經 過一層氧化物4305及另一薄層的墊氧化物4303。在一氧化物層成形於溝道中的期間,溝道形成之後,墊氧化物4303亦可成長在溝道邊緣上而提升鋪覆的氮化物層。墊氧化物在氧化物層4305底下局部地成長於接近溝道邊緣時,這導致一常稱為“鳥喙”的結構4307。後續將呈鳥喙結構之在墊氧化物底下形成於溝道邊緣旁邊之源區係在接近溝道處較淺。這是非常不良的作用。為了消除鳥喙效應,一實施例中,如第43B圖所示,一層諸如多晶矽4309等非氧化性材料係嵌夾在氧化物4305與墊氧化物4303之間。多晶矽層4309可防止墊氧化物4303在後續溝道氧化物成形期間進一步氧化。另一實施例中,如第44A圖所示,蝕刻經過氮化物層4405及墊氧化物4403而界定溝道開口之後,一薄層諸如氮化物等的非氧化性材料4405-1係形成於表面結構上。保護層4405-1隨後自水平表面移除而沿著氮化物-墊氧化物結構垂直邊緣留下間隔件,如第44B圖所示。氧化物間隔件係保護墊氧化物4403在後續步驟期間不受進一步氧化而降低鳥喙效應。一替代性實施例中,為了降低任何鳥喙成形的程度,可合併第43B及44B圖所示的實施例兩者。亦即,除了第44A及44B圖所描述程序導致之間隔件外,可將一層多晶矽嵌夾在墊氧化物與鋪覆的氧化物之間。可能具有其他變異,譬如包括添加另一層(譬如氧化物)於氮化物頂上以幫助蝕刻矽溝道時之氮化物選擇性。Prior to the trench etch process, a trench etch mask is formed on the surface of the crucible and patterned to expose the areas to be channelized. As shown in Fig. 43A, in a typical device, the trench etch is first etched before etching the germanium substrate. Pass a layer of oxide 4305 and another thin layer of pad oxide 4303. After the oxide layer is formed in the trench, after the trench is formed, the pad oxide 4303 may also grow on the edge of the trench to lift the deposited nitride layer. The pad oxide locally grows near the edge of the channel under the oxide layer 4305, which results in a structure 4307 commonly referred to as "guanine." Subsequent to the guanine structure, the source region formed beside the edge of the channel under the pad oxide is shallower near the channel. This is a very bad effect. In order to eliminate the guanine effect, in one embodiment, as shown in Fig. 43B, a layer of non-oxidizing material such as polysilicon 4309 is sandwiched between oxide 4305 and pad oxide 4303. The polysilicon layer 4309 prevents pad oxide 4303 from further oxidizing during subsequent channel oxide formation. In another embodiment, as shown in FIG. 44A, after etching the nitride layer 4405 and the pad oxide 4403 to define the channel opening, a thin layer of non-oxidizing material 4405-1 such as nitride is formed on the surface. Structurally. The protective layer 4405-1 is then removed from the horizontal surface leaving a spacer along the vertical edge of the nitride-pad oxide structure, as shown in FIG. 44B. The oxide spacer is the protective pad oxide 4403 which is not subject to further oxidation during subsequent steps to reduce the guanine effect. In an alternative embodiment, to reduce the extent of any guanine formation, both embodiments of Figures 43B and 44B may be combined. That is, in addition to the spacers resulting from the procedures described in Figures 44A and 44B, a layer of polycrystalline germanium may be sandwiched between the pad oxide and the overlying oxide. There may be other variations, such as the addition of another layer (such as an oxide) on top of the nitride to help etch the nitride selectivity of the germanium channel.

如同上文連同具有經屏蔽閘結構之各種不同電晶體所描述,一層介電材料係隔離屏蔽電極與閘電極。有時稱為 間際多晶矽介電質或IPD之此電極間介電層係必須以強固且可靠的方式形成使其可承受可能存在於屏蔽電極與閘電極之間的電位差異。再度參照第31E、31F及31G圖,顯示相關程序步驟的簡化流程。溝道內側的屏蔽多晶矽3111回散之後(第31E圖),屏蔽介電層3108係回蝕至與屏蔽多晶矽3111相同的位準(第31F圖)。閘介電層3108a隨後係如第31G圖所示形成於矽的頂表面上。正是此步驟形成IPD層。屏蔽介電質凹入蝕刻之人為現象係在於:淺槽成形於留在屏蔽電極任一側上之屏蔽介電質的頂表面上。這顯示於第45A圖中。所產生之具有不平整拓樸的結構特別是對於後續充填步驟可能造成正形性(conformality)問題。為了消除此等問題,提出各種不同經改良之用於形成IPD之方法。As described above in connection with various different transistors having a shielded gate structure, a layer of dielectric material isolates the shield electrode from the gate electrode. Sometimes called The inter-electrode dielectric layer of the interstitial polysilicon dielectric or IPD must be formed in a strong and reliable manner to withstand potential differences that may exist between the shield electrode and the gate electrode. Referring again to Figures 31E, 31F and 31G, a simplified flow of the relevant program steps is shown. After the shield polysilicon 3111 on the inner side of the trench is dispersed (FIG. 31E), the shield dielectric layer 3108 is etched back to the same level as the shield polysilicon 3111 (FIG. 31F). The gate dielectric layer 3108a is then formed on the top surface of the crucible as shown in Fig. 31G. It is this step that forms the IPD layer. The artifact of shielding dielectric recess etching is that shallow trenches are formed on the top surface of the shield dielectric that remains on either side of the shield electrode. This is shown in Figure 45A. The resulting structure with an uneven topology may pose a problem of conformality, particularly for subsequent filling steps. In order to eliminate such problems, various improved methods for forming IPDs have been proposed.

根據一實施例,屏蔽介電凹入蝕刻之後,譬如利用一低壓力化學氣相沉積(LPCVD)程序如第45B圖所示沉積一多晶矽(poly)襯墊4508P。或者,可利用多晶矽的一選擇性成長程序或多晶矽的經準直濺鍍使得多晶矽襯墊4508P只形成於屏蔽多晶矽及屏蔽介電質上方而讓溝道側壁大致仍無多晶矽。多晶矽襯墊4508P隨後氧化而轉變成二氧化矽。可藉由一習知的熱氧化程序來進行此作用。在無多晶矽形成於溝道側壁上之實施例中,此氧化程序亦形成閘介電層4508G。否則,自溝道側壁蝕刻經氧化的多晶矽層之後,形成一薄層的閘介電質4508G且留存的溝道腔穴充填有閘電極4510,如第45C圖所示。此程序的一優點在於:多晶矽係用高度正形性方式加以沉積。一旦多晶矽沉積在屏蔽介電 質及屏蔽電極頂上,這可盡量減少空隙及其他缺陷並生成更平整的表面。結果係為一更強固且可靠之經改良的IPD層。藉由在氧化前以多晶矽來襯墊溝道側壁及相鄰的矽表面區域,一後續氧化步驟係造成較小的台面消耗並盡量減少溝道的不良加寬。According to an embodiment, after the dielectric recess etch is masked, a polysilicon liner 4508P is deposited, for example, using a low pressure chemical vapor deposition (LPCVD) process as shown in FIG. 45B. Alternatively, a selective growth process of the polysilicon or a collimated sputtering of the polysilicon can be used to form the polysilicon liner 4508P only over the shielded polysilicon and the shield dielectric such that the sidewalls of the trench are substantially free of polysilicon. The polysilicon liner 4508P is subsequently oxidized to convert to cerium oxide. This effect can be carried out by a conventional thermal oxidation procedure. In embodiments where no polysilicon is formed on the sidewalls of the trench, this oxidation process also forms the gate dielectric layer 4508G. Otherwise, after etching the oxidized polysilicon layer from the sidewall of the trench, a thin layer of gate dielectric 4508G is formed and the remaining trench cavity is filled with gate electrode 4510 as shown in FIG. 45C. One advantage of this procedure is that the polycrystalline lanthanide is deposited in a highly conformal manner. Once the polysilicon is deposited on the shield dielectric On top of the quality and shielding electrodes, this minimizes voids and other defects and creates a flatter surface. The result is a stronger and more reliable modified IPD layer. By padging the trench sidewalls and adjacent germanium surface regions with polysilicon prior to oxidation, a subsequent oxidation step results in less mesa consumption and minimizes poor channel widening.

一替代性實施例中,第46A、46B及46C圖所顯示的經簡化橫剖視圖中,屏蔽多晶矽凹入蝕刻所導致之溝道內側的腔穴係充填有一與屏蔽介電質4608S呈現相似蝕刻速率之介電充填材料4608F。可利用高密度電漿(HDP)氧化物沉積、化學氣相沉積(CVD)或旋塗玻璃(SOG)程序等其中任一者進行此步驟,接著係為一平面化步驟來在溝道頂部獲得一平面性表面。介電充填材料4608F及屏蔽介電材料4608S隨後被均勻地回蝕以使一層具有所需要厚度的絕緣材料留存在屏蔽電極4611上方,如第46B圖所示。溝道側壁隨後係襯有閘介電質,然後留存的溝道腔穴係充填有閘電極,如第46C圖所示。結果係為一無拓樸不均勻性之高度正形性IPD層。In an alternative embodiment, in the simplified cross-sectional view shown in Figures 46A, 46B, and 46C, the cavity inside the trench caused by the masked polysilicon recessed etch is filled with a similar etch rate to the shield dielectric 4608S. Dielectric filling material 4608F. This step can be performed using either high density plasma (HDP) oxide deposition, chemical vapor deposition (CVD), or spin on glass (SOG) procedures, followed by a planarization step to obtain at the top of the trench. A planar surface. Dielectric fill material 4608F and shield dielectric material 4608S are then uniformly etched back to leave a layer of insulating material having the desired thickness over shield electrode 4611, as shown in FIG. 46B. The channel sidewalls are then lined with a gate dielectric and the remaining channel cavity is filled with gate electrodes as shown in Figure 46C. The result is a highly orthotropic IPD layer without topological inhomogeneities.

另一用於形成高品質IPD之方法的一示範性實施例係顯示於第47A及47B圖的簡化橫剖視圖中。屏蔽介電層4708S成形於溝道內側及以屏蔽多晶矽4711來充填腔穴之後,進行一屏蔽多晶矽回蝕步驟以使屏蔽多晶矽凹入溝道內側。此實施例中,屏蔽多晶矽凹入蝕刻係將更多多晶矽留在溝道中以使經凹入屏蔽多晶矽的頂表面高於最後的目標深度。將屏蔽多晶矽頂表面上之多餘多晶矽的厚度設計 成近似與目標IPD厚度相同。屏蔽電極的此上部隨後係作物理性或化學性變更以進一步增強其氧化速率。可藉由分別將諸如氟或氬離子等雜質離子植入至多晶矽內來進行一用於化學性或物理性變更電極之方法,以增強屏蔽電極的氧化速率。較佳如第47A圖所示以零度亦即垂直於屏蔽電極來進行植入,藉以不會物理性或化學性變更溝道側壁。接著,屏蔽介電質4708S被蝕刻以從溝道側壁移除介電質。此屏蔽介電質凹入蝕刻係造成與屏蔽電極4711相鄰之留存的屏蔽介電質中之一輕微凹入(類似於第45A圖所示者)。接著係為一習知的氧化步驟,其中因此使屏蔽多晶矽4711頂部以比溝道側壁更快的速率氧化。這導致一顯著比沿著溝道矽表面的側壁更厚之絕緣體4708T成形於屏蔽電極上方。屏蔽電極上方的較厚絕緣體4708T係形成IPD。經變更的多晶矽係在側向方向中氧化,亦由於屏蔽介電質凹入蝕刻的緣故補償了屏蔽介電質頂表面中所形成之部分的槽。隨後進行習知步驟以在第47B圖所示結構導致之溝道中形成閘電極。一實施例中,屏蔽電極係變更以獲得位於2:1到5:1範圍之IPD對於閘氧化物厚度的比值。一範例中,對於屏蔽電極上方所形成之約2000的IPD,如果選擇一4:1比值,則沿著溝道側壁形成約500的閘氧化物。Another exemplary embodiment of another method for forming a high quality IPD is shown in the simplified cross-sectional views of Figures 47A and 47B. After the shield dielectric layer 4708S is formed on the inside of the trench and the cavity is filled with the shield polysilicon 4711, a masked polysilicon etch back step is performed to recess the shield polysilicon into the inside of the trench. In this embodiment, the shield polysilicon recessed etch system leaves more polysilicon in the trench such that the top surface of the recessed shielded polysilicon is higher than the final target depth. The thickness of the excess polysilicon on the surface of the shielded polycrystalline dome is designed to be approximately the same as the thickness of the target IPD. This upper portion of the shield electrode is then subjected to crop rational or chemical changes to further enhance its rate of oxidation. A method for chemically or physically changing the electrode can be performed by implanting impurity ions such as fluorine or argon ions into the polycrystalline silicon, respectively, to enhance the oxidation rate of the shield electrode. Preferably, implantation is performed at zero degrees, i.e., perpendicular to the shield electrode, as shown in Fig. 47A, so that the channel sidewalls are not physically or chemically altered. Next, the shield dielectric 4708S is etched to remove the dielectric from the sidewalls of the trench. This shielded dielectric recessed etch system causes a slight recess in the remaining shield dielectric adjacent to shield electrode 4711 (similar to that shown in Figure 45A). This is followed by a conventional oxidation step in which the top of the shielded polysilicon 4711 is thus oxidized at a faster rate than the sidewalls of the channel. This results in an insulator 4708T that is significantly thicker than the sidewalls along the surface of the trench, formed over the shield electrode. The thicker insulator 4708T above the shield electrode forms an IPD. The modified polycrystalline lanthanum is oxidized in the lateral direction and also compensates for the formation of the grooves in the top surface of the dielectric dielectric due to the shielding of the dielectric dielectric recess. A conventional step is then performed to form a gate electrode in the channel resulting from the structure shown in Figure 47B. In one embodiment, the shield electrode is modified to obtain a ratio of IPD in the range of 2:1 to 5:1 for gate oxide thickness. In one example, about 2000 formed over the shield electrode IPD, if a 4:1 ratio is selected, approximately 500 is formed along the sidewall of the channel The gate oxide.

一替代性實施例中,在一屏蔽介電質凹入蝕刻之後進行物理性或化學性變更步驟。亦即,屏蔽氧化物4708S係被蝕刻以從溝道側壁移除氧化物。這使矽及屏蔽電極的上部暴露於一如上述的物理性或化學性變更方法。由於溝道壁 側被暴露,變更步驟係侷限於水平表面,亦即只有矽台面及屏蔽電極。諸如摻雜物的離子植入等變更方法係將以零度(垂直於屏蔽電極)進行藉以不會物理性或化學性變更溝道側壁。隨後進行習知步驟以在溝道中形成閘電極藉以導致屏蔽電極上方的較厚介電質。In an alternative embodiment, the physical or chemical alteration step is performed after a shield dielectric recess etch. That is, the shield oxide 4708S is etched to remove oxide from the sidewalls of the trench. This exposes the upper portion of the crucible and the shield electrode to a physical or chemical modification as described above. Due to the channel wall The side is exposed and the changing step is limited to the horizontal surface, ie only the countertop and the shield electrode. Altering methods such as ion implantation of dopants will be performed at zero degrees (perpendicular to the shield electrode) so that the channel sidewalls are not physically or chemically altered. A conventional step is then performed to form a gate electrode in the channel to cause a thicker dielectric over the shield electrode.

用於形成一經改良IPD層之另一實施例係顯示於第48圖中。根據此實施例,一譬如由氧化物製成的厚絕緣體層4808T係形成於經凹入的屏蔽氧化物4808S及屏蔽電極4811上方。利用諸如高密度電漿(HDP)沉積或電漿增強式化學氣相沉積(PECVD)等方向性沉積技術優先地形成(亦即“從底部往上充填”)厚絕緣體4808T。方向性沉積係導致一比起沿著垂直表面(亦即沿著溝道側壁)顯著更厚的絕緣體沿著水平表面(亦即在屏蔽電極及屏蔽氧化物上方)成形,如第48圖所示。隨後進行一蝕刻步驟以自側壁移除氧化物,同時將足夠的氧化物留在屏蔽多晶矽上方。然後進行習知步驟將閘電極形成於溝道中。此實施例除了獲得正形性IPD外之一優點係為:因為經由一沉積程序而非氧化程序來形成IPD故可防止台面消耗及溝道加寬。此技術的另一利益係為:在溝道頂角落獲得圓滑化作用。Another embodiment for forming a modified IPD layer is shown in Figure 48. In accordance with this embodiment, a thick insulator layer 4808T, such as an oxide, is formed over the recessed shield oxide 4808S and shield electrode 4811. The thick insulator 4808T is preferentially formed (i.e., "filled from the bottom up") using directional deposition techniques such as high density plasma (HDP) deposition or plasma enhanced chemical vapor deposition (PECVD). The directional deposition results in a significantly thicker insulator along the vertical surface (ie, along the sidewall of the trench) along the horizontal surface (ie, over the shield electrode and the shield oxide), as shown in FIG. . An etch step is then performed to remove oxide from the sidewall while leaving sufficient oxide above the shielded polysilicon. A conventional step is then performed to form the gate electrode in the channel. One advantage of this embodiment in addition to obtaining a positive-form IPD is that tabletop consumption and channel widening can be prevented because IPD is formed via a deposition process rather than an oxidation process. Another benefit of this technology is the rounding effect at the top corner of the channel.

另一實施例中,屏蔽介電質及屏蔽多晶矽凹入之後,一薄層的篩網氧化物4908P係成長在溝道內側。然後,一層氮化矽4903係沉積以覆蓋住篩網氧化物4908P,如第49A圖所示。氮化矽層4903隨後異向性蝕刻以使其自溝道底表面(亦即屏蔽多晶矽上方)但非自溝道側壁被移除。所產生的結 構顯示於第49B圖中。晶圓隨後暴露於一氧化環境,造成一厚氧化物4908T形成於屏蔽多晶矽表面上,如第49C圖所示。因為氮化物層4903可抵抗氧化,沿著溝道側壁並未發生顯著的氧化物成長。氧化物層4903隨後譬如利用熱磷酸藉由濕蝕刻加以移除。接著係為習知的程序步驟以形成閘氧化物及閘介電質,如第49D圖所示。In another embodiment, after the dielectric and shielding polysilicon are recessed, a thin layer of screen oxide 4908P grows inside the channel. A layer of tantalum nitride 4903 is then deposited to cover the screen oxide 4908P as shown in Figure 49A. The tantalum nitride layer 4903 is then anisotropically etched such that it is removed from the bottom surface of the trench (i.e., over the shielded polysilicon) but not from the sidewall of the trench. Resulting knot The structure is shown in Figure 49B. The wafer is then exposed to an oxidizing environment, causing a thick oxide 4908T to be formed on the surface of the shielded polysilicon as shown in Figure 49C. Since the nitride layer 4903 is resistant to oxidation, significant oxide growth does not occur along the sidewalls of the channel. The oxide layer 4903 is then removed, for example, by wet etching using hot phosphoric acid. This is followed by conventional procedural steps to form gate oxide and gate dielectric as shown in Figure 49D.

部分實施例中,IPD層的成形係包含一蝕刻程序。譬如,對於其中使IPD膜沉積在拓樸結構上方之實施例,可能首先沉積一遠比所需要的最後IPD厚度更厚之膜層。完成此作用之目的在於得到一平面性膜層以盡量減少起始層呈盤狀凹陷至溝道內。可能完全地充填溝道及延伸於矽表面上方之較厚的膜隨後係被蝕刻以將其厚度降低至目標IPD層厚度。根據一實施例,此IPD蝕刻程序係以至少兩蝕刻步驟進行。第一步驟預定將膜平面化回到矽表面。此步驟中,蝕刻均勻度很重要。第二步驟預定將IPD層凹入至溝道內之所需要深度(及厚度)。此第二步驟中,IPD膜對於矽之蝕刻選擇性很重要。在凹入蝕刻步驟期間,暴露出矽台面,且矽溝道側壁及IPD層亦凹入溝道內。台面上之矽的任何損失皆會影響實際的溝道深度,且如果包含一T溝道,則T的深度亦受影響。In some embodiments, the forming of the IPD layer includes an etching process. For example, for embodiments in which an IPD film is deposited over a topography, it is possible to first deposit a film layer that is much thicker than the final IPD thickness required. The purpose of accomplishing this is to obtain a planar film layer to minimize the dishing of the starting layer into the channel. The thicker film, which may completely fill the trench and extend over the surface of the crucible, is then etched to reduce its thickness to the target IPD layer thickness. According to an embodiment, the IPD etch process is performed in at least two etching steps. The first step is intended to planarize the film back to the surface of the crucible. Etching uniformity is important in this step. The second step is intended to recess the IPD layer to the desired depth (and thickness) within the channel. In this second step, the IPD film is important for the etch selectivity of the ruthenium. During the recess etch step, the mesas are exposed and the trench sidewalls and IPD layers are also recessed into the trench. Any loss of helium on the mesa will affect the actual channel depth, and if a T-channel is included, the depth of T is also affected.

第50A圖所示的一示範性實施例中,利用一異向性電漿蝕刻步驟5002來將IPE膜往下平面化至矽表面。電漿蝕刻的一示範性蝕刻速率可能為5000 A/分鐘。其後接著係為一等向性濕蝕刻5004以使IPD凹入溝道內。較佳利用一對於矽具 有選擇性之經控制溶液來進行濕蝕刻,藉以當暴露時不會侵襲矽側壁並提供一可重覆的蝕刻來獲得一特定的凹入深度。濕蝕刻的一示範性化學作用可能為6:1經緩衝氧化物蝕刻(BOE),其在25C產生約1100 A/分鐘的蝕刻速率。以引用方式整體併入本文的共同讓渡之芮里(Rodney Ridley)的美國專利案6,465,325號係提供適合此程序的示範性電漿及濕蝕刻配方之細節。用於平面化的第一電漿蝕刻步驟係導致溝道上方的IPD層具有比起濕蝕刻更小之盤狀凹陷。凹入蝕刻之第二濕蝕刻步驟係導致比電漿蝕刻所發生者更良好之對於矽的選擇性以及更小的損傷。第50B圖所示的一替代性實施例中,利用一化學機械平面化(CMP)來將IPD膜往下平面化至矽表面。其後接著係為一濕蝕刻以使IPD凹入溝道內。CMP程序導致溝道上方的IPD層較小之盤狀凹陷。凹入實施例的濕蝕刻步驟係導致比CMP更良好之對於矽的選擇性及對於矽更小的損傷。這些程序亦可能具有其他組合。In an exemplary embodiment illustrated in FIG. 50A, an anisotropic plasma etch step 5002 is utilized to planarize the IPE film down to the ruthenium surface. An exemplary etch rate for plasma etching may be 5000 A/min. This is followed by an isotropic wet etch 5004 to recess the IPD into the channel. Better use of a pair of cookware The selectively controlled solution is wet etched so as not to attack the sidewalls of the crucible when exposed and provide a reproducible etch to achieve a particular recess depth. An exemplary chemistry for wet etching may be a 6: 1 buffered oxide etch (BOE), which produces an etch rate of about 1100 A/min at 25C. U.S. Patent No. 6,465,325 to Rodney Ridley, which is incorporated herein by reference in its entirety, in its entirety, is incorporated herein by reference. The first plasma etch step for planarization results in the IPD layer above the channel having a smaller dishing than the wet etch. The second wet etch step of the recess etch results in better selectivity to ruthenium and less damage than occurs with plasma etch. In an alternative embodiment shown in FIG. 50B, a chemical mechanical planarization (CMP) is utilized to planarize the IPD film down to the crucible surface. This is followed by a wet etch to recess the IPD into the channel. The CMP process results in a smaller discoid depression of the IPD layer above the channel. The wet etching step of the recessed embodiment results in better selectivity to ruthenium and less damage to ruthenium than CMP. These programs may also have other combinations.

在包括溝道及平面性閘介電質、間層介電質及類似物等IPD以外的結構中係需要形成一高品質絕緣層。最常用的介電材料係為二氧化矽。係具有數種用於界定高品質氧化物膜之參數。主要屬性係為均勻的厚度、良好整體性(低介面陷阱密度)、高電場崩潰強度、及低洩漏位準與其他屬性。會影響許多這些屬性之因素之一係為氧化物的成長速率。需要能夠精確地控制氧化物的成長速率。在熱氧化期間,與晶圓表面上的帶電粒子具有一氣相反應。一實施例中,將一外部電位施加至晶圓來影響通常為矽及氧的電荷 粒子以增加或減小氧化速率,藉以實行一用於控制氧化速率之方法。這與電漿增強式氧化之差異在於:並無電漿(具有反應性物種)生成於晶圓上方。並且,根據此實施例,氣體並未朝向表面加速;僅防止其與表面起反應。一示範性實施例中,可使用一具有高溫能力的反應性離子蝕刻(RIE)室來調節所需要的能量位準。RIE室不但使用於蝕刻,亦用來施加一DC偏壓以控制減慢及停止氧化所需要的能量。第51圖為根據此實施例之一示範性方法的流程圖。起初,RIE室係用來在一測試環境中將一DC偏壓施加至晶圓(5100)。決定出抑止表面反應所需要的潛在能量之後(5200),施加一夠大足以防止發生氧化之外部偏壓(5120)。然後,藉由諸如脈動或其他方法來操縱外部偏壓,可控制處於更極端高溫之氧化速率(5130)。此方法可以獲得高溫氧化之利益(較好的氧化物流、較低應力、消除各種不同結晶定向的差異性成長等)而不具有快速及不均勻成長的缺陷。In a structure other than an IPD including a channel and a planar gate dielectric, an interlayer dielectric, and the like, it is necessary to form a high quality insulating layer. The most commonly used dielectric material is cerium oxide. There are several parameters for defining a high quality oxide film. The main properties are uniform thickness, good integrity (low interface trap density), high electric field collapse strength, and low leakage levels and other properties. One of the factors that affect many of these properties is the rate of growth of the oxide. It is necessary to be able to precisely control the growth rate of the oxide. During thermal oxidation, there is a gas phase reaction with charged particles on the surface of the wafer. In one embodiment, an external potential is applied to the wafer to affect the charge, typically helium and oxygen. The particles increase or decrease the rate of oxidation to effect a method for controlling the rate of oxidation. This differs from plasma enhanced oxidation in that no plasma (with reactive species) is formed above the wafer. Also, according to this embodiment, the gas is not accelerated toward the surface; it is only prevented from reacting with the surface. In an exemplary embodiment, a high temperature capable reactive ion etching (RIE) chamber can be used to adjust the required energy level. The RIE chamber is used not only for etching but also for applying a DC bias to control the energy required to slow down and stop oxidation. Figure 51 is a flow chart of an exemplary method in accordance with one of the embodiments. Initially, the RIE chamber was used to apply a DC bias to the wafer (5100) in a test environment. After determining the potential energy required to suppress the surface reaction (5200), apply an external bias (5120) large enough to prevent oxidation. The rate of oxidation at a more extreme temperature (5130) can then be controlled by manipulating the external bias, such as by pulsing or other means. This method can attain the benefits of high temperature oxidation (better oxide flow, lower stress, elimination of differential growth of various crystal orientations, etc.) without the drawback of rapid and uneven growth.

雖然諸如上文連同第51圖所述之技術可改良所產生氧化物層的品質,尤其對於溝道閘式元件而言,氧化物可靠度仍是一項關心議題。一種主要的劣化機構係由於溝道角落處之高電場所致,其係導因於閘氧化物在這些點上的局部薄化。這導致高的閘漏電流及低的閘氧化物崩潰電壓。隨著溝道元件進一步縮小以降低接通電阻且由於降低的閘電壓需求將造成較薄的閘氧化物,此效應預期將變得更嚴重。While techniques such as those described above in connection with Figure 51 can improve the quality of the resulting oxide layer, especially for trench gate components, oxide reliability remains a concern. One major degradation mechanism is due to the high electric field at the corners of the channel, which is due to local thinning of the gate oxide at these points. This results in high gate leakage current and low gate oxide breakdown voltage. This effect is expected to become more severe as the channel element is further shrunk to lower the on-resistance and will result in a thinner gate oxide due to reduced gate voltage requirements.

一實施例中,利用比二氧化矽具有更高介電常數的介 電材料(高K介電質)減輕了閘氧化物可靠度之擔憂。這可允許以遠為更厚的介電質具有等效的低限值電壓及互導。根據此實施例,高K介電質係降低閘洩漏且增加閘介電崩潰電壓,而不劣化元件的接通電阻或汲崩潰電壓。可表現所需要的熱穩定度及適當的介面狀態密度以整合在溝道閘式及其他功率元件內之高K材料係包括Al2 O3 、HfO2 、Alx HfyOZ 、TiO2 、ZrO2 及類似物。In one embodiment, the use of a dielectric material (high-k dielectric) having a higher dielectric constant than cerium oxide mitigates the concern of gate oxide reliability. This allows for a lower, lower limit voltage and mutual conductance with a much thicker dielectric. According to this embodiment, the high K dielectric system reduces gate leakage and increases the gate dielectric breakdown voltage without degrading the on-resistance or the smash breakdown voltage of the element. High-k materials that can exhibit the required thermal stability and appropriate interface state density for integration in trench gates and other power components include Al 2 O 3 , HfO 2 , Al x HfyO Z , TiO 2 , ZrO 2 And similar.

如上述,為了改良溝道閘式功率MOSFET的切換速度,需要盡量降低電晶體閘至汲電容Cgd。在溝道底部上利用一比溝道側壁更厚的介電層係為上述數種用於降低Cgd之方法的其中一種。一種用於形成厚底氧化物層之方法係包含沿著側壁及溝道底部形成一薄層的篩網氧化物。薄氧化物層隨後係被一層諸如氮化物等氧化抑止材料所覆蓋。氮化物層隨後被異向性蝕刻,使得所有氮化物自溝道的水平底表面移除但溝道側壁仍保持被氮化物層所塗覆。氮化物自溝道底部移除之後,一具有所需要厚度的氧化物層係形成於溝道底部上。其後,氮化物及篩網氧化物自溝道側壁移除之後係形成一較薄的通路氧化物層。此用於形成厚底部氧化物之方法及其變異係更詳細地描述於共同讓渡之赫斯特(Hurst)等人的美國專利案6,437,386號,該案以引用方式整體併入本文中。包含選擇性氧化物沉積之用於在溝道底部上形成厚氧化物之其他方法係描述於共同擁有之莫菲(Murphy)的美國專利案6,444,528號,該案以引用方式整體併入本文中。As described above, in order to improve the switching speed of the trench gate power MOSFET, it is necessary to reduce the transistor gate to the tantalum capacitor Cgd as much as possible. The use of a dielectric layer thicker than the channel sidewalls on the bottom of the trench is one of several methods described above for reducing Cgd. A method for forming a thick-bottom oxide layer includes forming a thin layer of mesh oxide along the sidewalls and the bottom of the trench. The thin oxide layer is then covered by a layer of oxidation inhibiting material such as nitride. The nitride layer is then anisotropically etched such that all of the nitride is removed from the horizontal bottom surface of the channel but the channel sidewall remains as coated by the nitride layer. After the nitride is removed from the bottom of the trench, an oxide layer having the desired thickness is formed on the bottom of the trench. Thereafter, the nitride and the screen oxide are removed from the trench sidewalls to form a thinner via oxide layer. The method for forming a thick bottom oxide and its variants are described in more detail in U.S. Patent No. 6,437,386, the entire disclosure of which is incorporated herein by reference. Other methods for the formation of thick oxides on the bottom of the channel, including selective oxide deposition, are described in commonly-owned Murphy, U.S. Patent No. 6,444,528, which is incorporated herein in entirety by reference.

一實施例中,一經改良用於在一溝道的底部上形成厚氧化物之方法係使用次大氣化學氣相沉積(SACVD)程序。根據此方法,其示範性流程圖顯示於第52圖中,溝道蝕刻之後(5210),使用SACVD來沉積一高度正形性氧化物膜(5220),譬如利用充填溝道而在氧化物中並無空隙之熱矽酸四乙酯(TEOS)。SACVD步驟可以位於100托耳到700托耳之間範圍的次大氣壓力及約450℃到約600℃範圍的示範性溫度下進行。TEOS(以毫克/分鐘為單位)對於臭氧(以立方公分/分鐘)的比值可設定在譬如2到3且較佳約2.4的範圍之間。利用此程序,可形成一具有位於約2000到10,000中任意值或更大厚度之氧化物膜。請瞭解這些數字只供示範用且可能依據特定程序需求及諸如製造設施位置的大氣壓力等其他因素而變。可藉由在沉積速率與所產生氧化物層的品質之間取得平衡以獲得最佳溫度。較高溫度時,沉積速率放慢而可能降低膜收縮。此膜收縮會造成有一閘沿著接縫在溝道中心形成於氧化物膜中。In one embodiment, a modified method for forming a thick oxide on the bottom of a trench uses a sub-atmospheric chemical vapor deposition (SACVD) process. According to this method, an exemplary flow chart thereof is shown in Fig. 52, after channel etching (5210), a highly normal oxide film (5220) is deposited using SACVD, such as by filling the channel in an oxide. Tetraethyl thioacetate (TEOS) without voids. The SACVD step can be carried out at sub-atmospheric pressures ranging from 100 Torr to 700 Torr and exemplary temperatures ranging from about 450 °C to about 600 °C. The ratio of TEOS (in milligrams per minute) to ozone (in cubic centimeters per minute) can be set between, for example, 2 to 3 and preferably about 2.4. Using this program, one can form one with a location of about 2000 To 10,000 An oxide film of any value or greater in thickness. Please understand that these figures are for demonstration purposes only and may vary depending on specific program requirements and other factors such as atmospheric pressure at the location of the manufacturing facility. An optimum temperature can be obtained by balancing the deposition rate with the quality of the resulting oxide layer. At higher temperatures, the deposition rate slows down and may reduce film shrinkage. This film shrinkage causes a gate to be formed in the oxide film along the seam at the center of the channel.

氧化物膜沉積之後,其自矽表面及溝道內側回蝕以在溝道底部留下一具有所需要厚度之相對較扁平層的氧化物(5240)。可譬如利用經稀釋的HF藉由一濕蝕刻程序或一濕與乾蝕刻程序的組合來進行此蝕刻。因為SACVD所形成的氧化物傾向於呈多孔狀,其在沉積後將吸收環境濕氣。一較佳實施例中,在回蝕步驟之後進行一增密步驟5250以改良此效應。可藉由譬如1000℃及約20分鐘的溫度處理來進行增密。After deposition of the oxide film, it etches back from the tantalum surface and the inside of the trench to leave a relatively flat layer of oxide (5240) of the desired thickness at the bottom of the trench. This etching can be performed, for example, by a wet etch process or a combination of wet and dry etch procedures using diluted HF. Since the oxide formed by SACVD tends to be porous, it will absorb ambient moisture after deposition. In a preferred embodiment, a densification step 5250 is performed after the etch back step to improve this effect. Densification can be carried out by, for example, 1000 ° C and a temperature treatment of about 20 minutes.

此方法的一項附加利益係在於:SACVD氧化物的回蝕步驟期間罩蓋一終端溝道之能力(步驟5230),而留下一充填有氧化物的終止溝道。亦即,對於包括一充填有介電質的溝道之上述終止結構的各種不同實施例,可使用相同的SACVD以氧化物充填終止溝道。並且,藉由在回蝕期間罩幕住場終止區,相同SACVD程序步驟可導致場氧化物形成於終止區中,免除了形成熱場氧化物原本所需要之程序步驟。尚且,因為矽在SACVD沉積期間並未被熱氧化程序消耗而是設置於兩位置中,此程序中由於可將若被蝕刻過遠的終止介電層及厚氧化物予以完整地再製故提供了額外的彈性。An additional benefit of this method is the ability to cover a terminal channel during the etch back step of the SACVD oxide (step 5230), leaving a termination channel filled with oxide. That is, for various embodiments of the termination structure described above including a channel filled with a dielectric, the same SACVD can be used to terminate the channel with an oxide fill. Also, by masking the field termination region during etchback, the same SACVD process step can result in the formation of field oxides in the termination region, eliminating the procedural steps that would otherwise be required to form the thermal field oxide. Moreover, since germanium is not consumed by the thermal oxidation process during SACVD deposition but is disposed in two locations, the process can be completely reprocessed by terminating the dielectric layer and thick oxide which are etched too far. Extra flexibility.

另一實施例中,另一用於在溝道底部形成厚氧化物之方法係使用一方向性TEOS程序。根據此實施例,其一示範性流程圖顯示於第53圖中,TEOS的正形性質係與電漿增強式化學氣相沉積(PECVD)的方向性本質合併藉以選擇性沉積氧化物(5310)。此組合係能夠在水平表面上具有比垂直表面更高的沉積速率。譬如,利用此程序所沉積的一氧化物膜係可在溝道底部具有約2500厚度及在溝道側壁具有約800平均厚度。氧化物隨後被等向性蝕刻直到來自側壁的所有氧化物皆移除為止,而在溝道底部留下一層氧化物。蝕刻程序可包括一乾頂氧化物蝕刻步驟5320,接著係為一濕緩衝氧化物蝕刻(BOE)步驟5340。對於此處所述的示範性實施例,蝕刻之後在溝道底部留有一層具有譬如1250厚度之氧化物,而所有側壁氧化物皆被移除。In another embodiment, another method for forming a thick oxide at the bottom of the trench uses a directional TEOS procedure. According to this embodiment, an exemplary flow chart thereof is shown in Fig. 53, and the conformal nature of TEOS is combined with the directional nature of plasma enhanced chemical vapor deposition (PECVD) to selectively deposit oxides (5310). . This combination is capable of having a higher deposition rate on a horizontal surface than a vertical surface. For example, an oxide film deposited using this procedure can have about 2500 at the bottom of the channel. Thickness and about 800 on the sidewall of the channel The average thickness. The oxide is then isotropically etched until all of the oxide from the sidewall is removed, leaving a layer of oxide at the bottom of the trench. The etch process can include a dry top oxide etch step 5320 followed by a wet buffer oxide etch (BOE) step 5340. For the exemplary embodiment described herein, a layer is left at the bottom of the trench after etching, such as 1250 The oxide of thickness, and all sidewall oxides are removed.

一特定實施例中,採用一集中於結構頂表面上之乾頂氧化物蝕刻,而以一加速速率在頂區域蝕除氧化物,同時以遠為降低的速率來蝕刻溝道底部中的氧化物。此處稱為“霧蝕刻(fog etch)”的此型蝕刻係包含在蝕刻條件與蝕刻化學作用之間取得平衡以產生所需要的選擇性。一範例中,利用一諸如LAM 4400等具有一頂功率源的電漿蝕刻器以相對較低功率及低壓力來進行此蝕刻。功率及壓力的示範值可能分別為200-500瓦特及250-500毫托耳範圍中的任意數值。可使用不同的蝕刻化學作用。一實施例中,一譬如C2F6等氧化學作用與氧係以譬如約5:1的最佳比值(譬如C2F6為190 sccm,Cl為40 sccm)之組合產生所需要的選擇性。因為氯更常用來蝕刻金屬或多晶矽且通常會抑止氧化物的蝕刻,氯並不常用來作為氧化物蝕刻化學作用的部分。然而,基於此型選擇性蝕刻的用途,因為C2F6係侵略性蝕刻頂表面附近的氧化物且其中較高能量可讓C2F6克服氯的影響而氯在較靠近溝道底部處將減慢蝕刻速率,故此組合可良好地運作。此主要乾蝕刻步驟5320之後可能係為位於BOE沾浸5340之前的一清除蝕刻5330。請瞭解根據此實施例,藉由細微地調整可能依據電漿蝕刻機而變之壓力、能量及蝕刻化學作用來達成最佳選擇性。In a particular embodiment, a dry top oxide etch that focuses on the top surface of the structure is used to etch away oxide at the top region at an accelerated rate while etching the oxide in the bottom of the trench at a much lower rate. This type of etching, referred to herein as "fog etch," involves balancing the etching conditions with the etch chemistry to produce the desired selectivity. In one example, the etching is performed at a relatively low power and low pressure using a plasma etcher having a top power source such as LAM 4400. Exemplary values for power and pressure may be any of the range of 200-500 watts and 250-500 millitorr, respectively. Different etching chemistries can be used. In one embodiment, a combination of oxidative effects such as C2F6 and an oxygen system at an optimum ratio of, for example, about 5:1 (e.g., 190 sccm for C2F6, 40 sccm for Cl) produces the desired selectivity. Since chlorine is more commonly used to etch metal or polysilicon and generally inhibits the etching of oxides, chlorine is not commonly used as part of the oxide etch chemistry. However, based on the use of this type of selective etching, because C2F6 is aggressively etching oxides near the top surface and where higher energy allows C2F6 to overcome the effects of chlorine and chlorine will slow the etch rate closer to the bottom of the channel, Therefore, the combination works well. This primary dry etch step 5320 may be followed by a etch etch 5330 prior to BOE immersion 5340. It will be appreciated that in accordance with this embodiment, optimum selectivity is achieved by finely adjusting the pressure, energy, and etch chemistry that may be varied depending on the plasma etcher.

根據此實施例的PECVD/蝕刻程序可依需要重覆一或多次以獲得一具有目標厚度之底氧化物。此程序亦導致厚氧化物形成於溝道之間的水平台面上。此氧化物可在多晶矽沉積在溝道中且在表面上被回蝕之後受到蝕刻,藉以保 護溝道底氧化物不受到後續蝕刻步驟。The PECVD/etching process according to this embodiment can be repeated one or more times as needed to obtain a bottom oxide having a target thickness. This procedure also results in thick oxide formation on the water landing surface between the channels. This oxide can be etched after the polysilicon is deposited in the channel and etched back on the surface. The underlying oxide is not subjected to subsequent etching steps.

可能具有其他種用於在溝道底部選擇性形成厚氧化物之方法。第54圖顯示一利用高密度電漿(HDP)沉積使得氧化物不會累積在溝道側壁上(5410)之示範性方法的流程圖。HDP沉積的一性質在於:其係在沉積時產生蝕刻,導致比起方向性TEOS方法而言相對於溝道底部的氧化物具有較少氧化物累積在溝道側壁上。隨後可使用一濕蝕刻(步驟5420)從側壁移除部分氧化物或清除氧化物,同時在溝道底部上留下一厚的氧化物。此程序的一優點在於:溝道頂部的輪廓係從溝道(5500)呈斜面狀離開(5510),如第55圖所示,故更容易達成無空隙的多晶矽充填。可採用一如上述的“霧蝕刻”(步驟5430)在多晶矽充填之前從頂部蝕除部分氧化物(步驟5440),故在多晶矽蝕刻之後需從頂部蝕刻較少的氧化物。亦可使用HDP沉積程序將氧化物沉積在一具有經埋設電極之溝道(譬如,具有經屏蔽閘結構之溝道MOSFET)中的兩多晶矽層之間。There may be other methods for selectively forming thick oxides at the bottom of the channel. Figure 54 shows a flow diagram of an exemplary method for depositing high density plasma (HDP) such that oxide does not accumulate on the sidewalls of the trench (5410). One property of HDP deposition is that it creates an etch at the time of deposition, resulting in less oxide accumulation on the sidewalls of the channel relative to the oxide at the bottom of the channel than the directional TEOS method. A wet etch (step 5420) can then be used to remove a portion of the oxide or remove oxide from the sidewall while leaving a thick oxide on the bottom of the trench. An advantage of this procedure is that the profile at the top of the channel exits (5510) obliquely from the channel (5500), as shown in Figure 55, making it easier to achieve void-free polysilicon filling. A portion of the oxide may be etched away from the top prior to polysilicon filling (step 5430), as described above for "fog etching" (step 5430), so less oxide is etched from the top after the polysilicon etch. The HDP deposition process can also be used to deposit oxide between two polysilicon layers in a trench having buried electrodes (e.g., channel MOSFETs with shielded gate structures).

根據第56圖所示的另一方法,使用一選擇性SACVD來在溝道底部上形成一厚氧化物。此方法係利用SACVD在一較低的TEOS:臭氧比值時變成具有選擇性之能力。氧化物在氮化矽上具有極慢的沉積速率但在矽上則易於沉積。TEOS:臭氧的比值愈低,沉積變成愈具選擇性。根據此方法,溝道蝕刻之後(5610),墊氧化物成長在溝道陣列的矽表面上(5620)。一薄層的氮化物隨後沉積在墊氧化物上(5630)。接著係為一異向性蝕刻以從水平表面移除氮化物,而在溝道 側壁上留下氮化物(5640)。選擇性SACVD氧化物隨後係譬如在約405℃以約0.6的TEOS:臭氧比值沉積(5650)在包括溝道底部之水平表面上。SACVD氧化物隨後藉由溫度處理加以選擇性增密(5660)。然後進行氧化物-氮化物-氧化物(ONO)蝕刻以清除溝道側壁上的氮化物及氧化物(5670)。According to another method shown in Fig. 56, a selective SACVD is used to form a thick oxide on the bottom of the trench. This method utilizes SACVD to become selective at a lower TEOS:Ozone ratio. Oxides have a very slow deposition rate on tantalum nitride but are easily deposited on tantalum. TEOS: The lower the ratio of ozone, the more selective the deposition becomes. According to this method, after channel etching (5610), the pad oxide grows on the surface of the trench of the channel array (5620). A thin layer of nitride is then deposited on the pad oxide (5630). Followed by an anisotropic etch to remove nitride from the horizontal surface, while in the channel Nitride (5640) is left on the sidewalls. The selective SACVD oxide is then deposited, for example, at a temperature of about 405 ° C at a TEOS:ozone ratio of about 0.6 (5650) on a horizontal surface including the bottom of the channel. The SACVD oxide is then selectively densified by temperature treatment (5660). An oxide-nitride-oxide (ONO) etch is then performed to remove nitrides and oxides (5670) on the sidewalls of the trench.

如上述,在閘溝道底部處使用一比起其側壁更厚的氧化物層之一項原因係在於:可降低Qgd或閘至汲電荷以改良切換速度。相同原因決定了溝道深度應該大約與井接面深度相同,以盡量減少漂移區內之溝道重疊。一實施例中,一用於在溝道底部形成較厚介電層之方法係使較厚的介電層在溝道側邊往上延伸。這使得底部氧化物的厚度與溝道深度及井接面深度呈現獨立,並可使溝道及溝道內側的多晶矽比井接面更深而不具有可察覺出增高的Qgd。As mentioned above, one reason for using an oxide layer thicker than the sidewalls at the bottom of the gate channel is that Qgd or gate-to-deuterium charge can be lowered to improve the switching speed. The same reason determines that the channel depth should be about the same as the well junction depth to minimize channel overlap in the drift region. In one embodiment, a method for forming a thicker dielectric layer at the bottom of the trench is such that a thicker dielectric layer extends upwardly on the side of the trench. This allows the thickness of the bottom oxide to be independent of the channel depth and the depth of the well junction, and allows the polycrystalline germanium inside the trench and trench to be deeper than the well junction without appreciable increased Qgd.

根據此方法之一用於形成厚底介電層之方法的一示範性實施例係顯示於第57至59圖中。第57A圖顯示一襯有一薄層的墊氧化物5710及已被蝕刻以只覆蓋住溝道側壁的氮化物層5720之溝道的經簡化部分橫剖視圖。這使得墊氧化物5710的蝕刻能夠暴露出溝道底部及晶粒頂表面的矽,如第57B圖所示。接著係為經暴露的矽之一異向性蝕刻,導致如第58A圖所示的一結構,其中頂矽及溝道底部的矽皆已被移除至所需要的深度。一替代性實施例中,頂矽上的矽可受到罩幕藉以在矽蝕刻期間只有溝道底部被蝕刻。接著,進行一氧化步驟以使厚氧化物5730成長在未被氮化物層5720覆蓋之位置中,導致第58B圖所示之結構。氧化物厚度譬如 可能約為1200到2000。氧化物層5720隨後被移除且墊氧化物5710受到蝕除。墊氧化物的蝕刻將造成厚氧化物5730的部分薄化。此程序的其餘部分可採用標準流程來形成閘多晶矽及井及源接面,導致第59圖所示之示範性結構。An exemplary embodiment of a method for forming a thick-bottom dielectric layer in accordance with one of the methods is shown in Figures 57-59. Figure 57A shows a simplified cross-sectional view of a pad having a thin layer of pad oxide 5710 and a channel of nitride layer 5720 that has been etched to cover only the sidewalls of the channel. This allows the etch of pad oxide 5710 to expose the germanium of the trench bottom and the top surface of the die, as shown in Figure 57B. This is followed by an anisotropic etch of the exposed germanium, resulting in a structure as shown in Figure 58A, in which the top and bottom of the trench have been removed to the desired depth. In an alternative embodiment, the turns on the top cymbal may be shielded by the mask to allow only the bottom of the trench to be etched during the ruthenium etch. Next, an oxidation step is performed to grow the thick oxide 5730 in a position not covered by the nitride layer 5720, resulting in the structure shown in Fig. 58B. The oxide thickness may be approximately 1200 To 2000 . Oxide layer 5720 is then removed and pad oxide 5710 is etched away. Etching of the pad oxide will result in partial thinning of the thick oxide 5730. The remainder of this procedure can be used to form gate polysilicon and well and source junctions, resulting in the exemplary structure shown in Figure 59.

如第59圖所示,所導致的閘氧化物係包括一底厚層5730且其沿著溝道側壁延伸以覆蓋住區5740中之井接面。部分實施例中,其中溝道旁邊的井區中之通路摻雜係在接近汲側5740處評定為具有較輕微摻雜,此區通常將具有一比接近源部的區域更低之低限值電壓。藉由使較厚氧化物沿著溝道側邊延伸而重疊至區5740中的通路內,因此將不會增高元件的低限值電壓。亦即,此實施例可使井接面深度及側壁氧化物達到最佳化以盡量減小Qgd而不負面地影響到元件的接通電阻。熟習該技術者瞭解,此用於在溝道底部形成厚氧化物之方法可適用於上述多種不同元件,包括經屏蔽閘、合併有各種不同電荷平衡結構之雙閘、及任何其他的溝道閘元件。As shown in FIG. 59, the resulting gate oxide includes a bottom thick layer 5730 and extends along the sidewalls of the trench to cover the well junction in the recess 5740. In some embodiments, wherein the via doping in the well region beside the channel is rated to be slightly doped near the radon side 5740, this region will typically have a lower lower limit than the region near the source portion. Voltage. By extending the thicker oxide along the sides of the channel to overlap into the vias in region 5740, the lower limit voltage of the device will not be increased. That is, this embodiment optimizes well junction depth and sidewall oxide to minimize Qgd without negatively affecting the on-resistance of the component. Those skilled in the art understand that this method for forming thick oxides at the bottom of the trench can be applied to a variety of different components as described above, including shielded gates, dual gates incorporating various charge balancing structures, and any other gate gates. element.

熟習該技術者亦瞭解,任何上述用於在溝道底部形成厚氧化物及用於IPD之程序係皆可使用在用於形成此處所述的任何溝道閘式電晶體之程序中。這些程序可能具有其他變異。譬如,如同第47A及47B圖所述的程序之案例中,矽的化學性或物理性變更可增強其氧化速率。根據一項此類示範性實施例,一譬如氟、溴等鹵素離子物種係在溝道底部以零角度植入矽內。可以大於1E14 (譬如1E15 到5E17 )的示範性劑量、900℃到1150℃範圍之間的示範性溫度及約15 仟電子伏特(KeV)或更小的示範性能量來發生植入。經鹵素植入的區域中,相較於溝道側壁而言,在溝道底部處之氧化物係以加快的速率成長。Those skilled in the art will also appreciate that any of the above described procedures for forming thick oxides at the bottom of the trench and for IPD can be used in the process for forming any of the trench gate transistors described herein. These programs may have other variations. For example, in the case of the procedure described in Figures 47A and 47B, chemical or physical changes in the ruthenium enhance the rate of oxidation. According to one such exemplary embodiment, a halogen ion species such as fluorine, bromine, etc. is implanted into the crucible at a zero angle at the bottom of the channel. Implantation can occur with exemplary doses greater than 1E 14 (eg, 1E 15 to 5E 17 ), exemplary temperatures between 900 ° C and 1150 ° C, and exemplary energies of about 15 仟 electron volts (KeV) or less. In the region implanted by the halogen, the oxide at the bottom of the channel grows at an accelerated rate compared to the sidewall of the channel.

數種上述的溝道元件係包括基於電荷平衡目的之溝道側壁摻雜。譬如,第5B及5C圖及第6至9A圖所示的所有實施例皆具有某類型的溝道側壁摻雜結構。由於狹窄、深溝道及/或溝道的垂直側壁之物理拘限,側壁摻雜技術略為受限。可利用氣態源或斜角狀植入來形成溝道側壁摻雜區。一實施例中,一經改良的溝道側壁摻雜技術係使用電漿摻雜或脈衝式電漿摻雜技術。此技術使用一脈衝式電壓,該脈衝式電壓係施加至一包圍在一摻雜物離子的電漿中之晶圓。所施加的電壓係使離子自一陰極覆套朝向晶圓加速並進入該晶圓內。所施加的電壓為脈衝式且其時程持續到抵達所需要劑量為止。此技術能夠以正形性摻雜技術來實行許多這些溝道元件。此外,此程序的高產出係降低了製程的整體成本。Several of the above described channel elements include channel sidewall doping based on charge balancing purposes. For example, all of the embodiments shown in Figures 5B and 5C and Figures 6 through 9A have some type of channel sidewall doping structure. The sidewall doping technique is somewhat limited due to the physical limitations of the narrow, deep trenches and/or vertical sidewalls of the trench. A channel source doped region can be formed using a gaseous source or a beveled implant. In one embodiment, an improved trench sidewall doping technique uses plasma doping or pulsed plasma doping techniques. This technique uses a pulsed voltage applied to a wafer enclosed in a plasma of dopant ions. The applied voltage accelerates ions from a cathode cover toward the wafer and into the wafer. The applied voltage is pulsed and its time course continues until the desired dose is reached. This technique is capable of implementing many of these channel elements in a positive doping technique. In addition, the high output of this program reduces the overall cost of the process.

熟習該技術者瞭解,電漿摻雜或脈衝式電漿摻雜技術的用途並不限於溝道電荷平衡結構,而是亦可適用於其他結構且包括溝道式終止結構及溝道式汲、源或體部連接。譬如,可利用此方法型態來摻雜經屏蔽溝道結構之溝道側壁,諸如連同第4D、4E、5B、5C、6、7、8及9A圖所描述者。此外,可使用此技術來生成一均勻摻雜的通路區。當功率元件逆向偏壓時,藉由接面兩側上的電荷濃度來控制空乏區至通路區內之穿透(p井接面)。磊晶層中具有高的摻 雜濃度,藉由空乏至接面內之方式將可允許貫穿以限制崩潰電壓或需要一比維持低接通電阻所需要者更長之通路長度。為了盡量減少空乏至通路內,可能需要較高的通路摻雜濃度而造成低限值提高。因為低限值取決於一溝道MOSFET中源部下方的峰值濃度,通路中均勻的摻雜濃度係可提供通路長度與崩潰之間較良好的取捨關係。Those skilled in the art understand that the use of plasma doping or pulsed plasma doping techniques is not limited to channel charge balancing structures, but can be applied to other structures as well as trench termination structures and trench structures. Source or body connection. For example, this method can be used to dope the channel sidewalls of the shielded channel structure, such as those described in conjunction with Figures 4D, 4E, 5B, 5C, 6, 7, 8 and 9A. Additionally, this technique can be used to generate a uniformly doped via region. When the power components are reverse biased, the penetration of the depletion region into the via region is controlled by the concentration of charge on both sides of the junction (p-well junction). Highly doped in the epitaxial layer The impurity concentration, by depletion into the junction, will allow penetration to limit the breakdown voltage or require a longer path length than is required to maintain a low on-resistance. In order to minimize depletion into the via, higher channel doping concentrations may be required resulting in lower limit values. Because the low limit depends on the peak concentration below the source in the MOSFET, the uniform doping concentration in the via provides a good trade-off between path length and breakdown.

可用來獲得較均勻通路濃度之其他方法係包括利用一磊晶程序形成通路接面、使用多重能量植入件、及其他用以生成一驟然接面之技術。另一技術係採用一具有一經輕微摻雜蓋層之起始晶圓。利用此方式,盡量減少補償且可駕馭往上擴散來生成一較均勻的通路摻雜輪廓。Other methods that can be used to achieve a more uniform channel concentration include the use of an epitaxial process to form via junctions, the use of multiple energy implants, and other techniques for creating a sudden junction. Another technique employs a starting wafer having a lightly doped cap layer. In this way, the compensation is minimized and can be spread up to generate a more uniform path doping profile.

溝道元件係可利用藉由沿著溝道側壁的通路摻雜濃度來設定低限值之事實。一可具有遠離溝道的高摻雜濃度同時維持低的低限值之程序係有助於防止貫穿機構。藉由在閘氧化程序之前提供p井摻雜將可隔離進入溝道氧化物內之譬如硼等井p型雜質,藉此降低低限值。藉由使其與上述技術合併將可提供一無貫穿之較短的通路長度。The channel element can utilize the fact that the low concentration is set by the doping concentration along the channel sidewalls. A program that can have a high doping concentration away from the channel while maintaining a low low limit helps to prevent the penetrating mechanism. By providing a p-well doping prior to the gate oxidation process, well p-type impurities such as boron, such as boron, can be isolated into the channel oxide, thereby lowering the low limit. By combining it with the above techniques, a shorter path length without penetration can be provided.

部分功率應用係需要測量流過功率電晶體之電流量。通常利用隔離及測量總元件電流的一部分且其隨後用來外插出流過元件的電總流,藉以達成此作用。總元件電流的經隔離部分係流過一電流感測或偵測元件且其產生一指示出經隔離電流量值且隨後用來決定總元件電流之訊號。此配置已知係為一電流監視器。電流感測電晶體通常係單調性設有功率元件且其中兩元件共用一共同基材(汲部)及 閘。第60圖為一具有一電流感測元件6002之MOSFET 6000的簡化圖。流過主MOSFET 6000之電流係與各者主動區域成比例地在主電晶體與電流感測部6002之間被分割。因此,藉由測量經過感測元件的電流然後將其乘以主動區域的比值來計算出流過主MOSFET之電流。Part of the power application requires measuring the amount of current flowing through the power transistor. This is typically achieved by isolating and measuring a portion of the total component current and subsequently using it to extrapolate the total current flowing through the component. The isolated portion of the total component current flows through a current sensing or detecting component and produces a signal indicative of the magnitude of the isolated current and which is then used to determine the total component current. This configuration is known as a current monitor. Current sensing transistors are typically monotonically provided with power components and two of the components share a common substrate (ankle) and brake. Figure 60 is a simplified diagram of a MOSFET 6000 having a current sensing component 6002. The current flowing through the main MOSFET 6000 is split between the main transistor and the current sensing portion 6002 in proportion to each active region. Therefore, the current flowing through the main MOSFET is calculated by measuring the current through the sensing element and then multiplying it by the ratio of the active regions.

用於隔離電流感測元件與主元件之各種不同的方法係描述於共同擁有之葉迪納克(Yedinak)等人的名稱為“用於隔離功率元件上的電流感測同時維持一連續條帶晶胞之方法”的美國專利申請案No.10/315,719號,該案以引用方式整體併入本文中。用於整合感測元件與各種不同功率元件(包括具有電荷平衡結構者)之實施例係描述於下文中。根據一實施例,一具有電荷平衡結構及單調性整合的電流感測元件之功率電晶體中,電流感測區域較佳係形成有相同的連續MOSFET結構及電荷平衡結構。若不維持電荷平衡結構中的連續性,元件崩潰電壓將由於電荷不匹配造成電壓支持區未完全空乏而產生劣化。第61A圖顯示一具有一平面性閘結構及經隔離的電流感測結構6115之電荷平衡MOSFET 6100的一示範性實施例。此實施例中,電荷平衡結構係包括形成於漂移區6104內側(n型)之相反傳導性(此範例中為p型)條紋6126。P型條柱6126譬如可形成為經摻雜多晶矽或磊晶經充填溝道。如第61A圖所描繪,電荷平衡結構係在電流感測結構6115底下維持連續性。覆蓋住電流感測元件6115的表面區域之感測墊金屬6113係藉由介電區6117而與源金屬6116電性分離。請瞭解具有類似結構的電流感測元 件係可與此處所述的任何其他功率元件加以整合。譬如,第61B圖顯示一電流感測元件可如何與一具有經屏蔽閘之溝道MOSFET加以整合之一範例,其中可藉由調整溝道深度及偏壓溝道內側的屏蔽多晶矽來獲得電荷平衡。A variety of different methods for isolating the current sensing element from the main element are described in the commonly owned Yedinak et al. entitled "Current sensing for isolating power components while maintaining a continuous strip. U.S. Patent Application Serial No. 10/315,719, the entire disclosure of which is incorporated herein by reference. Embodiments for integrating sensing elements with a variety of different power components, including those having a charge balancing structure, are described below. According to an embodiment, in a power transistor having a charge balancing structure and a monotonically integrated current sensing element, the current sensing region is preferably formed with the same continuous MOSFET structure and charge balance structure. If the continuity in the charge balancing structure is not maintained, the component breakdown voltage will deteriorate due to the charge mismatch caused by the voltage support region not being completely depleted. Figure 61A shows an exemplary embodiment of a charge balancing MOSFET 6100 having a planar gate structure and isolated current sensing structure 6115. In this embodiment, the charge balancing structure includes opposite conductivity (p-type in this example) stripes 6126 formed inside the drift region 6104 (n-type). The P-type strips 6126 can be formed, for example, as doped polysilicon or epitaxial filled channels. As depicted in FIG. 61A, the charge balancing structure maintains continuity under the current sensing structure 6115. The sensing pad metal 6113 covering the surface area of the current sensing element 6115 is electrically separated from the source metal 6116 by the dielectric region 6117. Please understand the current sensing element with similar structure The components can be integrated with any of the other power components described herein. For example, Figure 61B shows an example of how a current sensing element can be integrated with a shielded gated MOSFET, where charge balancing can be achieved by adjusting the channel depth and biasing the shield polysilicon inside the channel. .

具有數種需將二極體整合在與功率電晶體相同的晶粒上之功率應用。此等應用係包括溫度感測、靜電放電(ESD)保護、主動鉗位、及電壓分割與其他應用。譬如,對於溫度感測,一或多個串聯連接的二極體係與功率電晶體呈單調性整合,其中因此引出二極體的陽極及陰極終止以分離結合墊,或利用傳導性互連件連接至單調性控制電路組件。藉由一或多個二極體的正向電壓(Vf)變化來感測溫度。譬如,藉由對於功率電晶體的閘終端之適當互連,當二極體Vf隨著溫度而下降,閘電壓被拉低而使流過元件之電流降低直到抵達所需要溫度為止。There are several power applications that require the diode to be integrated on the same die as the power transistor. These applications include temperature sensing, electrostatic discharge (ESD) protection, active clamping, and voltage splitting with other applications. For example, for temperature sensing, one or more series connected bipolar systems are monotonically integrated with the power transistor, wherein the anode and cathode of the lead are terminated to separate the bond pads, or connected by conductive interconnects. To monotonic control circuit components. The temperature is sensed by a change in forward voltage (Vf) of one or more diodes. For example, by proper interconnection of the gate terminals of the power transistors, as the diode Vf drops with temperature, the gate voltage is pulled low and the current flowing through the components is lowered until the desired temperature is reached.

第62A圖顯示一具有串聯溫度感測二極體之MOSFET 6200A的一示範性實施例。MOSFET 6200A係包括一二極體結構6215,其中具有交替傳導性之經摻雜多晶矽係形成三個串聯溫度感測二極體。此示範性實施例中,元件6200A之MOSFET部分係採用p型磊晶經充填電荷平衡溝道而在n型磊晶漂移區6204內側形成相反傳導性區域。如圖所描繪,電荷平衡結構較佳係在溫度感測二極體結構6215底下維持連續性。二極體結構係形成在位於矽表面上之一場介電(氧化物)層6219頂部上。一p型接面隔離區6221係可選擇性擴散於介電層6219底下。一不具有此p型接面之元件 6200B顯示於第62B圖中。為了確保能夠獲得串聯經正向偏壓二極體,使用短路金屬6223來短路被逆向偏壓之P/N+接面。一實施例中,p+係植入且擴散橫越接面以形成一N+/P/P+/N+結構,其中p+出現在短路金屬6223底下以獲得歐姆接觸。對於相反極性來說,N+亦可擴散橫越N/P+接面以形成P+/N/N+/P+結構。再者,熟習該技術者瞭解,此型溫度感測二極體結構可與此處所述的許多其他特性合併地使用在各種不同功率元件的任一者中。譬如,第62C圖描繪一具有一經屏蔽溝道閘結構之MOSFET 6200C,其中可對於電荷平衡使用屏蔽多晶矽。Figure 62A shows an exemplary embodiment of a MOSFET 6200A having a series temperature sensing diode. The MOSFET 6200A includes a diode structure 6215 in which the doped polysilicon system having alternating conductivity forms three series temperature sensing diodes. In this exemplary embodiment, the MOSFET portion of element 6200A forms a reverse conductivity region inside the n-type epitaxial drift region 6204 using a p-type epitaxial filled charge balancing channel. As depicted, the charge balancing structure preferably maintains continuity under the temperature sensing diode structure 6215. A diode structure is formed on top of a field dielectric (oxide) layer 6219 on the surface of the crucible. A p-type junction isolation region 6221 is selectively diffused under the dielectric layer 6219. a component that does not have this p-type junction 6200B is shown in Figure 62B. In order to ensure that a series forward biased diode can be obtained, a shorted metal 6223 is used to short the reverse biased P/N+ junction. In one embodiment, the p+ is implanted and diffused across the junction to form an N+/P/P+/N+ structure, wherein p+ occurs under the short metal 6223 to obtain an ohmic contact. For the opposite polarity, N+ can also diffuse across the N/P+ junction to form a P+/N/N+/P+ structure. Moreover, those skilled in the art will appreciate that this type of temperature sensing diode structure can be used in any of a variety of different power components in combination with many of the other features described herein. For example, Figure 62C depicts a MOSFET 6200C having a shielded trench gate structure in which a shielded polysilicon can be used for charge balancing.

另一實施例中,利用溫度感測二極體所用之元件6200中所示的類似隔離技術,可實行不對稱性ESD保護。基於ESD保護,二極體結構的一端係電性連接至源終端而另一端連接至元件的閘終端。或者,如第63A及63B圖所示藉由不使任何背對背的N+/P/N+接面產生短路而獲得對稱性ESD保護。第63A圖所示的示範性MOSFET 6300A係採用一平面性閘結構且使用相反傳導性條紋以供電荷平衡之用,同時第63B圖所示的示範性MOSFET 6300B係為一具有經屏蔽閘結構之溝道閘元件。為了防止電荷平衡的不均勻,電荷平衡結構係在閘結合墊金屬及任何其他的控制部件結合墊底下呈現連續。In another embodiment, asymmetric ESD protection can be performed using similar isolation techniques as shown in element 6200 for temperature sensing diodes. Based on ESD protection, one end of the diode structure is electrically connected to the source terminal and the other end is connected to the gate terminal of the component. Alternatively, symmetric ESD protection can be obtained by shorting any back-to-back N+/P/N+ junctions as shown in Figures 63A and 63B. The exemplary MOSFET 6300A shown in FIG. 63A employs a planar gate structure and uses opposite conductive stripes for charge balancing, while the exemplary MOSFET 6300B shown in FIG. 63B is a shielded gate structure. Channel gate element. In order to prevent uneven charge balance, the charge balancing structure is continuous under the bond pad metal and any other control component bonding pads.

示範性ESD保護電路係顯示於第64A至64D圖中,其中包含被上述二極體結構所保護的閘之主元件係可為使用任一電荷平衡或其他技術之任一種此處所述的功率元件。第 64A圖顯示一不對稱經隔離多晶矽二極體ESD保護之簡化圖,而第64B圖描繪一標準背對背經隔離多晶矽二極體ESD保護電路。第64C圖所示的ESD保護電路係使用一NPN電晶體以供BVcer 彈回(snap-back)之用。BVcer 中的下標“cer”係指一經逆向偏壓集極-射極雙極電晶體接面,其中對於基極的一連接係使用一電阻器來控制基極電流。低電阻係造成大部份射極電流經由基極被移除而防止射極-基極接面的接通,亦即將少數載體注射回到集極內。可藉由電阻器數值來設定接通條件。當載體注射回到集極內時,射極與集極之間的維持電壓係降低--一種稱為“彈回”的現象。可藉由調整基極-射極電阻器RBE 的數值來設定使BVcer 彈回被觸發之電流。第64D圖顯示一如圖所示使用一矽控制式整流器或SCR及二極體之ESD保護電路。利用一閘陰極短路結構,可控制觸發電流。可利用二極體崩潰電壓來偏移令SCR產生閂鎖之電壓。如上述的單調性二極體結構可使用在這些與其他ESD保護電路的任一者中。An exemplary ESD protection circuit is shown in Figures 64A through 64D, wherein the main component of the gate that is protected by the diode structure described above can be any of the power balancing or other techniques described herein. element. Figure 64A shows a simplified diagram of an asymmetrically isolated polysilicon diode ESD protection, while Figure 64B depicts a standard back-to-back isolated polysilicon diode ESD protection circuit. The ESD protection circuit shown in Fig. 64C uses an NPN transistor for BV cer snap-back. The subscript "cer" in BV cer refers to a reverse biased collector-emitter bipolar transistor junction in which a resistor is used to control the base current for a connection of the base. The low resistance causes most of the emitter current to be removed via the base to prevent the emitter-base junction from being turned on, that is, a small number of carriers are injected back into the collector. The on condition can be set by the resistor value. When the carrier is injected back into the collector, the sustain voltage between the emitter and the collector is reduced - a phenomenon known as "bounce back". The current that causes the BV cer to bounce back can be set by adjusting the value of the base-emitter resistor R BE . Figure 64D shows an ESD protection circuit using a controlled rectifier or SCR and diode as shown. The trigger current can be controlled by a gate short circuit structure. The diode breakdown voltage can be utilized to offset the voltage that causes the SCR to latch. A monotonic diode structure as described above can be used in any of these and other ESD protection circuits.

部分功率應用中,一功率切換元件之一重要的效能特徵係在於其等效串聯電阻或ESR,且這是切換終端或閘的阻抗之一種測量方式。譬如,在使用功率MOSFET之同步公轉換器(synchronous buck converters)中,較低的ESR有助於降低切換損失。在溝道閘式MOSFET之案例中,其閘ESR大部份係取決於充填有多晶矽的溝道之尺寸。譬如,閘溝道的長度可能係被諸如最小導線結合墊尺寸等封裝限制加以拘限。已知藉由將一矽化物膜施加至多晶矽將降低閘的 電阻。然而,若要在溝道MOSFET中實行經矽化的多晶矽將構成數種挑戰。典型的平面性離散MOS結構中,閘多晶矽可在接面已經植入及驅動至其各別深度之後受到矽化。對於其中使閘多晶矽凹入之溝道閘元件,矽化物的施加變得更為複雜。利用習知的矽化物係將晶圓可承受後矽化物處理之最大溫度限制成為近似小於900℃。這在形成諸如源、汲及井等經擴散區時係對於製程的階段構成顯著的拘限。矽化物最常使用的金屬係為鈦。亦可使用諸如鎢、鉭、鈷及鉑等其他金屬以允許具有一較高熱預算後矽化物處理藉以提供更大的處理餘地。亦可藉由各種不同的佈局技術來降低閘ESR。In some power applications, one of the important performance characteristics of a power switching component is its equivalent series resistance or ESR, and this is a measure of the impedance of the switching terminal or gate. For example, in synchronous buck converters that use power MOSFETs, a lower ESR helps to reduce switching losses. In the case of a trench gate MOSFET, most of its gate ESR is dependent on the size of the channel filled with polysilicon. For example, the length of the gate channel may be limited by package constraints such as minimum wire bond pad size. It is known to reduce the gate by applying a vapor film to the polysilicon. resistance. However, implementing a deuterated polysilicon in a trench MOSFET would pose several challenges. In a typical planar discrete MOS structure, the gate polysilicon can be deuterated after the junction has been implanted and driven to its respective depth. For trench gate elements in which the gate polysilicon is recessed, the application of germanide becomes more complicated. The maximum temperature limit at which wafers can withstand post-deuteration treatment is approximately less than 900 °C using conventional telluride systems. This constitutes a significant constraint on the stage of the process when forming diffusion regions such as sources, helium and wells. The most commonly used metal for telluride is titanium. Other metals such as tungsten, tantalum, cobalt, and platinum may also be used to allow for a higher thermal budget post-halide treatment to provide greater processing. The gate ESR can also be reduced by a variety of different layout techniques.

下文描述用於形成具有較低ESR之經電荷平衡的功率切換元件的各種不同實施例。第65圖所示的一實施例中,一程序6500係包括基於屏蔽及/或電荷平衡目的來形成具有一在溝道下部處所形成的下電極之溝道(步驟6502)。接著係為沉積及蝕刻一IPD層(步驟6504)。IPD層可藉由已知程序形成。或者,可使用上文連同第45至50圖所述的任一程序來形成IPD層。接著,在步驟6505利用已知程序來沉積及蝕刻一上電極或閘多晶矽。接著係植入及驅動井及源區(步驟6508)。在步驟6508之後,矽化物係於步驟6510中施加至閘多晶矽。然後接著係為步驟6512之一介電質的沉積及平面化。此程序的一變異中,首先進行沉積及平面化介電場之步驟6512,然後開啟接觸孔以觸及源/體部與閘,隨後形成矽化物接觸部。這兩實施例係仰賴被一比矽化物膜轉變 點更低的低溫退火所活化之重體部植入區。Various different embodiments for forming a charge balanced power balancing component with a lower ESR are described below. In an embodiment illustrated in FIG. 65, a process 6500 includes forming a channel having a lower electrode formed at a lower portion of the trench based on shielding and/or charge balancing purposes (step 6502). This is followed by deposition and etching of an IPD layer (step 6504). The IPD layer can be formed by known procedures. Alternatively, the IPD layer can be formed using any of the procedures described above in connection with Figures 45 through 50. Next, a known procedure is used to deposit and etch an upper electrode or gate polysilicon in step 6505. The well and source regions are then implanted and driven (step 6508). After step 6508, the telluride is applied to the gate polysilicon in step 6510. This is followed by deposition and planarization of a dielectric in step 6512. In a variation of this procedure, a step 6512 of depositing and planarizing the dielectric field is first performed, and then the contact hole is opened to touch the source/body and the gate, and then the germanide contact is formed. The two embodiments rely on a bismuth film transformation The lower part of the implantation site is activated by a lower temperature annealing.

另一實施例中,多晶矽閘係被一金屬閘取代。根據此實施例,利用一經準直源藉由譬如沉積Ti來形成一金屬閘,以改良一溝道結構中的充填能力。金屬閘施加之後且一旦接面已經被植入及驅動,介電選項係包括HDP及TEOS以隔離閘與源/體部接觸部。替代性實施例中,使用一具有從鋁到銅頂金屬等各種不同金屬選項的鑲嵌或雙鑲嵌途徑來形成閘終端。In another embodiment, the polysilicon gate system is replaced by a metal gate. According to this embodiment, a metal gate is formed by, for example, depositing Ti by a collimated source to improve the filling ability in a channel structure. After the metal gate is applied and once the junction has been implanted and driven, the dielectric options include HDP and TEOS to isolate the gate from the source/body contacts. In an alternative embodiment, a gate terminal is formed using a damascene or dual damascene approach having various different metal options, from aluminum to copper top metal.

閘導體的佈局亦會影響閘ESR及元件的整體切換速度。第66A及66B圖所示的另一實施例中,一佈局技術係合併垂直經矽化表面多晶矽條紋與經凹入溝道多晶矽以降低閘ESR。參照第66A圖,顯示一高度簡化的元件結構6600且其中一塗有矽化物的多晶矽線6604係垂直於溝道條紋6602沿著矽化物表面延伸。第66B圖顯示元件6600沿著AA’軸線之簡化橫剖視圖。經矽化多晶矽線6604係在與溝道的交會部接觸到閘多晶矽。多重經矽化多晶矽線6604可延伸於矽表面頂上以降低閘電極的電阻係數。譬如藉由具有兩或多層互連件之程序,此佈局技術及其他佈局技術係可用來改良此處所述的任一溝道閘元件中之閘ESR。The layout of the gate conductor also affects the overall switching speed of the gate ESR and components. In another embodiment, illustrated in Figures 66A and 66B, a layout technique incorporates vertical germanium-surfaced polysilicon stripes and recessed-channel polysilicon to reduce gate ESR. Referring to Figure 66A, a highly simplified element structure 6600 is shown and one of the germanide-coated polysilicon lines 6604 extends perpendicular to the channel strips 6602 along the telluride surface. Figure 66B shows a simplified cross-sectional view of element 6600 along the AA' axis. The deuterated polysilicon line 6604 is in contact with the channel polysilicon at the intersection with the channel. The multi-twisted polysilicon line 6604 can extend over the top surface of the germanium surface to reduce the resistivity of the gate electrode. This layout technique and other layout techniques can be used to improve the gate ESR in any of the channel gate elements described herein, for example, by having a program with two or more interconnects.

電路應用Circuit application

譬如藉由此處描述的各種不同元件及程序技術所提供之元件接通電阻的鉅幅降低,可減小功率元件所佔用的晶片面積。結果,這些高電壓元件與低電壓邏輯及控制電路之單調性整合將變得可行。典型的電路應用中,可整合在 與功率電晶體相同的晶粒上之功能類型係包括功率控制、感測、保護及介面電路。功率元件與其他電路的單調性整合之一重要考量因素係在於用來電性隔離高電壓功率元件與低電壓邏輯或控制電路之技術。存在數種已知之達成此作用的途徑,包括接面隔離、介電隔離、矽晶絕緣體、及類似方式。For example, by the substantial reduction in component on-resistance provided by the various components and programming techniques described herein, the area of the wafer occupied by the power components can be reduced. As a result, monotonic integration of these high voltage components with low voltage logic and control circuitry will become feasible. Typical circuit applications can be integrated The functional types on the same die as the power transistors include power control, sensing, protection, and interface circuitry. One of the important considerations for the monotonic integration of power components with other circuits is the technique used to electrically isolate high voltage power components from low voltage logic or control circuits. There are several known ways to achieve this, including junction isolation, dielectric isolation, twinned insulators, and the like.

下文中,將描述用於功率切換之數種電路應用,其中各種不同電路組件可以不同程度地整合在相同晶片上。第67圖描繪一需要較低電壓元件之同步公轉換器(DC-DC轉換器)。此電路中,常稱為“高側開關”的n通路MOSFET Q1係設計為具有一適度的低接通電阻但呈現快速的切換速度以盡量減少功率損失。常稱為低側開關的MOSFET Q2係設計為具有一很低的接通電阻及適度的高切換速度。第68圖描繪另一種更適合中至高電壓元件之DC-DC轉換器。此電路中,主切換元件Qa係表現出快速的切換速度,及高的阻絕電壓。因為此電路使用一變壓器,低電流係流過電晶體Qa而可使其具有中至低的接通電阻。對於同步整流器Qs,可使用一具有低至很低接通電阻、快速切換速度、很低的逆向回復電荷及低的電極間電容之MOSFET。此等DC-DC轉換器之其他實施例及改良係更詳細地描述於共同讓渡之歐班郝依(Elbanhawy)的名稱為“用於降低DC-DC轉換器中的損失之方法及電路”的美國專利申請案No.10/222,481號(事務所案號No.18865-91-1/17732-51430),該案以引用方式整體併入本文中。In the following, several circuit applications for power switching will be described in which various different circuit components can be integrated on the same wafer to varying degrees. Figure 67 depicts a synchronous male converter (DC-DC converter) that requires a lower voltage component. In this circuit, the n-channel MOSFET Q1, often referred to as the "high-side switch", is designed to have a moderately low on-resistance but exhibits fast switching speeds to minimize power loss. The MOSFET Q2, often referred to as the low side switch, is designed to have a very low on-resistance and a moderately high switching speed. Figure 68 depicts another DC-DC converter that is more suitable for medium to high voltage components. In this circuit, the main switching element Qa exhibits a fast switching speed and a high blocking voltage. Since this circuit uses a transformer, a low current flows through the transistor Qa to have a medium to low on-resistance. For the synchronous rectifier Qs, a MOSFET having a low to very low on-resistance, a fast switching speed, a very low reverse recovery charge, and a low interelectrode capacitance can be used. Other embodiments and improvements of such DC-DC converters are described in more detail in the name "Electrical Method and Circuit for Reducing Losses in DC-DC Converters" by the common transfer of Elbanhawy. U.S. Patent Application Serial No. 10/222,481, the entire disclosure of which is incorporated herein by reference.

可使用上述各種不同功率元件結構的任一者來實行第67及68圖的轉換器電路中之MOSFET。屬於第4A圖所示類型的雙閘MOSFET譬如係為用來實行同步公轉換器時可提供特定優點之一型元件。一實施例中,一特定驅動方案係利用雙閘MOSFET所提供的全部特性之優點。此實施例的一範例顯示於第69圖,其中高側MOSFET Q1的一第一閘終端G2係使其電位由二極體D1、電阻器R1及R2及電容器C1所構成之電路加以決定。Q1的閘電極G2上之固定式電位可被調整以適應最好的Qgd,使得電晶體的切換時間達到最佳化。高側切換電晶體Q1的第二閘終端G1係從脈寬調變(PWM)控制器/驅動器(未圖示)接收正常閘驅動訊號。低側切換電晶體Q2的兩閘電極係被類似地驅動,如圖所示。The MOSFETs in the converter circuits of Figures 67 and 68 can be implemented using any of the various different power device configurations described above. A dual gate MOSFET of the type shown in Figure 4A is a type of component that provides a particular advantage when used to implement a synchronous male converter. In one embodiment, a particular drive scheme utilizes the advantages of all of the features provided by the dual gate MOSFET. An example of this embodiment is shown in Fig. 69, in which a first gate terminal G2 of the high-side MOSFET Q1 is determined by a circuit composed of a diode D1, resistors R1 and R2, and a capacitor C1. The fixed potential on the gate electrode G2 of Q1 can be adjusted to accommodate the best Qgd, so that the switching time of the transistor is optimized. The second gate terminal G1 of the high side switching transistor Q1 receives a normal gate driving signal from a pulse width modulation (PWM) controller/driver (not shown). The two gate electrodes of the low side switching transistor Q2 are similarly driven as shown.

一替代性實施例中,其一範例顯示於第70A圖中,高側開關的兩閘電極皆被分開地驅動以進一步使電路具有最佳化的效能。根據此實施例,不同波形係驅動高側開關Q1的閘終端G1及G2以在轉變期間達成最好的切換速度且在此週期其餘部分期間達成最好的接通電阻RDSon 。圖示範例中,切換期間一約5伏特的電壓Va係將很低的Qgd輸送至高側開關Q1的閘而導致高的切換速度,但轉變td1及td2之前與之後的RDSon 並未處於其最低值。然而,因為在切換期間RDSon 並非顯著的損失貢獻者,這並未負面地影響電路的操作。為了確保脈衝時程的其餘部分期間具有最低的RDSon ,如第70B圖的定時圖所示在時間週期tp期間,位於閘終端G2的電位Vg2 係被驅動至一比Va更高之第二電壓Vb。此驅動方 案係導致最佳效率。這些驅動方案的變異係更詳細地描述於共同讓渡之歐班郝依(Elbanhawy)的名稱為“用於雙閘MOSFET之驅動器”的美國專利申請案No.10/686,859號(事務所案號No.17732-66930),該案以引用方式整體併入本文中。In an alternative embodiment, an example of which is shown in Figure 70A, the two gate electrodes of the high side switch are driven separately to further optimize the performance of the circuit. According to this embodiment, the different waveforms drive the gate terminals G1 and G2 of the high side switch Q1 to achieve the best switching speed during the transition and achieve the best on-resistance RD Son during the remainder of the cycle. In the illustrated example, a voltage Va of about 5 volts during the switching period delivers a very low Qgd to the gate of the high-side switch Q1 resulting in a high switching speed, but the RD Son before and after the transition to td1 and td2 is not at its lowest. value. However, since RD Son is not a significant loss contributor during the handover, this does not negatively affect the operation of the circuit. In order to ensure that the rest of the pulse duration has the lowest RD Son , the potential V g2 at the gate terminal G2 is driven to a second higher than Va during the time period tp as shown in the timing diagram of FIG. 70B. Voltage Vb. This drive scheme results in optimum efficiency. The variants of these drive schemes are described in more detail in U.S. Patent Application Serial No. 10/686,859, to the name of the "Essence of the Double Gate MOSFET" by Elbanhawy. No. 17732-66930), which is incorporated herein in its entirety by reference.

封裝技術Packaging technology

對於所有功率半導體元件之一項重要考量因素係為用以將元件連接至電路之殼體或封裝體。半導體晶粒通常利用諸如銲料等金屬結合層或充填有金屬的環氧樹脂黏劑附接至一金屬墊。導線通常係結合至晶片的頂表面且隨後結合至經由模製體部突起之引線。此總成隨後安裝至一電路板。殼體在半導體晶片與電子系統及其環境之間提供電性與熱性連接。低寄生電阻、電容及電感係為殼體之理想的電氣特性,藉以能夠對於晶片具有一較好的介面。An important consideration for all power semiconductor components is the housing or package used to connect the components to the circuit. Semiconductor dies are typically attached to a metal pad using a metal bond layer such as solder or a metal-filled epoxy adhesive. The wires are typically bonded to the top surface of the wafer and subsequently bonded to the leads that protrude through the molded body. This assembly is then mounted to a board. The housing provides an electrical and thermal connection between the semiconductor wafer and the electronic system and its environment. Low parasitic resistance, capacitance, and inductance are desirable electrical characteristics of the housing, thereby enabling a better interface to the wafer.

已經提供著重在封裝體中降低電阻及電感之封裝技術的改良。特定封裝技術中,銲球或銅柱段(copper stud)係分佈在晶片之相對較薄(譬如2-5微米)的金屬表面上。藉由將金屬連接部分佈在大面積金屬表面上,使金屬中的電流路徑成為較短且降低金屬電阻。如果晶片的凸塊狀側連接至一銅引線框或連接至一印刷電路板上的銅跡線,功率元件的電阻比起導線結合的解決方案係相形降低。Improvements in packaging techniques that focus on reducing resistance and inductance in the package have been provided. In a particular packaging technique, a solder ball or copper stud is distributed over a relatively thin (e.g., 2-5 micron) metal surface of the wafer. By routing the metal connections over a large area of the metal surface, the current path in the metal is made shorter and the metal resistance is reduced. If the bump side of the wafer is connected to a copper leadframe or to a copper trace on a printed circuit board, the resistance of the power component is reduced compared to the wire bond solution.

第71及72圖分別顯示經模製及未經模製封裝體之簡化橫剖視圖,且其使用可將引線框連接至晶片的金屬表面之銲球或銅柱段。如第71圖所示的經模製封裝體7100係包括 一引線框7106且其經由銲球或銅柱段7104連接至一晶粒7102的一第一側。背離引線框7106之晶粒7102的第二側係經由一模製材料7108暴露出來。典型的垂直功率電晶體中,晶粒的第二側係形成汲終端。晶粒的第二側可形成對於電路板上的一墊之直接電性連接,因此對晶粒提供一低阻熱性與電性路徑。此型封裝體及其變異係更詳細地描述於共同讓渡之裘希(Joshi)等人的名稱為“經引線模製封裝體中的倒裝晶片及其製造方法”的美國專利申請案No.10/607,633號(事務所案號No.18865-42-1/17732-1342),該案以引用方式整體併入本文中。Figures 71 and 72 show simplified cross-sectional views of the molded and unmolded package, respectively, and using solder balls or copper posts that connect the leadframe to the metal surface of the wafer. The molded package 7100 as shown in Fig. 71 includes A lead frame 7106 is connected to a first side of a die 7102 via solder balls or copper post segments 7104. The second side of the die 7102 facing away from the leadframe 7106 is exposed through a molding material 7108. In a typical vertical power transistor, the second side of the die forms a germanium termination. The second side of the die can form a direct electrical connection to a pad on the board, thus providing a low resistance thermal and electrical path to the die. This type of package and its variants are described in more detail in U.S. Patent Application No. entitled "Flip Chip in Leaded Molded Package and Method of Making Same" by Joshi et al. .10/607,633 (Office No. 18865-42-1/17732-1342), which is incorporated herein in its entirety by reference.

第72圖顯示一封裝體7200的一未經模製實施例。第72圖所示的示範性實施例中,封裝體7200係具有一多層基材7212,此多層基材7212係包括一譬如含有金屬的基層7220、及一被一絕緣層7222分離之金屬層7221。銲料結構7213(譬如銲球)係附接至基材7212。一晶粒7211附接至基材7212,其中銲料結構7213配置於晶粒周圍。晶粒7211可由一諸如銲料7230等晶粒附接材料耦合至基材7212。當圖示封裝體形成之後,其翻轉且安裝在一電路板(未圖示)或其他電路基材上。在使一垂直功率電晶體製造在晶粒7211上之實施例中,銲球7230係形成汲終端連接部而晶片表面形成源終端。亦可能藉由逆轉晶粒7211至基材7212之連接來產生逆向連接。如圖所示,由於不需要模製材料,封裝體7200為薄形且未經模製。此型的未經模製封裝體之各種不同實施例係更詳細地描述於共同讓渡之裘希(Joshi)的名稱為“用 於半導體元件之未經模製封裝體”的美國專利申請案No.10/235,249號(事務所案號No.18865-007110/17732.26390.003),該案以引用方式整體併入本文中。Figure 72 shows an unmolded embodiment of a package 7200. In the exemplary embodiment shown in FIG. 72, the package 7200 has a multilayer substrate 7212 including a base layer 7220 containing a metal and a metal layer separated by an insulating layer 7222. 7221. A solder structure 7213, such as a solder ball, is attached to the substrate 7212. A die 7211 is attached to the substrate 7212 with the solder structure 7213 disposed about the die. The die 7211 can be coupled to the substrate 7212 by a die attach material such as solder 7230. When the illustrated package is formed, it is flipped over and mounted on a circuit board (not shown) or other circuit substrate. In an embodiment in which a vertical power transistor is fabricated on die 7211, solder balls 7230 form a tantalum termination and the wafer surface forms a source termination. It is also possible to create a reverse connection by reversing the connection of the die 7211 to the substrate 7212. As shown, the package 7200 is thin and unmolded since no molding material is required. Various embodiments of an unmolded package of this type are described in more detail in the name of Joshi, a common transfer. U.S. Patent Application Serial No. 10/235,249, the entire disclosure of which is incorporated herein by reference.

已經提出藉由銲料或傳導性環氧樹脂使晶片頂表面直接連接至銅之替代性方法。因為銅與矽晶片之間所引發的應力係隨著晶片面積而增加,因為銲料或環氧樹脂介面只可受到破壞前的應力程度,直接連接方法可能受到限制。另一方面,凸塊可在破壞前具有更大位移且已經展現出可與很大的晶片一起運作。Alternative methods of directly bonding the top surface of the wafer to copper by solder or conductive epoxy have been proposed. Because the stress induced between the copper and germanium wafers increases with wafer area, the direct bonding method may be limited because the solder or epoxy interface can only be subjected to stress levels prior to failure. On the other hand, the bumps can be more displaced before breaking and have been shown to work with very large wafers.

封裝設計的另一項重要考量因素係為散熱。功率半導體效能的改良係時常導致較小的晶片面積。如果晶片中的功率消散並未減小,熱能係集中在較小面積中而會導致較高溫度及變差的可靠度。用於增大離開封裝體的熱傳速率之手段係包括:減少熱介面的數量、使用具有較高熱傳導率之材料、及降低諸如矽、銲料、晶粒附接物及晶粒附接墊等層的厚度。以引用方式整體併入本文中的共同讓渡之裘希(Rajeev Joshi)的名稱為“具有經改良熱性與電性效能之半導體晶粒封裝體”之美國專利案6,566,749號係討論對於散熱問題之解決方案,特別是對於包括供RF應用所用的垂直功率MOSFET之晶粒。用於改良整體封裝體效能之其他技術係更詳細地描述於共同讓渡之皆為裘希(Rajeev Joshi)的美國專利案6,133,634及6,469,384號、以及裘希(Joshi)等人的名稱為“經引線模製封裝體中之薄型熱增強式倒裝晶片”之美國專利申請案No.10/271,654號(事務所案號 No.18865-99-1/17732.53440)。請瞭解此處所述的任何各種不同功率元件皆可容置在此處所述的任意封裝體或任意其他適當的封裝體中。Another important consideration in package design is heat dissipation. Improvements in power semiconductor performance often result in smaller wafer areas. If the power dissipation in the wafer does not decrease, the thermal energy is concentrated in a small area which results in higher temperature and reliability of degradation. Means for increasing the heat transfer rate away from the package include: reducing the number of thermal interfaces, using materials having higher thermal conductivity, and reducing such as germanium, solder, die attach and die attach pads, etc. The thickness of the layer. U.S. Patent No. 6,566,749, to the name of "Semiconductor die package with improved thermal and electrical performance" by Rajeev Joshi, which is incorporated herein by reference in its entirety, for the purpose of heat dissipation. The solution, especially for dies including vertical power MOSFETs for RF applications. Other techniques for improving the overall package performance are described in more detail in the commonly assigned U.S. Patent Nos. 6,133,634 and 6,469,384, to Rajeev Joshi, and Joshi et al. U.S. Patent Application Serial No. 10/271,654, in the form of a thin, heat-enhanced flip-chip in a lead-molded package. No.18865-99-1/17732.53440). It is understood that any of the various power components described herein can be accommodated in any of the packages described herein or any other suitable package.

對於熱移除利用殼體的更大表面,亦可提高使諸如殼體頂及底部上的熱介面等殼體維持在較低溫度之能力。增大的表面積連帶這些表面周圍的氣流係可增高熱移除速率。殼體設計亦能夠容易與一外部排熱器形成介面。雖然熱傳導及紅外線輻射技術為常見的方法,亦可能應用其他冷卻方法。譬如,如以引用方式併入本文中的共同讓渡之羅塞提(Reno Rossetti)的名稱為“具有熱離子性冷卻系統之功率電路”美國專利申請案No.10/408,471號(事務所案號No.17732-66720)所描述之熱離子性發射係為一種可用來冷卻功率元件之熱移除方法。For heat removal utilizing the larger surface of the housing, the ability to maintain a housing such as a thermal interface on the top and bottom of the housing at a lower temperature can also be enhanced. The increased surface area associated with the airflow around these surfaces increases the rate of heat removal. The housing design can also easily form an interface with an external heat sink. Although heat transfer and infrared radiation techniques are common methods, other cooling methods may be applied. For example, Reno Rossetti, as incorporated herein by reference, is entitled "Power Circuits with Thermal Ion Cooling Systems" US Patent Application No. 10/408,471 (Company Case) The thermionic emission system described in No. 17732-66720) is a heat removal method that can be used to cool power components.

要將包括功率輸送的其他邏輯電路以及控制功能整合在單一封裝體中係造成額外的挑戰。舉例來說,殼體需要較多針腳來與其他電子功能形成介面。封裝體應可容許具有封裝體中的高電流功率互連件及低電流訊號互連件。可解決這些挑戰之各種不同封裝技術係包括晶片至晶片導線結合(chip-to-chip wire bonding)以消除特殊的介面墊、疊置晶片(chip-on-chip)以節省殼體內的空間、及多晶片模組以容許不同矽技術合併在單一電子功能內。多晶片封裝技術之各種不同實施例係描述於共同讓渡之裘希(Rajeev Joshi)的名稱為“在經引線模製封裝技術中使用倒裝晶片之堆積式封裝體”的美國專利申請案No.09/730,932號(事務所案號 No.18865-50/17732-19450)、及亦為裘希(Rajeev Joshi)的名稱為“包括含有一陣列互連結構的基材之多晶片模組”之No.10/330,741(事務所案號No.18865-121/17732-66650.08),兩案以引用方式整體併入本文中。Integrating other logic circuits including power delivery and control functions into a single package poses additional challenges. For example, the housing requires more pins to form an interface with other electronic functions. The package should allow for high current power interconnects and low current signal interconnects in the package. Various packaging technologies that address these challenges include chip-to-chip wire bonding to eliminate special interface pads, chip-on-chips to save space in the housing, and Multi-chip modules are designed to allow different technologies to be combined within a single electronic function. Various embodiments of the multi-chip package technology are described in U.S. Patent Application Serial No. 5, the entire disclosure of which is incorporated herein by reference. .09/730,932 (office case number No. 18865-50/17732-19450), and also No. 10/330, 741 (in the case of a multi-chip module including a substrate including an array of interconnect structures) by Rajeev Joshi No. 18865-121/17732-66650.08), both of which are incorporated herein by reference in their entirety.

雖然上文完整地描述本發明的較佳實施例,可能具有許多替代方式、修改及均等物。譬如,許多電荷平衡技術在此處係就一MOSFET且特別就一溝道閘式MOSFET加以描述。熟習該技術者瞭解,相同的技術可適用於其他類型的元件,包括IGBT、閘流體、二極體及平面性MOSFET以及側向元件。因此,基於此項與其他原因,上文描述不應視為限制住申請專利範圍所界定之本發明的範圍。Although the preferred embodiment of the invention has been described above in detail, many alternatives, modifications, and equivalents are possible. For example, many charge balancing techniques are described herein as a MOSFET and particularly as a trench gate MOSFET. Those skilled in the art understand that the same techniques are applicable to other types of components, including IGBTs, thyristors, diodes, and planar MOSFETs, as well as lateral components. Therefore, the above description should not be taken as limiting the scope of the invention as defined by the scope of the claims.

100‧‧‧n型溝道功率MOSFET(垂直溝道MOSFET)100‧‧‧n-channel power MOSFET (vertical channel MOSFET)

102,202,302,402,502,602,902,1002,1902‧‧‧閘溝道102, 202, 302, 402, 502, 602, 902, 1002, 1902 ‧ ‧ gate channel

104‧‧‧p型井或體部區104‧‧‧p-type well or body area

106‧‧‧n型漂移或磊晶區106‧‧‧n type drift or epitaxial region

108,1021,1921,2008‧‧‧薄介電層108, 1021, 1921, 2008‧‧‧ Thin dielectric layer

110,224,3811‧‧‧傳導材料110,224,3811‧‧‧Transmission materials

112‧‧‧N型源區112‧‧‧N-type source area

114‧‧‧經重度摻雜的n+基材區114‧‧‧ heavily doped n+ substrate area

118,218‧‧‧p+重體部區118,218‧‧‧p+heavy body area

200B,1300B‧‧‧平面性MOSFET200B, 1300B‧‧‧ planar MOSFET

204‧‧‧體部區204‧‧‧ Body District

206,306,506,606,806,1006,1406,1706,1906,2006,2406,6104‧‧‧漂移區206,306,506,606,806,1006,1406,1706,1906,2006,2406,6104‧‧‧ drift zone

210‧‧‧閘溝道傳導層210‧‧‧gate channel conduction layer

212‧‧‧n+源區212‧‧‧n+ source area

216,7221‧‧‧金屬層216,7221‧‧‧metal layer

220‧‧‧屏蔽溝道220‧‧‧Shielded channel

222,1508,3809S‧‧‧介電材料222,1508,3809S‧‧‧ dielectric materials

226,3908,4208B‧‧‧介電層226, 3908, 4208B‧‧‧ dielectric layer

300A‧‧‧經屏蔽閘溝道MOSFET(增強模式MOSFET)300A‧‧‧Shielded Gate Channel MOSFET (Enhanced Mode MOSFET)

300B‧‧‧經屏蔽閘溝道MOSFET300B‧‧‧Shielded Gate Channel MOSFET

301,520‧‧‧電荷控制溝道301,520‧‧‧charge control channel

310,810,910,910S,1110,1510,2010,2410,4510‧‧‧閘電極310,810,910,910S,1110,1510,2010,2410,4510‧‧‧ gate electrode

311,611,1111,2411,4811‧‧‧屏蔽電極311,611,1111,2411,4811‧‧‧Shield electrode

311a,311b,811,911‧‧‧電極311a, 311b, 811, 911 ‧ ‧ electrodes

313‧‧‧多重堆積狀的多晶矽電極313‧‧‧Multiple stacking polycrystalline germanium electrodes

400A‧‧‧雙閘溝道MOSFET...400A‧‧‧Double Gate Channel MOSFET...

400B,6300A,6300B‧‧‧示範性MOSFET400B, 6300A, 6300B‧‧‧ exemplary MOSFET

400D‧‧‧具有深體部設計的MOSFET400D‧‧‧ MOSFET with deep body design

400F‧‧‧具有溝道式深體部418的經逆向偏壓閘MOSFET400F‧‧‧Reversely biased gate MOSFET with trench deep body 418

400G‧‧‧具有一淺體部結構的經逆向偏壓屏蔽閘MOSFET400G‧‧‧Reversely biased shielded gate MOSFET with a shallow body structure

401‧‧‧重疊區401‧‧‧Overlapping area

402C,502C,802,820A,820B,902C,902L,902R,1402,1502,2002,3002,3102,3202,3302,3802,4002‧‧‧溝道402C, 502C, 802, 820A, 820B, 902C, 902L, 902R, 1402, 1502, 2002, 3002, 3102, 3202, 3302, 3802, 4002‧ ‧ channel

404,2308,3704‧‧‧p井404, 2308, 3704‧‧‧p well

406‧‧‧漂移區406‧‧‧ drift zone

411‧‧‧屏蔽層411‧‧‧Shield

418,418E‧‧‧體部溝道418,418E‧‧‧ body channel

419‧‧‧p+屏蔽接面(p+體部植入件)419‧‧‧p+ shield joint (p+ body implant)

420‧‧‧深溝道420‧‧‧deep channel

500A‧‧‧具有平面性閘結構之示範性功率MOSFET(增強模式電晶體)500A‧‧‧Exemplary power MOSFET with planar gate structure (enhanced mode transistor)

500B‧‧‧溝道MOSFET(增強模式電晶體)500B‧‧‧Channel MOSFET (Enhanced Mode Transistor)

500C‧‧‧免除次級電荷控制溝道之具有垂直電荷控制的溝道MOSFET(增強模式電晶體)500C‧‧‧Channel with vertical charge control (secondary charge control channel) with vertical charge control (enhanced mode transistor)

501,2610,3310,3810‧‧‧閘多晶矽501, 2610, 3310, 3810‧‧ ‧ gate polysilicon

524‧‧‧浮p區524‧‧‧Float p area

526‧‧‧p型層或襯墊526‧‧‧p-type layer or liner

526C,626,1826P‧‧‧p型襯墊526C, 626, 1826P‧‧‧p type liner

600‧‧‧適合亦需要較快切換的較高電壓應用之功率MOSFET600‧‧‧ Suitable for power MOSFETs for higher voltage applications that also require faster switching

610‧‧‧閘傳導材料610‧‧‧ brake conductive materials

620,720,820,920,920A,920B,1720,1820‧‧‧充填有介電質的溝道620, 720, 820, 920, 920A, 920B, 1720, 1820 ‧ ‧ filled with dielectric channels

701,1506,1504,1512,2506,5740‧‧‧區701, 1506, 1504, 1512, 2506, 5740‧‧‧

706‧‧‧n漂移區706‧‧‧n drift zone

726,926‧‧‧經p摻雜襯墊726, 926‧‧‧p-doped gasket

800‧‧‧經屏蔽閘MOSFET800‧‧‧Shielded gate MOSFET

828,928A,928B,1328‧‧‧蕭特基二極體828, 928A, 928B, 1328‧‧‧ Schottky diode

902B,1102,1202,2502,2602,3202‧‧‧主動溝道902B, 1102, 1202, 2502, 2602, 3202‧‧‧ active channel

923,925,1023,1025‧‧‧PN區923, 925, 1023, 1025‧‧ PN area

928C‧‧‧蕭特基晶胞928C‧‧‧Schottky Cell

1000‧‧‧示範性溝道MOSFET1000‧‧‧ exemplary channel MOSFET

1008,3108a,3308a‧‧‧閘氧化物1008, 3108a, 3308a‧‧‧ gate oxide

1020,1220,1920‧‧‧二極體溝道1020, 1220, 1920‧‧ ‧ diode channel

1027,1927‧‧‧底部區1027, 1927‧‧‧ bottom area

1200‧‧‧合併雙閘技術與溝道式二極體結構之MOSFET...1200‧‧‧ MOSFET with dual gate technology and trench diode structure...

1400‧‧‧具有與電流流動呈平行排列的交替傳導性區之示範性累積模式電晶體1400‧‧‧Exemplary cumulative mode transistor with alternating conductivity regions arranged in parallel with current flow

1403,1405‧‧‧相反極性的柱狀n型及p型段1403, 1405‧‧‧ Columnar n-type and p-type segments of opposite polarity

1412‧‧‧n型通路區1412‧‧‧n type access area

1413,1606,1612‧‧‧n型區1413, 1606, 1612‧‧‧n type area

1414‧‧‧n型汲區1414‧‧‧n type

1500‧‧‧累積模式元件1500‧‧‧ cumulative mode components

1511‧‧‧經埋設電極1511‧‧‧ embedded electrode

1603‧‧‧較重度摻雜的n+源區1603‧‧‧heavily doped n+ source region

1700‧‧‧累積電晶體1700‧‧‧Accumulated transistor

1726‧‧‧p型區(p型襯墊)1726‧‧‧p-type area (p-type liner)

1826N‧‧‧n型襯墊1826N‧‧n type liner

1900,2000‧‧‧示範性累積模式電晶體1900, 2000‧‧‧ exemplary cumulative mode transistor

1923,1925‧‧‧相反傳導類型區1923, 1925‧‧‧ opposite conductivity type zone

2002‧‧‧閘終端2002‧‧‧ gate terminal

2012‧‧‧源區2012‧‧‧ source area

2023‧‧‧n型矽或多晶矽層2023‧‧‧n type tantalum or polysilicon layer

2025‧‧‧p型矽或多晶矽層2025‧‧‧p-type or polycrystalline layer

2100‧‧‧超接面MOSFET2100‧‧‧Super Junction MOSFET

2102‧‧‧電壓維持區或阻絕區2102‧‧‧Voltage maintenance zone or resistance zone

2104‧‧‧n型段2104‧‧‧n section

2106‧‧‧p型段2106‧‧‧p-type segment

2118‧‧‧經重度摻雜的p+區2118‧‧‧ heavily doped p+

2226‧‧‧浮區2226‧‧‧ floating area

2300‧‧‧合併超接面架構的變異與雙閘結構之高電壓MOSFET2300‧‧‧High-voltage MOSFETs incorporating a variation of the super-junction architecture and a double-gate structure

2306,2904A‧‧‧n型漂移區2306, 2904A‧‧‧n type drift zone

2326‧‧‧P型區2326‧‧‧P type area

2400‧‧‧合併了超接面技術與經屏蔽閘結構之高電壓MOSFET2400‧‧‧High voltage MOSFET with super junction technology and shielded gate structure

2426‧‧‧相反極性浮閘2426‧‧‧ opposite polarity floating gate

2503‧‧‧環形終止溝道2503‧‧‧Circular termination channel

2603,2603A,2603B,2603C‧‧‧終止溝道2603, 2603A, 2603B, 2603C‧‧‧ Termination channel

2604‧‧‧p-井區2604‧‧‧p-well area

2605A‧‧‧介電質(氧化物)2605A‧‧‧Dielectric (oxide)

2605B‧‧‧氧化物2605B‧‧‧Oxide

2607A,4309‧‧‧多晶矽2607A, 4309‧‧‧ Polysilicon

2607B‧‧‧多晶矽電極2607B‧‧‧Polysilicon electrode

2609A‧‧‧金屬場板2609A‧‧‧Metal field plate

2611‧‧‧屏蔽多晶矽電極2611‧‧‧Shielded polysilicon electrode

2703-1,2703-2‧‧‧呈現相對較大曲率半徑之終止溝道2703-1, 2703-2‧‧‧ Termination channel with relatively large radius of curvature

2803A‧‧‧p型條柱(終止條柱)2803A‧‧‧p-type bar (stop bar)

2803B‧‧‧條柱2803B‧‧‧ Column

2808D‧‧‧較寬井區2808D‧‧‧wider area

2809-1‧‧‧大場板2809-1‧‧‧ Large field plate

2809-2,2809A‧‧‧場板2809-2, 2809A‧‧‧ Field Board

2900A,2900B,6200‧‧‧元件2900A, 2900B, 6200‧‧‧ components

2904A‧‧‧電壓維持層2904A‧‧‧Voltage maintenance layer

2908A‧‧‧p型井2908A‧‧‧p well

2926A‧‧‧相反傳導性條柱2926A‧‧‧ opposite conductive strip

2926C‧‧‧相反極性條柱2926C‧‧‧The opposite polarity column

3000‧‧‧溝道元件3000‧‧‧Channel components

3001,3030,3040‧‧‧介電質(或氧化物)層3001, 3030, 3040‧‧‧ dielectric (or oxide) layer

3003‧‧‧第一氧化物層3003‧‧‧First oxide layer

3006,3406,3506,3606‧‧‧磊晶層3006, 3406, 3506, 3606‧‧‧ epitaxial layer

3010,3020,3110‧‧‧多晶矽層3010, 3020, 3110‧‧‧ polycrystalline layer

3012,3022‧‧‧開口3012, 3022‧‧‧ openings

3030‧‧‧氧化物層3030‧‧‧Oxide layer

3040‧‧‧頂氧化物層3040‧‧‧Top oxide layer

3108,4708S‧‧‧屏蔽介電層3108, 4708S‧‧‧Shielded dielectric layer

3108a,4508G‧‧‧閘介電層3108a, 4508G‧‧‧ gate dielectric layer

3109‧‧‧罩幕3109‧‧‧ Cover

3111,3311,4711‧‧‧屏蔽多晶矽3111,3311,4711‧‧‧Shielded polysilicon

3111a,3111b‧‧‧閘終端及多晶矽層3111a, 3111b‧‧ ‧ gate terminal and polysilicon layer

3112,3122,3132‧‧‧分離的金屬線3112, 3122, 3132‧‧‧ separate metal wires

3210‧‧‧周邊閘多晶矽流道3210‧‧‧ Peripheral gate polysilicon channel

3211C‧‧‧位置3211C‧‧‧Location

3213‧‧‧周邊屏蔽溝道3213‧‧‧ perimeter shielded channel

3215‧‧‧源及屏蔽接觸區域3215‧‧‧Source and shield contact areas

3217‧‧‧窗3217‧‧‧Window

3308,4808S‧‧‧屏蔽氧化物3308, 4808S‧‧‧ Shield Oxide

3414‧‧‧磷基材3414‧‧‧phosphorus substrate

3415‧‧‧磊晶間隔件或緩衝(或障壁)層3415‧‧‧Elevation spacer or buffer (or barrier) layer

3514‧‧‧硼或磷基材3514‧‧‧Born or phosphorus substrate

3515‧‧‧障壁層3515‧‧ ‧ barrier layer

3615‧‧‧Six C1-x 化合物(碳化矽層)3615‧‧‧Si x C 1-x compound (carbonized layer)

3630‧‧‧深體部區3630‧‧‧Deep Body District

3715‧‧‧Six C1-x (層)3715‧‧‧Si x C 1-x (layer)

3804‧‧‧井磊晶(磊晶井,第二層磊晶)3804‧‧‧ well epitaxial (extortion well, second epitaxy)

3806‧‧‧第一磊晶層3806‧‧‧First epitaxial layer

3808A,4608S‧‧‧屏蔽介電質3808A, 4608S‧‧‧Shielding dielectric

3808G‧‧‧閘介電質3808G‧‧‧ thyristor

3809A‧‧‧間際多晶矽介電層3809A‧‧‧Interstitial polysilicon dielectric layer

3814‧‧‧基材3814‧‧‧Substrate

3902‧‧‧屏蔽多晶矽嵌入溝道3902‧‧‧Shielded polysilicon embedded in the channel

3905‧‧‧第一井植入3905‧‧‧First well implant

4001‧‧‧經完成晶圓4001‧‧‧Finished wafer

4003‧‧‧結合材料4003‧‧‧Combined materials

4004‧‧‧毯覆磊晶井層4004‧‧‧ blanket overlaying well layer

4005‧‧‧載體4005‧‧‧ Carrier

4006‧‧‧第一磊晶漂移層4006‧‧‧First epitaxial drift layer

4006-1‧‧‧第二磊晶漂移層4006-1‧‧‧Second epitaxial drift layer

4008B‧‧‧介電柱4008B‧‧‧ dielectric column

4009‧‧‧低電阻(譬如金屬)晶圓4009‧‧‧Low-resistance (such as metal) wafers

4110‧‧‧將He或H2植入經重度參雜的矽基材內4110‧‧‧ implant He or H2 into a heavily doped ruthenium substrate

4112‧‧‧將矽基材結合至玻璃基材4112‧‧‧Combining tantalum substrate to glass substrate

4114‧‧‧劈切矽基材及形成SOTG4114‧‧‧ Cut the substrate and form SOTG

4116‧‧‧重覆上述程序以形成SOTG於基材的另一側上4116‧‧‧Repeat the above procedure to form SOTG on the other side of the substrate

4118‧‧‧將磊晶沉積在矽表面上4118‧‧‧ depositing epitaxial deposits on the surface of the crucible

4120‧‧‧在研磨階段利用研磨從背側移除矽層4120‧‧‧Removing the enamel layer from the back side by grinding during the grinding stage

4122‧‧‧將厚玻璃基材研磨至譬如300微米4122‧‧‧ Grinding thick glass substrates to, for example, 300 microns

4124‧‧‧藉由化學蝕刻移除其餘的玻璃4124‧‧‧Removing the remaining glass by chemical etching

4303,4403,5710‧‧‧墊氧化物4303,4403,5710‧‧‧Mat oxide

4305‧‧‧氮化物4305‧‧‧Nitride

4307‧‧‧“鳥喙”結構4307‧‧‧"Bird" structure

4405,5720‧‧‧氮化物層4405, 5720‧‧‧ nitride layer

4405-1‧‧‧非氧化性材料(保護層)4405-1‧‧‧Non-oxidizing materials (protective layer)

4508P‧‧‧多晶矽(poly)襯墊4508P‧‧‧Polysilicon liner

4608F‧‧‧介電充填材料4608F‧‧‧ dielectric filling material

4708T‧‧‧較厚絕緣體4708T‧‧‧ thicker insulator

4808T‧‧‧厚絕緣體層4808T‧‧‧ Thick insulator layer

4903‧‧‧氮化矽4903‧‧‧Nitride

4908P‧‧‧篩網氧化物4908P‧‧‧Screen oxide

4908T,5730‧‧‧厚氧化物4908T, 5730‧‧‧ thick oxide

5002‧‧‧電漿蝕刻以平面化IPD膜5002‧‧‧ Plasma etching to planarize IPD film

5003‧‧‧CMP以平面化IPD膜5003‧‧‧CMP to planarize IPD film

5004‧‧‧濕蝕刻移以使IPD凹入至目標深度5004‧‧‧ Wet etch to make the IPD concave to the target depth

5005‧‧‧濕蝕刻以使IPD凹入至目標深度5005‧‧‧ Wet etching to recess IPD to target depth

5100‧‧‧在測試環境中將DC偏壓施加至晶圓5100‧‧‧ Apply DC bias to the wafer in the test environment

5110‧‧‧決定出可抑止氧化之能量位準5110‧‧‧Determining the energy level that can suppress oxidation

5120‧‧‧在氧化期間將外部偏壓施加至晶圓5120‧‧‧ Apply external bias to the wafer during oxidation

5130‧‧‧操縱外部偏壓以控制氧化速率5130‧‧‧Manipulate external bias to control oxidation rate

5210‧‧‧溝道蝕刻(主動及終止)5210‧‧‧Channel etching (active and terminated)

5220‧‧‧藉由SACVD來沉積氧化物5220‧‧‧Deposition of oxides by SACVD

5230‧‧‧罩蓋住終止溝道(選擇性)5230‧‧‧ Covering the termination channel (optional)

5240‧‧‧將氧化物回蝕至溝道內側之所需要厚度5240‧‧‧Required thickness of oxide back to the inside of the channel

5250‧‧‧溫度處理以增密(選擇性)5250‧‧‧ Temperature treatment for densification (selective)

5310‧‧‧藉由PECVD來沉積氧化物5310‧‧‧Deposition of oxides by PECVD

5320‧‧‧乾頂部氧化物蝕刻(“霧蝕刻”)5320‧‧‧Dry top oxide etch ("fog etch")

5330‧‧‧選擇性劈切5330‧‧‧Selective cut

5340‧‧‧濕BOE蝕刻5340‧‧‧ Wet BOE etching

5350‧‧‧底部氧化物是否等於所需要厚度?5350‧‧ Is the bottom oxide equal to the required thickness?

5360‧‧‧完成5360‧‧‧Complete

5410‧‧‧藉由HDE沉積來沉積氧化物5410‧‧‧Deposition of oxides by HDE deposition

5420‧‧‧濕蝕刻以移除側壁氧化物5420‧‧‧ Wet etching to remove sidewall oxide

5430‧‧‧選擇性“霧蝕刻”5430‧‧‧Selective "fog etching"

5440‧‧‧多晶矽充填5440‧‧‧Polysilicon filling

5500‧‧‧溝道5500‧‧‧Channel

5510‧‧‧斜面狀離開5510‧‧‧Slanted leave

5610‧‧‧溝道蝕刻5610‧‧‧Channel etching

5620‧‧‧形成墊氧化物於矽表面上5620‧‧‧ Forming a pad oxide on the surface

5630‧‧‧形成薄層的氮化物於墊氧化物上5630‧‧‧ Forming a thin layer of nitride on the pad oxide

5640‧‧‧異向性蝕刻以從水平表面移除氮化物5640‧‧‧ Anisotropic etching to remove nitride from horizontal surfaces

5650‧‧‧藉由選擇性SACVD將氧化物沉積於水平表面上5650‧‧‧ Depositing oxides on horizontal surfaces by selective SACVD

5660‧‧‧增密SACVD氧化物(選擇性)5660‧‧‧ Densified SACVD oxide (optional)

5670‧‧‧溝道側壁的ONO蝕刻5670‧‧‧ONO etching of trench sidewalls

6000‧‧‧具有一電流感測元件6002之MOSFET6000‧‧‧ MOSFET with a current sensing element 6002

6002‧‧‧電流感測元件6002‧‧‧ Current sensing components

6100‧‧‧具有一平面性閘結構及經隔離的電流感測結構6115之電荷平衡MOSFET6100‧‧• Charge-balanced MOSFET with a planar gate structure and isolated current sensing structure 6115

6113‧‧‧感測墊金屬6113‧‧‧Sense pad metal

6115‧‧‧經隔離的電流感測結構6115‧‧‧Isolated current sensing structure

6116‧‧‧源金屬6116‧‧‧ source metal

6117‧‧‧介電區6117‧‧‧Dielectric zone

6126‧‧‧p型條柱6126‧‧‧p-type column

6200A‧‧‧具有串聯溫度感測二極體之MOSFET...6200A‧‧‧MOSFET with series temperature sensing diode...

6200B‧‧‧不具有p型接面之元件6200B‧‧‧ Components without p-junction

6200C‧‧‧具有一經屏蔽溝道閘結構之MOSFET...6200C‧‧‧ MOSFET with a shielded gate structure...

6215‧‧‧溫度感測二極體結構6215‧‧‧Temperature sensing diode structure

6219‧‧‧場介電(氧化物)層6219‧‧‧Field dielectric (oxide) layer

6221‧‧‧p型接面隔離區6221‧‧‧p type junction isolation area

6223‧‧‧短路金屬6223‧‧‧Short-circuit metal

6500‧‧‧程序6500‧‧‧Program

6502‧‧‧形成具有屏蔽及/或電荷平衡結構之溝道6502‧‧‧ Forming a channel with a shield and/or charge balance structure

6504‧‧‧沉積及蝕刻IPD6504‧‧‧Deposition and etching IPD

6506‧‧‧沉積及蝕刻閘多晶矽6506‧‧‧Deposition and etching gate polysilicon

6508‧‧‧植入及驅動井與源區6508‧‧‧ implanted and driven wells and source areas

6510‧‧‧將矽化物施加至閘多晶矽6510‧‧‧ Applying telluride to the gate polysilicon

6512‧‧‧沉積及平面化介電膜6512‧‧‧Deposition and planarization dielectric film

6600‧‧‧高度簡化元件結構6600‧‧‧Highly simplified component structure

6602‧‧‧溝道條紋6602‧‧‧Channel stripe

6604‧‧‧塗有矽化物的多晶矽線6604‧‧‧Typified polycrystalline germanium wire

7100‧‧‧經模製封裝體7100‧‧‧Molded package

7102,7211‧‧‧晶粒7102,7211‧‧‧ grain

7104‧‧‧銲球或銅柱段7104‧‧‧Ball or copper column

7106‧‧‧引線框7106‧‧‧ lead frame

7108‧‧‧模製材料7108‧‧‧Molded materials

7200‧‧‧封裝體7200‧‧‧Package

7212‧‧‧多層基材7212‧‧‧Multilayer substrate

7213‧‧‧銲料結構7213‧‧‧ solder structure

7220‧‧‧含有金屬的基層7220‧‧‧ Metal-containing base

7222‧‧‧絕緣層7222‧‧‧Insulation

7230‧‧‧銲料(銲球)7230‧‧‧ Solder (solder ball)

C1‧‧‧電容器C1‧‧‧ capacitor

Cgd‧‧‧閘至汲電容Cgd‧‧‧ gate to tantalum capacitor

Cgs‧‧‧閘至源電容Cgs‧‧‧ gate to source capacitor

D1‧‧‧二極體D1‧‧‧ diode

D1‧‧‧TP2與TP3之間的距離Distance between D1‧‧‧TP2 and TP3

D2‧‧‧TP3與TP4之間的距離Distance between D2‧‧‧TP3 and TP4

D3‧‧‧TP4與TP5之間的距離D3‧‧‧Distance between TP4 and TP5

G1‧‧‧主要閘G1‧‧‧ main gate

G2‧‧‧次級閘G2‧‧‧ secondary gate

IPD‧‧‧間際多晶矽介電質IPD‧‧‧Interstitial polycrystalline germanium dielectric

L‧‧‧閘溝道402與體部溝道418之間的距離The distance between the L‧‧ ‧ gate channel 402 and the body channel 418

L1,L2,L3‧‧‧距離L1, L2, L3‧‧‧ distance

200‧‧‧雙溝道MOSFET200‧‧‧Double-Channel MOSFET

300A,400C,400D,400E,700,900A,900B,1100,2200,2600A‧‧‧MOSFET300A, 400C, 400D, 400E, 700, 900A, 900B, 1100, 2200, 2600A‧ ‧ MOSFET

Q1‧‧‧高側開關(n通路MOSFET)...Q1‧‧‧High Side Switch (n-Channel MOSFET)...

Q2‧‧‧低側開關(MOSFET)Q2‧‧‧Low Side Switch (MOSFET)

Qa‧‧‧主切換元件Qa‧‧‧ main switching element

Qs‧‧‧同步整流器Qs‧‧‧Synchronous Rectifier

R1,R2‧‧‧電阻器R1, R2‧‧‧ resistors

RDSon ‧‧‧汲至源接通電阻R DSon ‧‧‧汲 to source on resistance

td1,td2‧‧‧轉變Td1, td2‧‧‧ transformation

TP1-TPn‧‧‧p型終止條柱TP1-TPn‧‧‧p type termination bar

Va‧‧‧電壓Va‧‧‧ voltage

Vb‧‧‧第二電壓Vb‧‧‧second voltage

VDS ‧‧‧電晶體V DS ‧‧‧O crystal

Vpp‧‧‧平行平面崩潰電壓Vpp‧‧‧ parallel plane collapse voltage

W‧‧‧寬度W‧‧‧Width

W1‧‧‧終止條柱TP1寬度W1‧‧‧End bar TP1 width

W2‧‧‧終止條柱TP2寬度W2‧‧‧End bar TP2 width

W3‧‧‧終止條柱TP3寬度W3‧‧‧End bar TP3 width

WG ‧‧‧終止溝道與主動溝道的端點之間的間隙W G ‧‧‧Through the gap between the end of the channel and the active channel

第1圖顯示一示範性n型溝道功率MOSFET的一部分之橫剖視圖;第2A圖顯示一雙重溝道功率MOSFET的一示範性實施例;第2B圖顯示用於一具有源屏蔽溝道結構之平面性閘MOSFET的一示範性實施例;第3A圖顯示一經屏蔽閘溝道功率MOSFET的一示範性實施例的部分;第3B圖顯示合併第2A圖的雙溝道結構與第3A圖的經屏蔽閘結構之用於一經屏蔽閘溝道功率MOSFET的一替代性實施例;第4A圖為一雙閘溝道功率MOSFET的一示範性實施例 之簡化部分圖;第4B圖顯示合併一平面性雙閘結構與溝道式電極以供垂直電荷控制之一示範性功率MOSFET;第4C圖顯示在相同溝道內側合併雙閘與經屏蔽閘技術之一功率MOSFET的一示範性實行方式;第4D及4E圖為用於一具有深體部結構的功率MOSFET之替代性實施例的橫剖視圖;第4F及4G圖顯示溝道式深體部結構對於接近閘電極處之功率MOSFET內側的電位線分佈之影響;第5A、5B及5C圖為顯示具有各種不同的垂直電荷平衡結構之示範性功率MOSFET的部分之橫剖視圖;第6圖顯示合併一示範性垂直電荷控制結構與一經屏蔽閘結構之一功率MOSFET的簡化橫剖視圖;第7圖顯示合併一示範性垂直電荷控制結構與一雙閘結構之另一功率MOSFET的簡化橫剖視圖;第8圖顯示具有垂直電荷控制結構及經整合蕭特基二極體(Schottky diode)之一經屏蔽閘功率MOSFET的一範例;第9A、9B及9C圖描繪具有經整合蕭特基二極體的功率MOSFET之各種不同示範性實施例;第9D、9E及9F圖顯示用於將蕭特基二極體晶胞散佈於一功率MOSFET的主動晶胞陣列內之示範性佈局變異;第10圖提供一具有經埋設二極體電荷平衡結構的示範性溝道功率MOSFET之簡化橫剖視圖;第11及12圖分別顯示合併了具有經埋設二極體電荷平 衡的經屏蔽閘及雙閘技術之功率MOSFET的示範性實施例;第13圖為合併了經埋設二極體電荷平衡技術與經整合蕭特基二極體之一示範用平面性功率MOSFET的簡化橫剖視圖;第14圖顯示一具有對於電流流動呈平行排列的交替式傳導區之示範性累積模式功率電晶體的簡化實施例;第15圖為基於電荷分散用途具有溝道式電極之另一累積模式元件的簡化圖;第16圖為一示範性雙溝道累積模式元件之簡化圖;第17及18圖顯示用於包含呈現相反極性外部襯墊之充填有介電質的溝道之示範性累積模式元件的其他簡化實施例;第19圖為一採用一或多個經埋設二極體之累積模式元件的另一簡化實施例;第20圖為一沿著矽表面包括經重度摻雜相反極性區之示範性累積模式電晶體的簡化等角圖;第21圖顯示在電壓維持層中具有交替式相反極性區之一超接面功率MOSFET的簡化範例;第22圖顯示一在電壓維持層中的垂直方向中分佈有相反極性島部之超接面功率MOSFET的一示範性實施例;第23及24層分別顯示具有雙閘及經屏蔽閘結構之超接面功率MOSFET的示範性實施例;第25A圖顯示用於一溝道電晶體之主動及終止溝道佈 局的俯視圖;第25B-25F圖顯示用於溝道終止結構之替代性實施例的簡化佈局;第26A-26C圖為示範性溝道終止結構之橫剖視圖;第27圖顯示具有大曲率半徑之終止溝道的示範性元件;第28A-28D圖為具有矽條柱電荷平衡結構之終止區的橫剖視圖;第29A-29C圖為採用超接面技術的超高電壓元件之示範性實施例的橫剖視圖;第30A圖顯示用於一溝道元件之邊緣接觸的範例;第30B-30F圖顯示用於一溝道元件之邊緣接觸結構的示範性程序步驟;第31A圖係為用於多重經埋設多晶矽層之一主動區域接觸結構的一範例;第31B-31M圖顯示用來形成供一溝道所用之一主動區域屏蔽接觸結構的一示範性程序流;第31N圖為用於一主動區域屏蔽接觸結構之一替代性實施例的橫剖視圖;第32A及32B圖為具有主動區域屏蔽接觸結構之一示範性溝道元件的佈局圖;第32C-32D圖為用以對於一具有破裂溝道結構的溝道元件中之周邊溝道產生接觸的兩實施例之簡化佈局圖;第33A圖為用以在主動區域中接觸溝道式屏蔽多晶矽 層之一替代性實施例;第33B-33M圖顯示用以接觸屬於第33A圖所示類型的一主動區域屏蔽結構之一程序流的一範例;第34圖顯示具有一間隔件或緩衝(障壁)層以降低磊晶漂移區厚度之一磊晶層;第35圖顯示用於一具有一障壁層的元件之一替代性實施例;第36圖顯示一採用一深體部-磊晶接面來盡量降低磊晶層厚度之障壁層;第37圖為採用一擴散障壁層的電晶體之井-漂移區接面的一簡化範例;第38A-38D圖顯示一具有經埋設電極之經自我對準磊晶-井溝道元件的一範例之簡化程序流;第39A-39B圖顯示一用於一斜角狀井植入之示範性程序流;第40A-40E圖顯示一經自我對準磊晶井程序之一範例;第40R-40U圖顯示一用於降低基材厚度之方法;第41圖顯示一使用一化學程序作為最後薄化步驟之程序流的一範例;第42A-42F圖顯示經改良的蝕刻程序之範例;第43A及43B圖顯示一可消除鳥喙(bird’s beak)問題之溝道蝕刻程序的實施例;第44A及44B圖顯示替代性蝕刻程序;第45A-45C圖顯示一用於形成一經改良的間際多晶矽 介電層(inter-poly dielectric layer)之程序;第46A、46B及46C圖顯示一用於形成一IPD層之替代性方法;第47A及47B圖為另一用於形成一高品質的間際多晶矽介電層之方法的橫剖視圖;第48及49A-49D圖顯示用於形成一經改良的IPD層之其他實施例;第50A圖顯示一用於IPD平面化之異向性電漿程序;第50B圖顯示一使用一化學機械程序之替代性IPD平面化方法;第51圖為一用於控制氧化速率之示範性方法的流程圖;第52圖顯示一經改良的利用一次大氣性化學氣相沉積程序來在一溝道底部形成厚氧化物之方法;第53圖為一利用一方向性矽酸四乙酯程序來在一溝道底部形成厚氧化物之方法的示範性流程圖;第54及55圖顯示用於形成厚底部氧化物之另一實施例;第56-59圖顯示用於在一溝道的底部形成一厚介電層之另一程序;第60圖為一具有一電流感測元件之MOSFET的簡化圖;第61A圖為一具有一平面性閘結構及經隔離的電流感測結構之電荷平衡MOSFET的一範例; 第61B圖顯示將一電流感測元件與一溝道MOSFET加以整合之一範例;第62A-62C圖顯示用於一具有串列溫度感測二極體之MOSFET的替代性實施例;第63A及63B圖顯示用於一具有ESD保護之MOSFET的替代性實施例;第64A-64D圖顯示ESD保護電路之範例;第65圖顯示一用於形成具有較低ESR之經電荷平衡功率元件的示範性程序;第66A及66B圖顯示一用以降低ESR之佈局技術;第67圖顯示一使用功率切換之DC-DC轉換器電路;第68圖顯示使用功率切換之另一DC-DC轉換器電路;第69圖顯示一用於一雙閘MOSFET之示範性驅動器電路;第70A圖顯示一具有被分開驅動的閘電極之替代性實施例;第70B圖顯示一說明第70A圖的電路運作之定時圖;第71圖為一經模製封裝體之簡化橫剖視圖;及第72圖為一未模製封裝體之簡化橫剖視圖。1 shows a cross-sectional view of a portion of an exemplary n-channel power MOSFET; FIG. 2A shows an exemplary embodiment of a dual channel power MOSFET; and FIG. 2B shows a structure with a source shielded trench An exemplary embodiment of a planar gate MOSFET; FIG. 3A shows a portion of an exemplary embodiment of a shielded gate channel power MOSFET; and FIG. 3B shows a combination of a dual channel structure of FIG. 2A and a third channel of FIG. An alternative embodiment of a shielded gate structure for a shielded gate channel power MOSFET; FIG. 4A is an exemplary embodiment of a dual gate channel power MOSFET Simplified partial diagram; Figure 4B shows an exemplary power MOSFET incorporating a planar dual gate structure and channel electrode for vertical charge control; Figure 4C shows the combination of double gate and shielded gate technology on the same channel inside An exemplary implementation of one of the power MOSFETs; FIGS. 4D and 4E are cross-sectional views of an alternative embodiment of a power MOSFET having a deep body structure; and FIGS. 4F and 4G are diagrams showing a deep body structure of the channel 5A, 5B, and 5C are cross-sectional views showing portions of an exemplary power MOSFET having various vertical charge balancing structures; FIG. 6 shows a merged one A simplified cross-sectional view of an exemplary vertical charge control structure and a power MOSFET of a shielded gate structure; FIG. 7 shows a simplified cross-sectional view of another power MOSFET incorporating an exemplary vertical charge control structure and a double gate structure; An example of a shielded gate power MOSFET having a vertical charge control structure and an integrated Schottky diode; Figures 9A, 9B, and 9C depicting Various exemplary embodiments of power MOSFETs incorporating Schottky diodes; Figures 9D, 9E and 9F show demonstrations for dispersing Schottky diode cells in an active cell array of a power MOSFET Sexual layout variation; Figure 10 provides a simplified cross-sectional view of an exemplary channel power MOSFET with a buried diode charge balancing structure; Figures 11 and 12 show merged with buried diode charge flats, respectively An exemplary embodiment of a power MOSFET with a shielded gate and dual gate technology; Figure 13 is a schematic diagram of a planar power MOSFET that incorporates a buried dipole charge balancing technique and an integrated Schottky diode. Simplified cross-sectional view; Figure 14 shows a simplified embodiment of an exemplary cumulative mode power transistor with alternating conduction regions arranged in parallel for current flow; and Figure 15 is another embodiment with channel electrodes based on charge dispersion applications. A simplified diagram of the cumulative mode component; Figure 16 is a simplified diagram of an exemplary dual channel accumulation mode component; and FIGS. 17 and 18 show an exemplary cavity for a dielectric filled trench exhibiting an opposite polarity external pad. Other simplified embodiments of the accumulation mode element; Figure 19 is another simplified embodiment of an accumulation mode element employing one or more buried diodes; and Figure 20 is a heavily doped surface along the surface of the crucible A simplified isometric view of an exemplary cumulative mode transistor of the opposite polarity region; Figure 21 shows a simplified example of a super-junction power MOSFET with alternating opposite polarity regions in the voltage sustaining layer; An exemplary embodiment of a super junction power MOSFET having islands of opposite polarity distributed in a vertical direction in a voltage sustaining layer is shown; layers 23 and 24 respectively show super junction power with double gate and shielded gate structures An exemplary embodiment of a MOSFET; Figure 25A shows active and termination trenches for a channel transistor A top view of the office; 25B-25F shows a simplified layout for an alternative embodiment of the channel termination structure; 26A-26C is a cross-sectional view of an exemplary channel termination structure; and FIG. 27 shows a radius of curvature with a large radius of curvature Exemplary elements for terminating the channel; FIGS. 28A-28D are cross-sectional views of the termination region having the charge column charge balance structure; and FIGS. 29A-29C are exemplary embodiments of the ultra high voltage device using the super junction technique Cross-sectional view; Figure 30A shows an example of edge contact for a channel element; Figure 30B-30F shows an exemplary procedural step for an edge contact structure of a channel element; Figure 31A is for multiple passes An example of an active area contact structure in which a polysilicon layer is buried; 31B-31M shows an exemplary program flow for forming an active area shield contact structure for a channel; FIG. 31N is for an active area A cross-sectional view of an alternative embodiment of a shield contact structure; FIGS. 32A and 32B are layout views of an exemplary channel element having an active area shield contact structure; and FIGS. 32C-32D are for a Rupture outside the channel element in the channel structure of the contact channel to produce two embodiments of a simplified layout diagram; 33A graph of the shield for contacting the polysilicon in the trench in the active region An alternative embodiment of the layer; Figure 33B-33M shows an example of a program flow for contacting an active area shield structure of the type shown in Figure 33A; Figure 34 shows a spacer or buffer (barrier) a layer to reduce one of the thicknesses of the epitaxial drift region; FIG. 35 shows an alternative embodiment for an element having a barrier layer; and FIG. 36 shows a deep body-elevation junction A barrier layer to minimize the thickness of the epitaxial layer; FIG. 37 is a simplified example of a well-drift junction of a transistor using a diffusion barrier layer; and FIGS. 38A-38D show a self-alignment with an embedded electrode An exemplary flow of a quasi-epitaxial-well channel element; a 39A-39B diagram showing an exemplary program flow for a beveled well implant; and a 40A-40E plot showing self-aligned epitaxy An example of a well program; Figure 40R-40U shows a method for reducing the thickness of a substrate; Figure 41 shows an example of a program flow using a chemical procedure as the final thinning step; Figure 42A-42F shows the Examples of improved etching procedures; Figures 43A and 43B It illustrates an embodiment may eliminate the bird's beak (bird's beak) of the channel-etched according to procedures; of FIG. 44A and 44B show an alternative etch process; of FIG. 45A-45C show a modified warp for forming a polysilicon Inter The procedure of the inter-poly dielectric layer; the 46A, 46B and 46C diagrams show an alternative method for forming an IPD layer; and the 47A and 47B diagrams are another for forming a high quality interstitial polysilicon. Cross-sectional view of the method of dielectric layer; panels 48 and 49A-49D show other embodiments for forming a modified IPD layer; Figure 50A shows an anisotropic plasma procedure for IPD planarization; The figure shows an alternative IPD planarization method using a chemical mechanical program; Figure 51 is a flow chart of an exemplary method for controlling the oxidation rate; and Figure 52 shows an improved one-time atmospheric chemical vapor deposition procedure. A method for forming a thick oxide at the bottom of a channel; and FIG. 53 is an exemplary flow chart of a method for forming a thick oxide at the bottom of a channel using a directional tetraethyl citrate procedure; 54 and 55 The figure shows another embodiment for forming a thick bottom oxide; Figures 56-59 show another procedure for forming a thick dielectric layer at the bottom of a trench; Figure 60 shows a current sensing A simplified diagram of the MOSFET of the component; Figure 61A shows An example of a charge balancing MOSFET having a planar gate structure and an isolated current sensing structure; Figure 61B shows an example of integrating a current sensing element with a channel MOSFET; Figures 62A-62C show an alternative embodiment for a MOSFET with a series temperature sensing diode; Figure 63B shows an alternative embodiment for a MOSFET with ESD protection; Figures 64A-64D show an example of an ESD protection circuit; Figure 65 shows an exemplary for forming a charge-balanced power component with a lower ESR Programs; Figures 66A and 66B show a layout technique for reducing ESR; Figure 67 shows a DC-DC converter circuit using power switching; and Figure 68 shows another DC-DC converter circuit using power switching; Figure 69 shows an exemplary driver circuit for a dual gate MOSFET; Figure 70A shows an alternative embodiment with a separately driven gate electrode; and Figure 70B shows a timing diagram illustrating the operation of the circuit of Figure 70A. Figure 71 is a simplified cross-sectional view of a molded package; and Figure 72 is a simplified cross-sectional view of an unmolded package.

100‧‧‧n型溝道功率MOSFET(垂直溝道MOSFET)100‧‧‧n-channel power MOSFET (vertical channel MOSFET)

104‧‧‧p型井或體部區104‧‧‧p-type well or body area

106‧‧‧n型漂移或磊晶區106‧‧‧n type drift or epitaxial region

112‧‧‧N型源區112‧‧‧N-type source area

114‧‧‧經重度摻雜的n+基材區114‧‧‧ heavily doped n+ substrate area

118‧‧‧p+重體部區118‧‧‧p+heavy body area

Claims (21)

一種半導體元件,包含:複數個主動溝道,其係界定出一主動區域;及一邊緣區域,其係位於該主動區域之外側,該等複數個主動溝道之各主動溝道包括一下方屏蔽多晶矽、一上方閘多晶矽、一第一氧化物層與一第二氧化物層,該第一氧化物層隔離該下方屏蔽多晶矽與該上方閘多晶矽且該第二氧化物層覆蓋該上方閘多晶矽;該下方屏蔽多晶矽、該上方閘多晶矽,該第一氧化物層與該第二氧化物層符合該主動溝道之形狀且自該主動溝道延伸至該邊緣區域之表面,該邊緣區域包括一第一開口及一第二開口,該第一開口係延伸穿過該第一氧化物層至該下方屏蔽多晶矽,該第二開口係延伸穿過該第二氧化物層至該上方閘多晶矽,該第一開口包括一與該下方屏蔽多晶矽形成電性接觸之傳導材料,且該第二開口包括與該上方閘多晶矽形成電性接觸之傳導材料;且該下方屏蔽多晶矽係與一基材電性絕緣。 A semiconductor device comprising: a plurality of active channels defining an active region; and an edge region located outside the active region, each active channel of the plurality of active channels including a lower shield a polysilicon layer, an upper gate polysilicon, a first oxide layer and a second oxide layer, the first oxide layer isolating the lower shielding polysilicon and the upper gate polysilicon and the second oxide layer covers the upper gate polysilicon; The lower shielding polysilicon, the upper gate polysilicon, the first oxide layer and the second oxide layer conform to the shape of the active channel and extend from the active channel to a surface of the edge region, the edge region includes a first An opening and a second opening extending through the first oxide layer to the lower shielding polysilicon, the second opening extending through the second oxide layer to the upper gate polysilicon, the first opening An opening includes a conductive material in electrical contact with the underlying shielding polysilicon, and the second opening includes a conductive material in electrical contact with the upper gate polysilicon; The polysilicon lines with a shield below the electrically insulating substrate. 如申請專利範圍第1項之半導體元件,其中該第二氧化物層係直接於該上方閘多晶矽之上方,該上方閘多晶矽係直接於該第一氧化物層之上方,且該第一氧化物層係直接於該下方屏蔽多晶矽之上方。 The semiconductor device of claim 1, wherein the second oxide layer is directly above the upper gate polysilicon, the upper gate polysilicon is directly above the first oxide layer, and the first oxide The layer is directly above the polysilicon layer under the shield. 如申請專利範圍第1項之半導體元件,其中該第一開口係低於該第二開口。 The semiconductor component of claim 1, wherein the first opening is lower than the second opening. 如申請專利範圍第1項之半導體元件,其中該等複數個主動溝道係平行延伸於一縱向方向上,且該半導體元件包括於一縱向方向上具有延伸部之一周邊溝道,其係相對於該等複數個主動溝道呈現交錯以使該周邊溝道與該等複數個主動溝道的延伸部之間有一偏移。 The semiconductor device of claim 1, wherein the plurality of active channel lines extend in parallel in a longitudinal direction, and the semiconductor element comprises a peripheral channel having an extension in a longitudinal direction, the relative The plurality of active channels are staggered such that there is an offset between the peripheral channel and the extension of the plurality of active channels. 如申請專利範圍第1項之半導體元件,其中該等複數個主動溝道係平行延伸於一縱向方向上,且該半導體元件包括於一縱向方向上具有延伸部之一周邊溝道,其係相對於該等複數個主動溝道而對準,以使該周邊溝道與該等複數個主動溝道之間實質上並無偏移。 The semiconductor device of claim 1, wherein the plurality of active channel lines extend in parallel in a longitudinal direction, and the semiconductor element comprises a peripheral channel having an extension in a longitudinal direction, the relative Aligned with the plurality of active channels such that there is substantially no offset between the peripheral channel and the plurality of active channels. 如申請專利範圍第1項之半導體元件,其中該第二氧化物層覆蓋該等複數個主動溝道之一頂部分,該等複數個主動溝道之至少一主動溝道之該頂部分係實質上較該等複數個主動溝道之該主動溝道之下方部分來得寬。 The semiconductor device of claim 1, wherein the second oxide layer covers a top portion of the plurality of active channels, and the top portion of the at least one active channel of the plurality of active channels is substantially The upper portion of the active channel is wider than the plurality of active channels. 如申請專利範圍第1項之半導體元件,其進一步包含一形成於該等複數個主動溝道之間的體部區,該體部區具有一接觸蝕刻區於該體部區之一頂表面上,一金屬層係延伸於該第二氧化物層上方,且經由該接觸蝕刻區與該體部區形成電性接觸。 The semiconductor device of claim 1, further comprising a body region formed between the plurality of active channels, the body region having a contact etched region on a top surface of the body region A metal layer extends over the second oxide layer and is in electrical contact with the body region via the contact etch region. 一種半導體元件,包含:複數個主動溝道,其係界定出一主動區域;及 一邊緣區域,其係位於該主動區域之外側,該等複數個主動溝道之各主動溝道包括一下方屏蔽多晶矽、一上方閘多晶矽、一第一氧化物層與一第二氧化物層,該第一氧化物層隔離該下方屏蔽多晶矽與該上方閘多晶矽且該第二氧化物層覆蓋該上方閘多晶矽,該下方屏蔽多晶矽、該上方閘多晶矽、該第一氧化物層與該第二氧化物層符合該主動溝道之形狀且自該主動溝道延伸至該邊緣區域之表面;該邊緣區域包括一第一開口及一第二開口,該第一開口係延伸穿過該第一氧化物層至該下方屏蔽多晶矽,該第二開口係延伸穿過該第二氧化物層至該上方閘多晶矽,該第一開口包括一與該下方屏蔽多晶矽形成電性接觸之傳導材料,且該第二開口係包括與該上方閘多晶矽形成電性接觸之傳導材料,該下方屏蔽多晶矽係與一基材電性絕緣,該第二氧化物層係直接於該上方閘多晶矽之上方,該上方閘多晶矽係直接於該第一氧化物層之上方,且該第一氧化物層係直接於該下方屏蔽多晶矽之上方,且該第一開口係低於該第二開口。 A semiconductor component comprising: a plurality of active channels defining an active region; An edge region is located outside the active region, and each of the active channels of the plurality of active channels includes a lower shield polysilicon, an upper gate polysilicon, a first oxide layer and a second oxide layer. The first oxide layer isolates the lower shield polysilicon from the upper gate polysilicon and the second oxide layer covers the upper gate polysilicon, the lower shield polysilicon, the upper gate polysilicon, the first oxide layer and the second oxide The layer of material conforms to the shape of the active channel and extends from the active channel to a surface of the edge region; the edge region includes a first opening and a second opening, the first opening extending through the first oxide a layer to the lower shielding polysilicon, the second opening extending through the second oxide layer to the upper gate polysilicon, the first opening comprising a conductive material in electrical contact with the underlying shielding polysilicon, and the second The opening system includes a conductive material in electrical contact with the upper gate polysilicon, the lower shield polysilicon system being electrically insulated from a substrate, the second oxide layer being directly Above the square gate polysilicon, the upper gate polysilicon is directly above the first oxide layer, and the first oxide layer is directly above the lower shielding polysilicon, and the first opening is lower than the second Opening. 如申請專利範圍第8項之半導體元件,其中該等複數個主動溝道係平行延伸於一縱向方向上,且該半導體元 件包括於一縱向方向上具有延伸部之一周邊溝道,其係相對於該等複數個主動溝道呈現交錯以使該周邊溝道與該等複數個主動溝道的延伸部之間有一偏移。 The semiconductor device of claim 8, wherein the plurality of active channel lines extend in parallel in a longitudinal direction, and the semiconductor element The device includes a peripheral channel having an extension in a longitudinal direction that is staggered relative to the plurality of active channels such that there is a bias between the peripheral channel and the extension of the plurality of active channels shift. 如申請專利範圍第8項之半導體元件,其中該等複數個主動溝道係平行延伸於一縱向方向上,且該半導體元件包括於一縱向方向上具有延伸部之一周邊溝道,其係相對於該等主動溝道而對準,以使該周邊溝道與該等複數個主動溝道之間實質上並無偏移。 The semiconductor device of claim 8, wherein the plurality of active channel lines extend in parallel in a longitudinal direction, and the semiconductor element comprises a peripheral channel having an extension in a longitudinal direction, the relative Aligned with the active channels such that there is substantially no offset between the peripheral channel and the plurality of active channels. 如申請專利範圍第8項之半導體元件,其中該第二氧化物層覆蓋該等複數個主動溝道之一頂部分,該等複數個主動溝道之至少一主動溝道之該頂部分係實質上較該等複數個主動溝道之該主動溝道之下方部分來得寬。 The semiconductor device of claim 8, wherein the second oxide layer covers a top portion of the plurality of active channels, and the top portion of the at least one active channel of the plurality of active channels is substantially The upper portion of the active channel is wider than the plurality of active channels. 如申請專利範圍第8項之半導體元件,其進一步包含一形成於該等複數個主動溝道之間的體部區,該體部區具有一接觸蝕刻區於該體部區之一頂表面上,一金屬層係延伸於該第二氧化物層上方,且經由該接觸蝕刻區與該體部區形成電性接觸。 The semiconductor device of claim 8 further comprising a body region formed between the plurality of active channels, the body region having a contact etched region on a top surface of the body region A metal layer extends over the second oxide layer and is in electrical contact with the body region via the contact etch region. 一種製造一半導體元件的方法,包含:形成一磊晶層於一基材上方;形成一介電層於該磊晶層上方且圖案化該介電層;蝕刻經圖案化之該介電層以於該介電層上經圖案化而為未具介電層之部分形成一主動溝道; 形成一橫跨包括該主動溝道之該基材之頂表面的第一氧化物層;形成一第一傳導層於該第一氧化物層之一頂部上;蝕除該主動溝道內之該第一傳導層之一部分而形成一第二氧化物層於該第一傳導層上方;形成一第二傳導層於該第二氧化物層之頂部上,其中於該主動溝道外部之一第一區域中,該第二傳導層並未完全延伸覆蓋該第一傳導層;形成一第三氧化物層於該第二傳導層上方;蝕刻出一穿過該第三氧化物層之一第一開口,以曝露該主動溝道外部之該第二傳導層;蝕刻出一穿過該第一區域中該主動溝道外部之該第二氧化物層的第二開口,以曝露該第一傳導層而非該第二傳導層;以及以一傳導材料充填該第一開口及第二開口。 A method of fabricating a semiconductor device, comprising: forming an epitaxial layer over a substrate; forming a dielectric layer over the epitaxial layer and patterning the dielectric layer; etching the patterned dielectric layer to Forming an active channel on the dielectric layer to form a portion having no dielectric layer; Forming a first oxide layer across a top surface of the substrate including the active channel; forming a first conductive layer on top of one of the first oxide layers; etching away the active channel Forming a second oxide layer over the first conductive layer; forming a second conductive layer on top of the second oxide layer, wherein the first one of the active channel is first In the region, the second conductive layer does not completely extend over the first conductive layer; a third oxide layer is formed over the second conductive layer; and a first opening is formed through the third oxide layer Exposing the second conductive layer outside the active channel; etching a second opening through the second oxide layer outside the active channel in the first region to expose the first conductive layer Not the second conductive layer; and filling the first opening and the second opening with a conductive material. 如申請專利範圍第13項之方法,進一步包含於一縱向方向上形成該等複數個主動溝道,並且於該縱向方向上形成一具有複數個延伸部的周邊溝道,該等複數個延伸部相對於該等複數個主動溝道呈現交錯,以使該周邊溝道之該等複數個延伸部與該等複數個主動溝道之間有一偏移。 The method of claim 13, further comprising forming the plurality of active channels in a longitudinal direction, and forming a peripheral channel having a plurality of extensions in the longitudinal direction, the plurality of extensions Interleaving is performed with respect to the plurality of active channels such that there is an offset between the plurality of extensions of the peripheral channel and the plurality of active channels. 如申請專利範圍第13項之方法,進一步包含於縱向方向上形成複數個主動溝道,並且於該縱向方向上形成 一具有複數個延伸部的周邊溝道,該等複數個延伸部相對於該等複數個主動溝道對準,以使該周邊溝道之複數個延伸部與該等複數個主動溝道之間並無偏移。 The method of claim 13, further comprising forming a plurality of active channels in the longitudinal direction and forming in the longitudinal direction a peripheral channel having a plurality of extensions aligned with respect to the plurality of active channels such that a plurality of extensions of the peripheral channel are between the plurality of active channels There is no offset. 如申請專利範圍第13項之方法,進一步包含在該第三氧化物層上方形成一第三傳導層,以及使該第一開口與該第二開口中之傳導材料相接觸,以電性連結該第一傳導層與該第二傳導層。 The method of claim 13, further comprising forming a third conductive layer over the third oxide layer, and contacting the first opening with the conductive material in the second opening to electrically connect the conductive layer a first conductive layer and the second conductive layer. 如申請專利範圍第13項之方法,進一步包含將該第一開口電性連結至一第一金屬接點,以及將該第二開口電性連結至一第二金屬接點。 The method of claim 13, further comprising electrically connecting the first opening to a first metal contact and electrically connecting the second opening to a second metal contact. 如申請專利範圍第13項之方法,其中形成該介電層包括沉積一層之二氧化矽。 The method of claim 13, wherein forming the dielectric layer comprises depositing a layer of cerium oxide. 如申請專利範圍第13項之方法,其中該第一傳導層及該第二傳導層係為多晶矽。 The method of claim 13, wherein the first conductive layer and the second conductive layer are polycrystalline germanium. 如申請專利範圍第13項之方法,其中該第一傳導層係直接形成於該第一氧化物層之上方,該第二氧化物層係直接形成於該第一傳導層之上方,該第二傳導層係直接形成於該第二氧化物層之上方,以及該第三氧化物層係直接形成於該第二傳導層之上方。 The method of claim 13, wherein the first conductive layer is directly formed over the first oxide layer, and the second oxide layer is directly formed over the first conductive layer, the second The conductive layer is formed directly over the second oxide layer, and the third oxide layer is formed directly over the second conductive layer. 如申請專利範圍第13項之方法,其中該第一傳導層與 該第二傳導層係形成實質上相同的厚度。The method of claim 13, wherein the first conductive layer and The second conductive layer is formed to be substantially the same thickness.
TW097122158A 2003-12-30 2004-12-29 Power semiconductor devices and methods of manufacture TWI399855B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US53379003P 2003-12-30 2003-12-30
US58884504P 2004-07-15 2004-07-15

Publications (2)

Publication Number Publication Date
TW200840041A TW200840041A (en) 2008-10-01
TWI399855B true TWI399855B (en) 2013-06-21

Family

ID=34753010

Family Applications (3)

Application Number Title Priority Date Filing Date
TW097122158A TWI399855B (en) 2003-12-30 2004-12-29 Power semiconductor devices and methods of manufacture
TW101129941A TWI521726B (en) 2003-12-30 2004-12-29 Power semiconductor devices and methods of manufacture
TW093141126A TWI404220B (en) 2003-12-30 2004-12-29 Power semiconductor devices and methods of manufacture

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW101129941A TWI521726B (en) 2003-12-30 2004-12-29 Power semiconductor devices and methods of manufacture
TW093141126A TWI404220B (en) 2003-12-30 2004-12-29 Power semiconductor devices and methods of manufacture

Country Status (6)

Country Link
JP (3) JP4903055B2 (en)
KR (2) KR20070032627A (en)
CN (3) CN103199017B (en)
DE (3) DE112004003046B4 (en)
TW (3) TWI399855B (en)
WO (1) WO2005065385A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10103051B2 (en) 2016-07-22 2018-10-16 Richwave Technology Corp. Semiconductor structure having an isolation layer for reducing parasitic effect

Families Citing this family (298)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6838722B2 (en) 2002-03-22 2005-01-04 Siliconix Incorporated Structures of and methods of fabricating trench-gated MIS devices
US7652326B2 (en) 2003-05-20 2010-01-26 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
CN103199017B (en) * 2003-12-30 2016-08-03 飞兆半导体公司 Form buried conductive layer method, material thickness control methods, form transistor method
US7183610B2 (en) * 2004-04-30 2007-02-27 Siliconix Incorporated Super trench MOSFET including buried source electrode and method of fabricating the same
JP5135663B2 (en) * 2004-10-21 2013-02-06 富士電機株式会社 Semiconductor device and manufacturing method thereof
US7453119B2 (en) 2005-02-11 2008-11-18 Alphs & Omega Semiconductor, Ltd. Shielded gate trench (SGT) MOSFET cells implemented with a schottky source contact
JP4955222B2 (en) * 2005-05-20 2012-06-20 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
KR101296922B1 (en) * 2005-06-10 2013-08-14 페어차일드 세미컨덕터 코포레이션 Charge balance field effect transistor
JP4921730B2 (en) * 2005-06-20 2012-04-25 株式会社東芝 Semiconductor device
EP1908119B1 (en) * 2005-07-27 2012-04-18 Infineon Technologies Austria AG Semiconductor component with a drift region and with a drift control region
US8110868B2 (en) 2005-07-27 2012-02-07 Infineon Technologies Austria Ag Power semiconductor component with a low on-state resistance
US8461648B2 (en) 2005-07-27 2013-06-11 Infineon Technologies Austria Ag Semiconductor component with a drift region and a drift control region
DE102006002065B4 (en) * 2006-01-16 2007-11-29 Infineon Technologies Austria Ag Compensation component with reduced and adjustable on-resistance
US7595542B2 (en) * 2006-03-13 2009-09-29 Fairchild Semiconductor Corporation Periphery design for charge balance power devices
US7446374B2 (en) * 2006-03-24 2008-11-04 Fairchild Semiconductor Corporation High density trench FET with integrated Schottky diode and method of manufacture
DE102006026943B4 (en) * 2006-06-09 2011-01-05 Infineon Technologies Austria Ag By field effect controllable trench transistor with two control electrodes
US8432012B2 (en) * 2006-08-01 2013-04-30 Cree, Inc. Semiconductor devices including schottky diodes having overlapping doped regions and methods of fabricating same
US7544571B2 (en) * 2006-09-20 2009-06-09 Fairchild Semiconductor Corporation Trench gate FET with self-aligned features
JP2008153620A (en) * 2006-11-21 2008-07-03 Toshiba Corp Semiconductor device
US9437729B2 (en) 2007-01-08 2016-09-06 Vishay-Siliconix High-density power MOSFET with planarized metalization
US9947770B2 (en) 2007-04-03 2018-04-17 Vishay-Siliconix Self-aligned trench MOSFET and method of manufacture
DE102007020657B4 (en) 2007-04-30 2012-10-04 Infineon Technologies Austria Ag Semiconductor device with a semiconductor body and method for producing the same
US20100321363A1 (en) * 2007-06-22 2010-12-23 Panasonic Corporation Plasma display panel driving device and plasma display
JP5285242B2 (en) * 2007-07-04 2013-09-11 ローム株式会社 Semiconductor device
KR100847642B1 (en) * 2007-08-10 2008-07-21 주식회사 동부하이텍 Photo key treatment method for preventing particle
US8497549B2 (en) * 2007-08-21 2013-07-30 Fairchild Semiconductor Corporation Method and structure for shielded gate trench FET
US9484451B2 (en) 2007-10-05 2016-11-01 Vishay-Siliconix MOSFET active area and edge termination area charge balance
US8357971B2 (en) 2007-10-29 2013-01-22 Nxp B.V. Trench gate MOSFET and method of manufacturing the same
JP2009164558A (en) * 2007-12-10 2009-07-23 Toyota Central R&D Labs Inc Semiconductor device and method of manufacturing the device, and method of manufacturing trench gate
JP5481030B2 (en) * 2008-01-30 2014-04-23 ルネサスエレクトロニクス株式会社 Semiconductor device
US7833862B2 (en) 2008-03-03 2010-11-16 Infineon Technologies Austria Ag Semiconductor device and method for forming same
US7952166B2 (en) * 2008-05-22 2011-05-31 Infineon Technologies Austria Ag Semiconductor device with switch electrode and gate electrode and method for switching a semiconductor device
US7786600B2 (en) 2008-06-30 2010-08-31 Hynix Semiconductor Inc. Circuit substrate having circuit wire formed of conductive polarization particles, method of manufacturing the circuit substrate and semiconductor package having the circuit wire
US7936009B2 (en) * 2008-07-09 2011-05-03 Fairchild Semiconductor Corporation Shielded gate trench FET with an inter-electrode dielectric having a low-k dielectric therein
US9029854B2 (en) 2008-08-28 2015-05-12 Sunedison Semiconductor Limited (Uen201334164H) Bulk silicon wafer product useful in the manufacture of three dimensional multigate MOSFETs
TWI414019B (en) * 2008-09-11 2013-11-01 He Jian Technology Suzhou Co Ltd Method for fabricating a gate oxide layer
WO2010044135A1 (en) * 2008-10-14 2010-04-22 三菱電機株式会社 Power device
US7915672B2 (en) * 2008-11-14 2011-03-29 Semiconductor Components Industries, L.L.C. Semiconductor device having trench shield electrode structure
JP5195357B2 (en) * 2008-12-01 2013-05-08 トヨタ自動車株式会社 Semiconductor device
US8158456B2 (en) * 2008-12-05 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming stacked dies
US8174067B2 (en) 2008-12-08 2012-05-08 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
US8304829B2 (en) * 2008-12-08 2012-11-06 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
JP5588671B2 (en) 2008-12-25 2014-09-10 ローム株式会社 Manufacturing method of semiconductor device
JP5588670B2 (en) * 2008-12-25 2014-09-10 ローム株式会社 Semiconductor device
US8148749B2 (en) * 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
WO2010098294A1 (en) 2009-02-24 2010-09-02 三菱電機株式会社 Silicon carbide semiconductor device
US7989885B2 (en) * 2009-02-26 2011-08-02 Infineon Technologies Austria Ag Semiconductor device having means for diverting short circuit current arranged in trench and method for producing same
WO2010111825A1 (en) * 2009-03-30 2010-10-07 Hong Kong Applied Science And Technology Research Institute Co., Ltd. Electronic package and method of fabrication thereof
US7952141B2 (en) * 2009-07-24 2011-05-31 Fairchild Semiconductor Corporation Shield contacts in a shielded gate MOSFET
JP4998524B2 (en) * 2009-07-24 2012-08-15 サンケン電気株式会社 Semiconductor device
JP5402395B2 (en) * 2009-08-21 2014-01-29 オムロン株式会社 Static induction generator
US9425306B2 (en) * 2009-08-27 2016-08-23 Vishay-Siliconix Super junction trench power MOSFET devices
US9443974B2 (en) * 2009-08-27 2016-09-13 Vishay-Siliconix Super junction trench power MOSFET device fabrication
JP5568559B2 (en) * 2009-08-31 2014-08-06 ルネサスエレクトロニクス株式会社 Semiconductor device and field effect transistor
CN102024494B (en) * 2009-09-11 2014-01-08 中芯国际集成电路制造(上海)有限公司 Green transistor, resistive random access memory and drive method thereof
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
CN102790091B (en) * 2009-10-20 2015-04-01 中芯国际集成电路制造(上海)有限公司 Green transistor, nanometer silicon FeRAM and driving method thereof
US9425305B2 (en) * 2009-10-20 2016-08-23 Vishay-Siliconix Structures of and methods of fabricating split gate MIS devices
US9419129B2 (en) 2009-10-21 2016-08-16 Vishay-Siliconix Split gate semiconductor device with curved gate oxide profile
US8421196B2 (en) 2009-11-25 2013-04-16 Infineon Technologies Austria Ag Semiconductor device and manufacturing method
US8174070B2 (en) 2009-12-02 2012-05-08 Alpha And Omega Semiconductor Incorporated Dual channel trench LDMOS transistors and BCD process with deep trench isolation
US8198678B2 (en) * 2009-12-09 2012-06-12 Infineon Technologies Austria Ag Semiconductor device with improved on-resistance
CN102130006B (en) * 2010-01-20 2013-12-18 上海华虹Nec电子有限公司 Method for preparing groove-type double-layer gate power metal oxide semiconductor (MOS) transistor
JP5762689B2 (en) * 2010-02-26 2015-08-12 株式会社東芝 Semiconductor device
US9577089B2 (en) 2010-03-02 2017-02-21 Vishay-Siliconix Structures and methods of fabricating dual gate devices
CN104377238B (en) * 2010-03-05 2017-04-12 万国半导体股份有限公司 Configurations and methods for manufacturing devices with trench-oxide-nano-tube super-junctions
TWI407531B (en) * 2010-03-05 2013-09-01 Great Power Semiconductor Corp Power semiconductor structure with schottky diode and fabrication method thereof
US9117739B2 (en) 2010-03-08 2015-08-25 Cree, Inc. Semiconductor devices with heterojunction barrier regions and methods of fabricating same
US8367501B2 (en) * 2010-03-24 2013-02-05 Alpha & Omega Semiconductor, Inc. Oxide terminated trench MOSFET with three or four masks
TWI419237B (en) * 2010-04-27 2013-12-11 Great Power Semiconductor Corp Fabrication method of power semiconductor structure with reduced gate impenance
CN102254944A (en) * 2010-05-21 2011-11-23 上海新进半导体制造有限公司 Power metal oxide semiconductor field effect transistor (MOSFET) power rectification device and manufacturing method
US8319282B2 (en) * 2010-07-09 2012-11-27 Infineon Technologies Austria Ag High-voltage bipolar transistor with trench field plate
CN102376758B (en) * 2010-08-12 2014-02-26 上海华虹宏力半导体制造有限公司 Insulated gate bipolar transistor, manufacturing method thereof and trench gate structure manufacturing method
EP2421046A1 (en) * 2010-08-16 2012-02-22 Nxp B.V. MOSFET having a capacitance control region
CN102386182B (en) * 2010-08-27 2014-11-05 万国半导体股份有限公司 Device and method for integration of sense FET into discrete power MOSFET
JP2012060063A (en) 2010-09-13 2012-03-22 Toshiba Corp Semiconductor device and method of manufacturing the same
JP2012064641A (en) * 2010-09-14 2012-03-29 Toshiba Corp Semiconductor device
DE102010043088A1 (en) * 2010-10-29 2012-05-03 Robert Bosch Gmbh Semiconductor arrangement with Schottky diode
US8580667B2 (en) 2010-12-14 2013-11-12 Alpha And Omega Semiconductor Incorporated Self aligned trench MOSFET with integrated diode
TWI414069B (en) * 2011-01-05 2013-11-01 Anpec Electronics Corp Power transistor with low interface of low Miller capacitor and its making method
JP5556799B2 (en) * 2011-01-12 2014-07-23 株式会社デンソー Semiconductor device
US8313995B2 (en) * 2011-01-13 2012-11-20 Infineon Technologies Austria Ag Method for manufacturing a semiconductor device
DE102011003456A1 (en) 2011-02-01 2012-08-02 Robert Bosch Gmbh Semiconductor arrangement with reduced on-resistance
JP2012204395A (en) 2011-03-23 2012-10-22 Toshiba Corp Semiconductor device and manufacturing method of the same
JP2012204529A (en) * 2011-03-24 2012-10-22 Toshiba Corp Semiconductor device and method of manufacturing the same
JP2012204636A (en) * 2011-03-25 2012-10-22 Toshiba Corp Semiconductor device and manufacturing method of the same
TW201240087A (en) * 2011-03-30 2012-10-01 Anpec Electronics Corp Power device with boundary trench structure
US8823089B2 (en) * 2011-04-15 2014-09-02 Infineon Technologies Ag SiC semiconductor power device
DE112012001986B4 (en) * 2011-05-05 2021-05-27 Abb Schweiz Ag Bipolar punch-through semiconductor component and method for producing such a semiconductor component
CN103688363B (en) * 2011-05-18 2017-08-04 威世硅尼克斯公司 Semiconductor devices
US8884340B2 (en) 2011-05-25 2014-11-11 Samsung Electronics Co., Ltd. Semiconductor devices including dual gate electrode structures and related methods
JP5677222B2 (en) * 2011-07-25 2015-02-25 三菱電機株式会社 Silicon carbide semiconductor device
CN102916043B (en) * 2011-08-03 2015-07-22 中国科学院微电子研究所 MOS-HEMT (Metal-oxide-semiconductor High-electron-mobility Transistor) device and manufacturing method thereof
US8981748B2 (en) * 2011-08-08 2015-03-17 Semiconductor Components Industries, Llc Method of forming a semiconductor power switching device, structure therefor, and power converter
CN102956640A (en) * 2011-08-22 2013-03-06 大中积体电路股份有限公司 Double-conduction semiconductor component and manufacturing method thereof
JP2013058575A (en) * 2011-09-07 2013-03-28 Toshiba Corp Semiconductor device and manufacturing method of the same
US8680587B2 (en) 2011-09-11 2014-03-25 Cree, Inc. Schottky diode
US9184255B2 (en) * 2011-09-30 2015-11-10 Infineon Technologies Austria Ag Diode with controllable breakdown voltage
US8659126B2 (en) * 2011-12-07 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit ground shielding structure
JP5742668B2 (en) * 2011-10-31 2015-07-01 三菱電機株式会社 Silicon carbide semiconductor device
JP2013115225A (en) * 2011-11-29 2013-06-10 Toshiba Corp Power semiconductor device and method of manufacturing the same
KR101275458B1 (en) * 2011-12-26 2013-06-17 삼성전기주식회사 Semiconductor device and fabricating method thereof
JP5720582B2 (en) 2012-01-12 2015-05-20 トヨタ自動車株式会社 Switching element
US9082746B2 (en) * 2012-01-16 2015-07-14 Infineon Technologies Austria Ag Method for forming self-aligned trench contacts of semiconductor components and a semiconductor component
JP5848142B2 (en) * 2012-01-25 2016-01-27 ルネサスエレクトロニクス株式会社 Manufacturing method of vertical planar power MOSFET
US9614043B2 (en) 2012-02-09 2017-04-04 Vishay-Siliconix MOSFET termination trench
CN104106142B (en) * 2012-02-10 2016-03-09 松下知识产权经营株式会社 Semiconductor device and manufacture method thereof
JP5856868B2 (en) * 2012-02-17 2016-02-10 国立大学法人九州工業大学 Fabrication method of CMOS and trench diode on the same substrate
US9159786B2 (en) 2012-02-20 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Dual gate lateral MOSFET
US8866222B2 (en) 2012-03-07 2014-10-21 Infineon Technologies Austria Ag Charge compensation semiconductor device
CN103378159B (en) * 2012-04-20 2016-08-03 英飞凌科技奥地利有限公司 There is transistor unit and the manufacture method of MOSFET
CN103377922B (en) * 2012-04-23 2015-12-16 中芯国际集成电路制造(上海)有限公司 A kind of fin formula field effect transistor and forming method thereof
CN104541374A (en) * 2012-04-30 2015-04-22 维西埃-硅化物公司 Semiconductor device
US9842911B2 (en) 2012-05-30 2017-12-12 Vishay-Siliconix Adaptive charge balanced edge termination
US8884369B2 (en) * 2012-06-01 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical power MOSFET and methods of forming the same
US8680614B2 (en) * 2012-06-12 2014-03-25 Monolithic Power Systems, Inc. Split trench-gate MOSFET with integrated Schottky diode
ITMI20121123A1 (en) * 2012-06-26 2013-12-27 St Microelectronics Srl MOS VERTICAL GATE TRANSISTOR WITH FIELD ARMATURE ACCESS
US9293376B2 (en) 2012-07-11 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for power MOS transistor
CN104241341A (en) * 2012-07-27 2014-12-24 俞国庆 High-frequency low-power dissipation power MOS field-effect tube device
JP2014027182A (en) 2012-07-27 2014-02-06 Toshiba Corp Semiconductor device
JP5715604B2 (en) 2012-09-12 2015-05-07 株式会社東芝 Power semiconductor device
US9059256B2 (en) 2012-09-13 2015-06-16 Infineon Technologies Ag Method for producing a controllable semiconductor component
JP5802636B2 (en) * 2012-09-18 2015-10-28 株式会社東芝 Semiconductor device and manufacturing method thereof
EP2822039B1 (en) * 2012-10-17 2020-08-26 Fuji Electric Co., Ltd. Semiconductor device
JP2014099484A (en) * 2012-11-13 2014-05-29 Toshiba Corp Semiconductor device
CN103855047B (en) * 2012-12-04 2016-10-26 上海华虹宏力半导体制造有限公司 The physical analysis structure of deep trench product and method
US9853140B2 (en) 2012-12-31 2017-12-26 Vishay-Siliconix Adaptive charge balanced MOSFET techniques
CN103011550B (en) * 2013-01-16 2013-11-13 四川亿思通科技工程有限公司 Sludge freeze thawing dewatering treating system and treating method using system
KR101392587B1 (en) * 2013-02-19 2014-05-27 주식회사 동부하이텍 High voltage electro-static discharge protection device
JP6143490B2 (en) * 2013-02-19 2017-06-07 ローム株式会社 Semiconductor device and manufacturing method thereof
JP6164604B2 (en) * 2013-03-05 2017-07-19 ローム株式会社 Semiconductor device
JP6164636B2 (en) 2013-03-05 2017-07-19 ローム株式会社 Semiconductor device
KR102011933B1 (en) * 2013-03-06 2019-08-20 삼성전자 주식회사 Method for fabricating nonvolatile memory device
JP2014187141A (en) 2013-03-22 2014-10-02 Toshiba Corp Semiconductor device
JP5784665B2 (en) 2013-03-22 2015-09-24 株式会社東芝 Manufacturing method of semiconductor device
US20140306284A1 (en) * 2013-04-12 2014-10-16 Infineon Technologies Austria Ag Semiconductor Device and Method for Producing the Same
JP2014216572A (en) 2013-04-26 2014-11-17 株式会社東芝 Semiconductor device
TWI514578B (en) * 2013-06-21 2015-12-21 Chip Integration Tech Co Ltd Structure of dual trench rectifier and method of forming the same
TWI511293B (en) * 2013-06-24 2015-12-01 Chip Integration Tech Co Ltd Structure of dualtrench mos transistor and method of forming the same
US9112022B2 (en) * 2013-07-31 2015-08-18 Infineon Technologies Austria Ag Super junction structure having a thickness of first and second semiconductor regions which gradually changes from a transistor area into a termination area
CN104347376B (en) * 2013-08-05 2017-04-26 台湾茂矽电子股份有限公司 Method for forming shielding grid in metaloxide-semiconductor field-effect transistor
KR102036386B1 (en) * 2013-08-20 2019-10-25 한국전력공사 Geological resource monitoring method using electrical resistivity
JP6197995B2 (en) * 2013-08-23 2017-09-20 富士電機株式会社 Wide band gap insulated gate semiconductor device
JP2015056492A (en) * 2013-09-11 2015-03-23 株式会社東芝 Semiconductor device
CN104465603A (en) * 2013-09-23 2015-03-25 台达电子企业管理(上海)有限公司 Power module
US9525058B2 (en) * 2013-10-30 2016-12-20 Infineon Technologies Austria Ag Integrated circuit and method of manufacturing an integrated circuit
CN104282751B (en) * 2013-11-20 2017-07-21 沈阳工业大学 High integration high mobility source and drain grid auxiliary control type nodeless mesh body pipe
CN104282750B (en) * 2013-11-20 2017-07-21 沈阳工业大学 The major-minor discrete control U-shaped raceway groove non-impurity-doped field-effect transistor of grid
CN103887286A (en) * 2013-11-29 2014-06-25 杭州恩能科技有限公司 Semiconductor device with improved surge current resistance
US9711637B2 (en) 2014-01-31 2017-07-18 Renesas Electronics Corporation Semiconductor device
JP6226786B2 (en) 2014-03-19 2017-11-08 三菱電機株式会社 Semiconductor device and manufacturing method thereof
KR102156130B1 (en) * 2014-04-10 2020-09-15 삼성전자주식회사 Method of Forming Semiconductor device
DE102014106825B4 (en) 2014-05-14 2019-06-27 Infineon Technologies Ag Semiconductor device
TWI555208B (en) * 2014-05-20 2016-10-21 力祥半導體股份有限公司 Power semiconductor device of stripe cell geometry
CN105097570B (en) * 2014-05-21 2017-12-19 北大方正集团有限公司 Manufacturing method of passivation layer and high-voltage semi-conductor power device
US9887259B2 (en) 2014-06-23 2018-02-06 Vishay-Siliconix Modulated super junction power MOSFET devices
US9859238B2 (en) 2014-06-26 2018-01-02 Mitsubishi Electric Corporation Semiconductor device comprising regions of different current drive capabilities
CN105448893B (en) * 2014-06-30 2017-12-15 苏州远创达科技有限公司 ESD-protection structure and semiconductor devices in a kind of semiconductor devices
JP6022082B2 (en) * 2014-07-11 2016-11-09 新電元工業株式会社 Semiconductor device and manufacturing method of semiconductor device
DE102014109926A1 (en) * 2014-07-15 2016-01-21 Infineon Technologies Austria Ag A semiconductor device having a plurality of transistor cells and manufacturing methods
KR101621150B1 (en) 2014-07-21 2016-05-13 주식회사 케이이씨 Power Rectifier Device
KR101621151B1 (en) 2014-07-21 2016-05-13 주식회사 케이이씨 Power Rectifier Device
US9882044B2 (en) 2014-08-19 2018-01-30 Vishay-Siliconix Edge termination for super-junction MOSFETs
KR102026543B1 (en) 2014-08-19 2019-09-27 비쉐이-실리코닉스 Electronic circuit
DE102014112338A1 (en) 2014-08-28 2016-03-03 Infineon Technologies Austria Ag Semiconductor device and method for manufacturing a semiconductor device
JP2016096165A (en) * 2014-11-12 2016-05-26 サンケン電気株式会社 Semiconductor device
US9515177B2 (en) * 2014-11-25 2016-12-06 Infineon Technologies Ag Vertically integrated semiconductor device and manufacturing method
US9443973B2 (en) 2014-11-26 2016-09-13 Infineon Technologies Austria Ag Semiconductor device with charge compensation region underneath gate trench
JP6299581B2 (en) 2014-12-17 2018-03-28 三菱電機株式会社 Semiconductor device
DE102014226161B4 (en) * 2014-12-17 2017-10-26 Infineon Technologies Ag Semiconductor device with overload current capability
JP6526981B2 (en) * 2015-02-13 2019-06-05 ローム株式会社 Semiconductor device and semiconductor module
JP6224257B2 (en) * 2015-02-20 2017-11-01 新電元工業株式会社 Semiconductor device
JP2016167519A (en) * 2015-03-09 2016-09-15 株式会社東芝 Semiconductor device
DE102015204315B4 (en) 2015-03-11 2018-06-28 Infineon Technologies Ag Sensor for a semiconductor device
CN106033781A (en) * 2015-03-16 2016-10-19 中航(重庆)微电子有限公司 Schottky barrier diode and preparation method for the same
CN104733535A (en) * 2015-03-17 2015-06-24 北京中科新微特科技开发股份有限公司 Power MOSFET
JP2016181617A (en) 2015-03-24 2016-10-13 株式会社デンソー Semiconductor device
JP2016181618A (en) 2015-03-24 2016-10-13 株式会社デンソー Semiconductor device
DE102015105758A1 (en) * 2015-04-15 2016-10-20 Infineon Technologies Ag SEMICONDUCTOR COMPONENT AND MANUFACTURING METHOD
US9299830B1 (en) * 2015-05-07 2016-03-29 Texas Instruments Incorporated Multiple shielding trench gate fet
TWI555163B (en) * 2015-07-22 2016-10-21 新唐科技股份有限公司 Semiconductor structure
JP6512025B2 (en) * 2015-08-11 2019-05-15 富士電機株式会社 Semiconductor device and method of manufacturing semiconductor device
JP6696166B2 (en) * 2015-08-19 2020-05-20 富士電機株式会社 Semiconductor device and manufacturing method
KR102404114B1 (en) 2015-08-20 2022-05-30 온세미컨덕터코리아 주식회사 Superjunction semiconductor device and method of manufacturing the same
JP6666671B2 (en) * 2015-08-24 2020-03-18 ローム株式会社 Semiconductor device
EP3142149A1 (en) * 2015-09-11 2017-03-15 Nexperia B.V. A semiconductor device and a method of making a semiconductor device
US9806186B2 (en) * 2015-10-02 2017-10-31 D3 Semiconductor LLC Termination region architecture for vertical power transistors
DE102015221376A1 (en) * 2015-11-02 2017-05-04 Robert Bosch Gmbh Semiconductor component and method for producing a semiconductor device and control device for a vehicle
JP2017107895A (en) * 2015-12-07 2017-06-15 サンケン電気株式会社 Semiconductor device
DE102015121566B4 (en) * 2015-12-10 2021-12-09 Infineon Technologies Ag Semiconductor components and a circuit for controlling a field effect transistor of a semiconductor component
DE102015121563B4 (en) 2015-12-10 2023-03-02 Infineon Technologies Ag Semiconductor devices and a method of forming a semiconductor device
DE102015224965A1 (en) * 2015-12-11 2017-06-14 Robert Bosch Gmbh Area-optimized transistor with superlattice structures
CN105428241B (en) * 2015-12-25 2018-04-17 上海华虹宏力半导体制造有限公司 The manufacture method of trench-gate power devices with shield grid
DE102015122938B4 (en) 2015-12-30 2021-11-11 Infineon Technologies Austria Ag TRANSISTOR WITH FIELD ELECTRODE AND METHOD FOR MANUFACTURING IT
JP6519894B2 (en) 2016-03-31 2019-05-29 新電元工業株式会社 Semiconductor device manufacturing method and semiconductor device
JP6367514B2 (en) 2016-03-31 2018-08-01 新電元工業株式会社 Semiconductor device manufacturing method and semiconductor device
JPWO2017187856A1 (en) * 2016-04-27 2018-05-10 三菱電機株式会社 Semiconductor device
US9691864B1 (en) * 2016-05-13 2017-06-27 Infineon Technologies Americas Corp. Semiconductor device having a cavity and method for manufacturing thereof
TWI577040B (en) * 2016-05-19 2017-04-01 國立中山大學 Method for monolithic manufacturing of serially connected photovoltaic devices
JP6649183B2 (en) 2016-05-30 2020-02-19 株式会社東芝 Semiconductor device
CN107851662A (en) * 2016-06-10 2018-03-27 马克斯半导体股份有限公司 The manufacture of the wide energy gap device of plough groove type gate
JP6977273B2 (en) * 2016-06-16 2021-12-08 富士電機株式会社 Semiconductor devices and manufacturing methods
CN107564814B (en) * 2016-06-30 2020-11-10 株洲中车时代半导体有限公司 Method for manufacturing power semiconductor
CN106129113B (en) * 2016-07-11 2019-06-14 中国科学院微电子研究所 A kind of vertical DMOS field effect transistor
US9972540B2 (en) 2016-08-07 2018-05-15 International Business Machines Corporation Semiconductor device having multiple thickness oxides
JP6345378B1 (en) 2016-08-08 2018-06-20 三菱電機株式会社 Semiconductor device
CN107785263B (en) * 2016-08-26 2020-09-04 台湾半导体股份有限公司 Field effect transistor with multiple width electrode structure and its manufacturing method
CN107785273B (en) * 2016-08-31 2020-03-13 无锡华润上华科技有限公司 Semiconductor device and method for manufacturing the same
CN107785426B (en) * 2016-08-31 2020-01-31 无锡华润上华科技有限公司 kinds of semiconductor devices and their manufacture
JP6659516B2 (en) * 2016-10-20 2020-03-04 トヨタ自動車株式会社 Semiconductor device
JP6669628B2 (en) * 2016-10-20 2020-03-18 トヨタ自動車株式会社 Switching element
WO2018078776A1 (en) * 2016-10-27 2018-05-03 サンケン電気株式会社 Semiconductor device
CN107039298B (en) * 2016-11-04 2019-12-24 厦门市三安光电科技有限公司 Transfer device, transfer method, manufacturing method, device and electronic apparatus for micro-component
US9812535B1 (en) * 2016-11-29 2017-11-07 Infineon Technologies Austria Ag Method for manufacturing a semiconductor device and power semiconductor device
KR102335489B1 (en) * 2016-12-13 2021-12-03 현대자동차 주식회사 Semiconductor device and method manufacturing the same
JP6233539B1 (en) * 2016-12-21 2017-11-22 富士電機株式会社 Semiconductor device and manufacturing method of semiconductor device
JP6589845B2 (en) * 2016-12-21 2019-10-16 株式会社デンソー Semiconductor device
JP6996082B2 (en) * 2016-12-22 2022-01-17 富士電機株式会社 Semiconductor devices and methods for manufacturing semiconductor devices
DE102017101662B4 (en) 2017-01-27 2019-03-28 Infineon Technologies Austria Ag Semiconductor device having an insulation structure and a connection structure and a method for its production
US10163900B2 (en) 2017-02-08 2018-12-25 Globalfoundries Inc. Integration of vertical field-effect transistors and saddle fin-type field effect transistors
US10211333B2 (en) * 2017-04-26 2019-02-19 Alpha And Omega Semiconductor (Cayman) Ltd. Scalable SGT structure with improved FOM
US10236340B2 (en) 2017-04-28 2019-03-19 Semiconductor Components Industries, Llc Termination implant enrichment for shielded gate MOSFETs
US10374076B2 (en) 2017-06-30 2019-08-06 Semiconductor Components Industries, Llc Shield indent trench termination for shielded gate MOSFETs
CN109216432A (en) * 2017-07-03 2019-01-15 无锡华润上华科技有限公司 Slot type power device and preparation method thereof
CN109216452B (en) * 2017-07-03 2021-11-05 无锡华润上华科技有限公司 Groove type power device and preparation method thereof
CN109216175B (en) * 2017-07-03 2021-01-08 无锡华润上华科技有限公司 Gate structure of semiconductor device and manufacturing method thereof
CN110945632A (en) 2017-07-19 2020-03-31 环球晶圆日本股份有限公司 Method for manufacturing three-dimensional structure, method for manufacturing vertical transistor, wafer for vertical transistor, and substrate for vertical transistor
JP6820811B2 (en) * 2017-08-08 2021-01-27 三菱電機株式会社 Semiconductor devices and power converters
KR101960077B1 (en) * 2017-08-30 2019-03-21 파워큐브세미(주) SiC trench gate MOSFET with a floating shield and method of fabricating the same
TWI695418B (en) * 2017-09-22 2020-06-01 新唐科技股份有限公司 Semiconductor device and method of manufacturing the same
JP2019068592A (en) 2017-09-29 2019-04-25 トヨタ自動車株式会社 Electric power conversion device
TWI737855B (en) * 2017-11-15 2021-09-01 力智電子股份有限公司 Power transistor and manufacturing method thereof
CN108010847B (en) * 2017-11-30 2020-09-25 上海华虹宏力半导体制造有限公司 Shielded gate trench MOSFET and method of making same
US10777465B2 (en) 2018-01-11 2020-09-15 Globalfoundries Inc. Integration of vertical-transport transistors and planar transistors
CN108172622A (en) * 2018-01-30 2018-06-15 电子科技大学 Power semiconductor
CN108447911B (en) * 2018-03-09 2021-07-27 香港商莫斯飞特半导体股份有限公司 Deep and shallow groove semiconductor power device and preparation method thereof
JP6864640B2 (en) 2018-03-19 2021-04-28 株式会社東芝 Semiconductor devices and their control methods
US10304933B1 (en) * 2018-04-24 2019-05-28 Semiconductor Components Industries, Llc Trench power MOSFET having a trench cavity
CN109037337A (en) * 2018-06-28 2018-12-18 华为技术有限公司 A kind of power semiconductor and manufacturing method
JP7078226B2 (en) * 2018-07-19 2022-05-31 国立研究開発法人産業技術総合研究所 Semiconductor device
CN109326639B (en) * 2018-08-23 2021-11-23 电子科技大学 Split-gate VDMOS device with internal field plate and manufacturing method thereof
CN109119476A (en) * 2018-08-23 2019-01-01 电子科技大学 Separate gate VDMOS device and its manufacturing method with internal field plate
DE102018124737A1 (en) * 2018-10-08 2020-04-09 Infineon Technologies Ag SEMICONDUCTOR COMPONENT WITH A SIC SEMICONDUCTOR BODY AND METHOD FOR PRODUCING A SEMICONDUCTOR COMPONENT
KR102100863B1 (en) * 2018-12-06 2020-04-14 현대오트론 주식회사 SiC MOSFET power semiconductor device
US11348997B2 (en) 2018-12-17 2022-05-31 Vanguard International Semiconductor Corporation Semiconductor devices and methods for fabricating the same
CN111384149B (en) * 2018-12-29 2021-05-14 比亚迪半导体股份有限公司 Groove type IGBT and preparation method thereof
DE112019006587T5 (en) 2019-01-08 2021-12-23 Mitsubishi Electric Corporation SEMICONDUCTOR UNIT AND POWER CONVERTER UNIT
CN109767980B (en) * 2019-01-22 2021-07-30 上海华虹宏力半导体制造有限公司 Super junction and manufacturing method thereof, and deep trench manufacturing method of super junction
TWI823892B (en) * 2019-01-24 2023-12-01 世界先進積體電路股份有限公司 Semiconductor devices and methods for fabricating the same
JP7352360B2 (en) * 2019-02-12 2023-09-28 株式会社東芝 semiconductor equipment
JP7077251B2 (en) * 2019-02-25 2022-05-30 株式会社東芝 Semiconductor device
US11217541B2 (en) 2019-05-08 2022-01-04 Vishay-Siliconix, LLC Transistors with electrically active chip seal ring and methods of manufacture
US11521967B2 (en) 2019-06-28 2022-12-06 Stmicroelectronics International N.V. Multi-finger devices with reduced parasitic capacitance
DE102019210285B4 (en) 2019-07-11 2023-09-28 Infineon Technologies Ag Creating a buried cavity in a semiconductor substrate
US11218144B2 (en) 2019-09-12 2022-01-04 Vishay-Siliconix, LLC Semiconductor device with multiple independent gates
JP2021044517A (en) * 2019-09-13 2021-03-18 株式会社東芝 Semiconductor device, method of manufacturing semiconductor device, inverter circuit, driving device, vehicle, and elevator
JP7374795B2 (en) * 2020-02-05 2023-11-07 株式会社東芝 semiconductor equipment
EP3863066A1 (en) 2020-02-06 2021-08-11 Infineon Technologies Austria AG Transistor device and method of fabricating a gate of a transistor device
US11264287B2 (en) * 2020-02-11 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with cut metal gate and method of manufacture
US11355602B2 (en) 2020-02-18 2022-06-07 Kabushiki Kaisha Toshiba Semiconductor device having multiple conductive parts
JP7465123B2 (en) 2020-03-12 2024-04-10 株式会社東芝 Semiconductor Device
JP7387501B2 (en) 2020-03-18 2023-11-28 株式会社東芝 Semiconductor device and its control method
JP7270575B2 (en) * 2020-04-15 2023-05-10 株式会社東芝 semiconductor equipment
CN111883515A (en) 2020-07-16 2020-11-03 上海华虹宏力半导体制造有限公司 Trench gate device and manufacturing method thereof
JP7470071B2 (en) 2020-07-22 2024-04-17 株式会社東芝 Semiconductor Device
JP7319754B2 (en) 2020-08-19 2023-08-02 株式会社東芝 semiconductor equipment
KR102382846B1 (en) * 2020-08-28 2022-04-05 부산대학교 산학협력단 METHOD AND DEVICE FOR SELF-ALIGNED PROCESSING TO REDUCE A CRITICAL DIMENSION OF SiC TRENCH GATE MOSFET STRUCTURE
CN112271134B (en) * 2020-10-20 2021-10-22 苏州东微半导体股份有限公司 Method for manufacturing semiconductor power device
KR102413641B1 (en) 2020-11-27 2022-06-27 주식회사 예스파워테크닉스 Trench power MOSFET and method of manufacturing the same
TWI801783B (en) * 2020-12-09 2023-05-11 大陸商上海瀚薪科技有限公司 Silicon carbide semiconductor components
KR102437528B1 (en) * 2020-12-22 2022-08-29 한국과학기술원 Schottky Barrier Diode passive device and methods of fabricating the same
CN112820648B (en) * 2020-12-31 2023-08-01 扬州扬杰电子科技股份有限公司 Gallium nitride metal oxide semiconductor transistor and preparation method thereof
JP2022111450A (en) 2021-01-20 2022-08-01 株式会社東芝 Semiconductor device
US11387338B1 (en) 2021-01-22 2022-07-12 Applied Materials, Inc. Methods for forming planar metal-oxide-semiconductor field-effect transistors
WO2022162894A1 (en) * 2021-01-29 2022-08-04 サンケン電気株式会社 Semiconductor device
JP7470075B2 (en) 2021-03-10 2024-04-17 株式会社東芝 Semiconductor Device
CN113066865B (en) * 2021-03-15 2022-10-28 无锡新洁能股份有限公司 Semiconductor device for reducing switching loss and manufacturing method thereof
KR102444384B1 (en) * 2021-03-16 2022-09-19 주식회사 키파운드리 Trench power MOSFET and manufacturing method thereof
FR3121280B1 (en) * 2021-03-29 2023-12-22 Commissariat Energie Atomique VERTICAL STRUCTURE FIELD EFFECT TRANSISTOR
JP2022167237A (en) * 2021-04-22 2022-11-04 有限会社Mtec Semiconductor element manufacturing method and vertical mosfet element
CN113192842B (en) * 2021-05-19 2023-05-09 厦门中能微电子有限公司 CoolMOS device manufacturing method
JP2023027863A (en) 2021-08-18 2023-03-03 株式会社東芝 Semiconductor device and method for manufacturing the same
CN113707713B (en) * 2021-08-31 2023-06-30 西安电子科技大学 Multi-stage petal-shaped body region metal oxide semiconductor power device and manufacturing method thereof
EP4152408A1 (en) * 2021-09-21 2023-03-22 Infineon Technologies Austria AG Semiconductor die comprising a device
DE102021125271A1 (en) 2021-09-29 2023-03-30 Infineon Technologies Ag Power semiconductor device Method of manufacturing a power semiconductor device
CN113990921B (en) * 2021-10-18 2023-12-08 深圳市威兆半导体股份有限公司 Semiconductor vertical device and method for producing the same
KR102635228B1 (en) * 2021-12-28 2024-02-13 파워큐브세미 (주) Flat power module with insulation distance between pins
CN114334823A (en) * 2021-12-31 2022-04-12 上海晶岳电子有限公司 SGT device for improving wafer warping and manufacturing method thereof
CN114068531B (en) * 2022-01-17 2022-03-29 深圳市威兆半导体有限公司 Voltage sampling structure based on SGT-MOSFET
CN114496995B (en) * 2022-04-18 2022-06-17 深圳市威兆半导体有限公司 Shielding gate device with temperature sampling function
EP4345908A1 (en) * 2022-09-28 2024-04-03 Nexperia B.V. Semiconductor device and method of forming a semiconductor device
CN115799340B (en) * 2023-01-09 2023-05-12 无锡先瞳半导体科技有限公司 Shielded gate field effect transistor
CN116313809B (en) * 2023-03-14 2024-02-23 深圳市至信微电子有限公司 Preparation method and application of groove type MOS field effect transistor
CN116093146B (en) * 2023-04-11 2024-02-20 江苏应能微电子股份有限公司 Sectional type separation gate SGT MOSFET structure
CN116388742B (en) * 2023-06-02 2023-08-29 东莞市长工微电子有限公司 Gate driving circuit and driving method of power semiconductor device
CN117352555B (en) * 2023-12-06 2024-04-09 无锡锡产微芯半导体有限公司 Integrated shielded gate trench MOSFET and preparation process thereof
CN117410346B (en) * 2023-12-14 2024-03-26 深圳市森国科科技股份有限公司 Trench gate silicon carbide MOSFET and manufacturing method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5773851A (en) * 1996-06-11 1998-06-30 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US6236099B1 (en) * 1996-04-22 2001-05-22 International Rectifier Corp. Trench MOS device and process for radhard device
US20020030237A1 (en) * 2000-06-30 2002-03-14 Ichiro Omura Power semiconductor switching element
US20020100935A1 (en) * 2001-01-26 2002-08-01 Tomoki Inoue High breakdown voltage semiconductor device
US20030073287A1 (en) * 2001-10-17 2003-04-17 Fairchild Semiconductor Corporation Semiconductor structure with improved smaller forward voltage loss and higher blocking capability

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4541001A (en) * 1982-09-23 1985-09-10 Eaton Corporation Bidirectional power FET with substrate-referenced shield
JP2590863B2 (en) * 1987-03-12 1997-03-12 日本電装株式会社 Conduction modulation type MOSFET
JP2570742B2 (en) * 1987-05-27 1997-01-16 ソニー株式会社 Semiconductor device
JPS6459868A (en) * 1987-08-29 1989-03-07 Fuji Electric Co Ltd Semiconductor device having insulating gate
US5430324A (en) * 1992-07-23 1995-07-04 Siliconix, Incorporated High voltage transistor having edge termination utilizing trench technology
US5326711A (en) * 1993-01-04 1994-07-05 Texas Instruments Incorporated High performance high voltage vertical transistor and method of fabrication
JP3257186B2 (en) * 1993-10-12 2002-02-18 富士電機株式会社 Insulated gate thyristor
JPH08264772A (en) * 1995-03-23 1996-10-11 Toyota Motor Corp Field-effect type semiconductor element
US6049108A (en) * 1995-06-02 2000-04-11 Siliconix Incorporated Trench-gated MOSFET with bidirectional voltage clamping
JPH1117000A (en) * 1997-06-27 1999-01-22 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
US6037628A (en) * 1997-06-30 2000-03-14 Intersil Corporation Semiconductor structures with trench contacts
JP4061711B2 (en) * 1998-06-18 2008-03-19 株式会社デンソー MOS transistor and manufacturing method thereof
US5998833A (en) * 1998-10-26 1999-12-07 North Carolina State University Power semiconductor devices having improved high frequency switching and breakdown characteristics
KR100415490B1 (en) * 1999-01-11 2004-01-24 프라운호퍼-게젤샤프트 츄어 푀르더룽 데어 안게반텐 포르슝에.파우. Power mos element and method for producing the same
US6351018B1 (en) * 1999-02-26 2002-02-26 Fairchild Semiconductor Corporation Monolithically integrated trench MOSFET and Schottky diode
GB9917099D0 (en) * 1999-07-22 1999-09-22 Koninkl Philips Electronics Nv Cellular trench-gate field-effect transistors
US6376878B1 (en) * 2000-02-11 2002-04-23 Fairchild Semiconductor Corporation MOS-gated devices with alternating zones of conductivity
JP2001284584A (en) * 2000-03-30 2001-10-12 Toshiba Corp Semiconductor device and method of manufacturing the same
EP1170803A3 (en) * 2000-06-08 2002-10-09 Siliconix Incorporated Trench gate MOSFET and method of making the same
DE10038177A1 (en) * 2000-08-04 2002-02-21 Infineon Technologies Ag Semiconductor switching element with two control electrodes which can be controlled by means of a field effect
US6593620B1 (en) * 2000-10-06 2003-07-15 General Semiconductor, Inc. Trench DMOS transistor with embedded trench schottky rectifier
US6608350B2 (en) * 2000-12-07 2003-08-19 International Rectifier Corporation High voltage vertical conduction superjunction semiconductor device
US6683363B2 (en) * 2001-07-03 2004-01-27 Fairchild Semiconductor Corporation Trench structure for semiconductor devices
US6621107B2 (en) * 2001-08-23 2003-09-16 General Semiconductor, Inc. Trench DMOS transistor with embedded trench schottky rectifier
US6573558B2 (en) * 2001-09-07 2003-06-03 Power Integrations, Inc. High-voltage vertical transistor with a multi-layered extended drain structure
GB0122120D0 (en) * 2001-09-13 2001-10-31 Koninkl Philips Electronics Nv Edge termination in MOS transistors
JP4097417B2 (en) * 2001-10-26 2008-06-11 株式会社ルネサステクノロジ Semiconductor device
DE10153315B4 (en) * 2001-10-29 2004-05-19 Infineon Technologies Ag Semiconductor device
JP4009825B2 (en) * 2002-02-20 2007-11-21 サンケン電気株式会社 Insulated gate transistor
US6841825B2 (en) * 2002-06-05 2005-01-11 Shindengen Electric Manufacturing Co., Ltd. Semiconductor device
CN103199017B (en) * 2003-12-30 2016-08-03 飞兆半导体公司 Form buried conductive layer method, material thickness control methods, form transistor method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6236099B1 (en) * 1996-04-22 2001-05-22 International Rectifier Corp. Trench MOS device and process for radhard device
US5773851A (en) * 1996-06-11 1998-06-30 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US20020030237A1 (en) * 2000-06-30 2002-03-14 Ichiro Omura Power semiconductor switching element
US20020100935A1 (en) * 2001-01-26 2002-08-01 Tomoki Inoue High breakdown voltage semiconductor device
US20030073287A1 (en) * 2001-10-17 2003-04-17 Fairchild Semiconductor Corporation Semiconductor structure with improved smaller forward voltage loss and higher blocking capability

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10103051B2 (en) 2016-07-22 2018-10-16 Richwave Technology Corp. Semiconductor structure having an isolation layer for reducing parasitic effect
TWI693713B (en) * 2016-07-22 2020-05-11 立積電子股份有限公司 Semiconductor structure

Also Published As

Publication number Publication date
JP4903055B2 (en) 2012-03-21
DE112004003046B4 (en) 2016-12-29
KR101216533B1 (en) 2013-01-21
TW200840041A (en) 2008-10-01
TW201308647A (en) 2013-02-16
CN101794817A (en) 2010-08-04
CN101794817B (en) 2013-04-03
JP2008227514A (en) 2008-09-25
KR20070032627A (en) 2007-03-22
CN101180737A (en) 2008-05-14
KR20120003019A (en) 2012-01-09
TWI521726B (en) 2016-02-11
CN103199017A (en) 2013-07-10
WO2005065385A2 (en) 2005-07-21
CN101180737B (en) 2011-12-07
DE112004002608B4 (en) 2015-12-03
TWI404220B (en) 2013-08-01
DE112004002608T5 (en) 2006-11-16
JP2007529115A (en) 2007-10-18
DE202004021352U8 (en) 2008-02-21
CN103199017B (en) 2016-08-03
JP2012109580A (en) 2012-06-07
TW200527701A (en) 2005-08-16
DE202004021352U1 (en) 2007-08-16
WO2005065385A3 (en) 2006-04-06
CN102420241A (en) 2012-04-18

Similar Documents

Publication Publication Date Title
TWI399855B (en) Power semiconductor devices and methods of manufacture
US8936985B2 (en) Methods related to power semiconductor devices with thick bottom oxide layers
US7345342B2 (en) Power semiconductor devices and methods of manufacture