TWI336733B - Verfahren und vorrichtung zum abscheiden von halbleiterschichten mit zwei prozessgasen, von denen das eine vorkonditioniert ist - Google Patents

Verfahren und vorrichtung zum abscheiden von halbleiterschichten mit zwei prozessgasen, von denen das eine vorkonditioniert ist Download PDF

Info

Publication number
TWI336733B
TWI336733B TW093109908A TW93109908A TWI336733B TW I336733 B TWI336733 B TW I336733B TW 093109908 A TW093109908 A TW 093109908A TW 93109908 A TW93109908 A TW 93109908A TW I336733 B TWI336733 B TW I336733B
Authority
TW
Taiwan
Prior art keywords
gas
substrate holder
reaction
reaction chamber
reaction gas
Prior art date
Application number
TW093109908A
Other languages
English (en)
Other versions
TW200427859A (en
Inventor
Gerd Strauch
Johannes Kaeppeler
Markus Reinhold
Bernd Schulte
Original Assignee
Aixtron Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aixtron Ag filed Critical Aixtron Ag
Publication of TW200427859A publication Critical patent/TW200427859A/zh
Application granted granted Critical
Publication of TWI336733B publication Critical patent/TWI336733B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

1336733 玖、發明說明: 【發明所屬之技術領域】 · 本發明係關於一種在一反應器之反應室中至少一置於 基板座的基板上沉積出至少一薄膜,尤其是半導體薄膜, 之方法,該薄膜至少由兩種化學計量比例受控(固定或可 變)的成分組成,其各構成一第一及一第二反應氣體而被 輸入反應器中,在該反應器中反應氣體因輸入能量而化學 解離,部分解離產物則構成薄膜,其中具低熱激活能的第 一反應氣體決定薄膜的成長率,具高熱激活能的第二反應 氣體量過剩且被補充輸入能量而預處理,第一反應氣體經 一進氣機構與基板座相對的面上分佈的複數開孔而流向基 板座。 本發明尚關於一種進行此種方法之裝置,其包括一設在 一反應器中之反應室,該反應室中設一基板座,其上至少 放置一基板;一加熱裝置,以將基板座加熱至反應溫度; 一進氣機構,其與基板座相對,用於將第一反應氣體輸入 反應室中,在基板座相對的面上具複數開孔而使第一反應 氣體流出;一預處理裝置,其對輸入反應室之第二反應氣 體進行預處理。 【先前技術】 製造發光二極體,尤其是綠色、藍色及白色發光二極體 係使用C V D系統,尤其是Μ 0 C V D系統。製造白色發光二極 體時,使氮化物沉積在一半導體表面。目前所使用之製造 法係將一第一及第二反應氣體,例如TMG或ΝΗ3,輸入反 5 312/發明說明書(補件)/93-07/93丨09908 1336733 應室中,反應氣體在該處解離或彼此反應,生成反應或解 離產物而沉積在一基板表面。習用方法之成本高昂,因氫 化氮等材料費用高於金屬烷基,例如T M G。Ν Η 3、Ρ Η 3或A s Η 輸入反應室的濃度需高於金屬烷基。雖然氫化物售價比炫 基低,但由於消耗量大,故消耗成本約相同。該高消耗量 係由於氫化物的熱激活能高於金屬烷基的熱激活能所致。 為促使反應氣體解離,專利U S 4 , 5 3 9,0 6 8在進氣機構 與基板座之間注入一電漿。 專利U S 3,7 5 7,7 3 3亦將一電漿輸入反應室中。 專利U S 6,2 8 9 , 8 4 2 Β 1提出一種以Μ 0 C V D系統沉積半導 體薄膜之方法,其使反應氣體經一蓮蓬頭而輸入反應室中。 專利J Ρ 0 8 - 1 6 7 5 9 6亦提出一種電漿預處理。 專利W 0 0 1 / 4 6 4 9 8使烷基與氫化物分開輸入。 【發明内容】 習知發光二極體製造方法由於成本因素而無法被廣泛 使用,故本發明之目的在於使流明/成本比例大幅提升。 本發明改善了光輸出與製造成本的關係,其使第二反應 氣體與第一反應氣體分開輸入反應室中,並在進入反應室 前被預處理。解離產物從基板座邊緣直接經基板座上方進 入反應室中,並在擴散交界層中平行於基板座表面擴散。 進行此方法之本發明裝置特徵在於,預處理裝置設在基板 座邊緣。基板座較佳為環形,並可環繞其中心點旋轉。環 形(内部空間)中心處設置預處理裝置。但亦可將反應室 設作線形或漏斗形,該處基板座較佳為矩形或梯形。基板 312/發明說明書(補件)/93-07/93109908 1336733 座前方設置預處理裝置。第一反應氣體(金屬烷基),較佳 為三甲基鎵,經複數個開孔而被輸送入反應室中。該開孔 設在一與基板座直接相對的面上。氣體流入方向垂直於基 板座表面。氣體溢流方向垂直於氣體流入方向而平行於基 板表面,亦即平行於壁。此壁由一蓮蓬頭狀進氣機構構成。 平行於基板座表面的氣體溢流方向上進氣機構前後方的反 應室頂部尚設有其他開孔,一攜帶氣體例如氫或氮由該開 孔流入反應室中。該攜帶氣體氣流配合由進氣機構開礼流 出的攜帶氣體,使得基板座上方的流動/擴散交界層為最扁 平。該流動/擴散交界層應儘可能在反應室下半部。經預處 理的第二反應氣體以游離基形態被噴入反應室流動/擴散 交界層中。為產生游離基,預處理裝置優先具一電漿產生 器、一加熱燈絲或一催化裝置或上述之組合,以將第二反 應氣體力〇熱至高溫解離的溫度。 第一反應氣體的進氣機構開孔彼此極為靠近,以使由開 孔喷出的氣體喷柱不會分開到達基板座,而可將與其垂直 進入的第二反應氣體推擠向基板座。如此第二反應氣體材 料的使用可大為減少。具低熱機活能之第一反應氣體的濃 度曲線扁平且在基板座整個長度上保持不變,游離基的濃 度曲線則朝氣體溢流方向遞減。但可確保游離基濃度始终 大於基板上方之第一反應氣體濃度。如此使得第二反應氣 體解離產物的量始終為過剩.。成長率係由第一反應氣體決 定。供給過剩的解離第二反應氣體使得沉積薄膜之瑕疵點 E P D極少。瑕疵點集中度較佳在1 0 1 1 c m _2以下。由於瑕疵 7 3丨2/發明說明書(補件)/93-07/9310卯08 1336733 點極少,故成長率可高於習知技術,尤其是高於5 本發明之第二反應氣體可是一氫化物,尤其是氫化 化磷、銨或UDMH。該氣體可在預處理裝置中被幾乎 及/或催化解離成游離基。因此,輸入預處理裝置之 應氣體的質流量只需略高於輸入進氣機構之第一反 的質流量。第一反應氣體例如TMG的典型質流量例 SCCI11。輸入預處理裝置之第二反應氣體的質流量約 倍。尚可將一質流量高出甚多的攜帶氣體輸入進氣 中,其在此處為氮或氫,質流量可約達3 0 s 1 ηι。由 反應氣體在預處理裝置中幾乎完全解離,基板表面 之氣相中的第二反應氣體解離產物仍多於解離或未 第一反應氣體,例如TMG, TMI或其他金屬烷基。反 的範圍增大,可在4 0 0 ° C至1 6 0 0 ° C之間。熱預處理 應氣體對反應室中溫度曲線的影響由於其質流量及 而可被忽略。重要的是,預處理氫化物的擴散垂直於 蓮蓬頭噴出的烷基氣流。與烷基氣流一起由蓮蓬頭 攜帶氣體因流體動力而將預處理氫化物推擠向磊晶 由進氣機構輸出大量的攜帶氣流使得進氣機構表面 化物被高度稀釋,故寄生附著在進氣機構上的反應 顯< 1。如此使得反應室的清洗間隔可較習知技術長 明可使氫化物質流量相對於習知技術減少1 0 0倍。 積薄膜的瑕疵點密度使得所製得的發光二極體(G a N 較高,亦即光輸出較高。 以下將依據附圖詳細說明本發明之實施例。 312/發明説明勸補件)/93-07/93109908 μ m / h ° 神、氫 完全熱 第二反 應氣體 如為3 為其三 機構 於第二 正上方 解離之 應溫度 第二反 熱容低 由CCS 喷出的 表面 。 處的鼓 平衡明 。本發 同時沉 )電流 8 1336733 【實施方式】 圖1所示反應器具一未示出之殼體,反應器殼體内部設 置一加熱裝置13,其可將一基板座4加熱至反應溫度。基 板座4上放置一基板,基板上欲沉積一薄膜。亦可在基板 座4上放置複數個基板5。 基板座4上方為一反應室2,該反應室的上方為一進氣 機構3。進氣機構3有一氣體流出面1 8,其平行於基板座 4表面2 0。氣體流出面1 8以習知方式設有氣體噴入孔6。 該氣體噴入孔6分佈於氣體流出面1 8,可使氣體嘖入反應 室中而在反應室高度中心點的下方產生一朝向基板座4的 均勻氣流場。流動/擴散交界層1 2的上方則有一溢流氣流 1 6,其垂直於氣體流入方向1 1。 氣體喷入孔6的逆流方向上設有其他氣體喷入孔7,氣 體噴入孔6順流方向上亦設有其他氣體噴入孔8。由進氣 機構氣體噴入扎6流入一 N 2或Η 2攜帶氣體以及一 T M G (三 曱基鎵)或ΤΜΙ (三曱基銦)第一反應氣體,由與氣體喷 入孔6相鄰的氣體噴入孔7、8則只流入攜帶氣體氮或氫, 以使基板座上方之流動/擴散交界層1 2的邊緣部分亦平行 於基板座表面2 0。 圖6所示實施例俯視圖中基板座4具一矩形表面。圖1 反應室則朝溢流方向1 6增寬。反應室在整個基板座部分的 溢流方向1 6上具相同的载面。 圖3所示反應器亦具上述特徵。但圖1及2所示反應器 之基板座4為梯形,圖3所示反應器之基板座4則為環形。 9 3丨2/發明說明韵拙件)/93-〇7/93109908 1336733 該環形基板座4上放置多個基板5。基板座4可被驅動旋 轉。基板座4上的基板亦可以習知方式被驅動旋轉。基板 座4的加熱使用高頻加熱器或熱輻射。 本發明重要的是,由蓮蓬頭狀的進氣機構3只有烷基與 一攜帶氣體流入反應室2。氫化物例如NH3、PH3或AsH3則 經一氫化物輸入管路1 5被輸入一預處理裝置9中。圖1 及2所示實施例中預處理裝置9設在溢流方向1 6上基板座 一邊緣1 9旁。圖3所示實施例中預處理裝置9只顯示出一 半,其設在環形基板座4環形内部空間的中心。氫化物輪 入管路1 5則由下方通到預處理裝置。 圖7所示實施例的基板座4 一樣設作環形。 此處預處理裝置9產生的游離基亦由基板座邊緣1 9噴 出。不同於圖3所示實施例,由外緣噴出使得對基板表面 產生一壓縮的擴散,如此而補償了貧化曲線。 圖式中預處理裝置9只象徵性被顯示。預處理裝置9可 是一電漿產生器,但較佳為一氫化物熱解裝置。其可以是 一 「熱線(Η 〇 t w i r e )」裝置,該裝置具可加熱至高溫的加 熱燈絲而可將氫化物解離成游離基。氫化物幾乎完全解離 成游離基。 實施'例中在蕷處理裝置9以銨製成的氮基N +經一流出道 1 0而流入反應室2中。流出道1 0具一噴孔,而將氮基直 接喷到反應室基板座4表面20上方,尤其是擴散交界層。 此處流出道1 0的喷孔在基板座邊蝝1 9。如此產生一 N +氣 流,其平行於基板座表面2 0而垂直於氣體噴柱1 1 (氣體 10 3丨2/發明說明S(補件)/93-07/93109908 1336733 流入方向)。氣體喷柱1 1將氮基擴散氣流推擠向基板5表 面。 圖3所示實施例中容置預處理裝置9的殼體為圓筒形, 其由一有蓋的中空圓柱體構成。圖1及2所示實施例中流 出道1 0為漏斗狀。 圖4所示另一反應器1之反應室2明顯高於圖1至3所 示實施例之反應室。此處同樣設作環形的基板座4轉速較 高。如此使得基板5表面上方的流動/擴散交界層1 2被拉 扁。故容置預處理裝置9的殼體不設蓋子。但亦可視反應 參數而設一蓋子。由流出道1 0向上流出的游離基被流出道 1 0正上方的氣流偏轉成垂直於氣體噴柱1 1而平行於基板 座表面2 0。 圖5顯示由流出道1 0所流出氮基N +濃度與基板表面上 方氣相中鎵濃度的關係。該氮基量過剩且氮基濃度1 7朝主 氣流方向1 6遞減。但N + / G a比在整個長度(圖1及2 )或 整個半徑上(圖3及4) >1。 在典型反應溫度,如 400、 500、 600、 700、 800、 900、 1000、 1100、 1200、 1300、 1400、 1500、 1600°C 及其中間 或更高溫度下,流入反應室2之三曱基鎵的質流量為2至 1 0 s c c m。由輸入管路1 5被輸入預處理裝置9之N Η 3的質流 量只略高於TMG質流量,尤其是其2至3倍。由氣體噴入 孔6、7、8流入的攜帶氣體(Η 2、Ν 2)則為兩反應氣體質流 量的1 0 0 0倍。 本發明亦可使用兩種以上反應氣體。尤其除了三甲基鎵
II 312/發明說明書(補件)/93-07/93丨09908 1336733 外亦可將三曱基銦或TMAL或DcpMg輸入反應室2中。同樣 地亦可輸入其他烷基。此外,亦可使用其他任何氫化物例 如UDMH取代NH3、PH3及/或AsH3或與其一起輸入反應室 中。該氫化物亦較佳以上述方法進行預處理。 氫化物可濃縮或與一攜帶氣體一起被輸入預處理裝置9 中。較佳的是使氫化物與極少量攜帶氣體一起輸入,以使 預處理氣體的稀釋為最低。預處理裝置中的溫度可高於或 低於反應室中的反應溫度。 在本發明一未示出的實施例中,基板座本身被驅動旋 轉。該驅動旋轉可藉助一氣墊。基板座4較佳係以行星式 設置在一載板上。 圖8所示實施例將兩種不同的烷基輸入反應室中。其被 輸入進氣機構3兩分離室21、22中。該兩分離室21、22 各具分開的氣體噴入孔6 '、6 ”,以使氣體流入反應室中。 如此可避免各金屬坑基提早產生反應。此種分離室的細節 可參閱專利U S 5 , 8 7 1 , 5 8 6。 圖9所示實施例使烷基在一分開的預處理裝置23中進 行一預處理。此處氫化物亦在預處理裝置9中被預處理。 氫化物輸入管路15由上方通到預處理裝置。預處理裝置9 約為反應室的高度。此處游離基亦經由流出道1 0噴入,其 位在基板座4邊緣。游離基直接噴入擴散交界層。 烷基預處理裝置23位在反應室頂部的蓮蓬頭處。經預 處理的反應氣體與一攜帶氣體如上所述由氣體噴入孔流入 反應室中。預處理裝置23可是一冷卻裝置。冷卻可使用冷 12 312/發明說明書(補件)/93·〇7/93109908 1336733 卻液或其他方式,例如氣流或散熱。熱可藉一可調整的氣 隙而被排出。 所有 揭 示 特 徵 本 身 皆 具 有 發 明 性 質 0 本 發 明 揭示之特徵 完全包 含 於 本 案 之 中 請 專 利 範 圍 中 〇 【圖式 簡 單 說 明 ] 圖1 係 具 漏 斗 狀 反 應 室 之 隧 道 式 反 應 器 示 意 圖。 圖2 係 圖 1 反 應 器 基 板 座 俯 視 圖 〇 圖3 係 環 形 反 應 器 之 徑 向 截 面 圖 0 圖4 係 環 形 反 應 器 之 截 面 圖 〇 圖5 係 基 板 表 面 主 氣 流 方 向 之 游 離 基 濃 度 〇 圖6 係 圖 2 反 應 器 之 另 — 實 施 例 〇 圖Ί 係 圖 3 反 應 器 之 另 一 實 施 例 〇 圖8 係 反 應 器 另 — 實 施 例 〇 圖9 係 反 應 器 另 — 實 施 例 之 示 意 圖 〇 (元 件符號說明) 1 反 應 器 2 反 應 室 3 進 氣 機 構 4 基 板 座 5 基 板 6 氣 體 噴 入 孔 6' ' 6 " 氣 體 噴 入 孔 7 氣 體 噴 入 孔 8 氣 體 喷 入 孔 312/發明說明書(補件)/93-07/93109908 13 1336733 9 預處理裝置 10 流出道 11 氣體流入方向,氣體噴柱 12 流動/擴散交界層 13 加熱裝置 15 輸入管路 1 6 溢流方向,主氣流方向 17 濃度
18 氣體流出面 19 邊緣 2 0 表面 2 1 分離室 22 分離室 2 3 預處理裝置
3丨2/發明說明書(補件)/93-07/93109908 14

Claims (1)

1336733 拾、申請專利範圍: 1 · 一種在一反應器(1 )之反應室(2 )中至少一置 座(4 )的基板(5 )上沉積出至少一薄膜尤其是半導 方法,該薄膜至少由兩種具固定化學計量比例的 成,其各構成一第一及一第二反應氣體而被輸入石 中,在該反應器中反應氣體因輸入能量而化學解 解離產物則構成薄膜,其中具低熱激活能的第一 決定薄膜的成長率,具高熱激活能的第二反應氣 且被獨立輸入能量而預處理,第一反應氣體經一 (3 )與基板座(4 )相對的面(1 8 )上分佈的複數開孔 方向(1 1 )流向基板座(4 ),其特徵為:第二反應氣 反應室(2 )前被預處理,且從基板座(4 )邊緣(1 9 ) 板座上方進入反應室(2)中,並平行於基板座表3 動。 2. 如申請專利範圍第1項之方法,其中,第二 是一氫化物,尤其是NH3、PH3或AsH3。 3. 如申請專利範圍第1項之方法,其中,第二 被熱及/或催化預處理。 4 .如申請專利範圍第1項之方法,其中,第二 被一電漿預處理。 5. 如申請專利範圍第1項之方法,其中,第二 預處理所產生輸入反應室的游離基質流量只需略 反應室(2 )的第一反應氣體質流量。 6. 如申請專利範圍第1項之方法,其中,反應 312/發明說明書(柿件)/93-07/93109908 於基板 體薄膜之 成分組 l應器(1 ) 離,部分 反應氣體 體量過剩 進氣機構 (6 )而以 體在進入 直接經基 ](2 0 )流 反應氣體 反應氣體 反應氣體 反應氣體 南於輸入 室(2)中 15 1336733^ 基板表面上方預處理所產生游離基與第一反應氣體的比在 反應室(2 )整個長度或整個半徑上> 1。 7.如申請專利範圍第1項之方法,其中,氫化物解離產 物的供應量可得到成長率高於5 μιη/h的高品質沉積薄膜。 8 .如申請專利範圍第1項之方法,其中,瑕疵點密度(E P D e t c h p i t d e n s i t y )小於 1 0 11 c ιιΓ 2,小於 1 0 9 c m —2,小於 ]Ο 8 c id '2 ° 9.如申請專利範圍第1項之方法,其中,反應溫度在 400°C 至 1600°C 之間,尤其是 500°C 至 1200°C。 1 0.如申請專利範圍第1項之方法,其中,預處理第二 反應氣體由一流動/擴散交界層(1 2 )擴散於反應室。 1 1 .如申請專利範圍第1 〇項之方法,其中,流動/擴散 交界層在反應室(2 )高度中心點的下方。 1 2.如申請專利範圍第1 0項之方法,其中,流動/擴散 交界層(1 2 )被主氣流方向(1 6 )上進氣機構(3 )開孔(6 )前後 方的開孔(7, 8 )所流出的攜帶氣體調節。 1 3.如申請專利範圍第1項之方法,其中,第一反應氣 體是 TMG、TMI、TEG、TMAL、DcpMg、DEZn 或其他金屬烧基。 1 4.如申請專利範圍第1項之方法,其中,與第一反應 氣體一起由進氣機構(3 )被輸入反應室(2 )的攜帶氣體將預 處理第二反應氣體推擠向基板座表面(2 0 )。 1 5 · —種進行上述各項申請專利範圍中任一項或多項所 述方法之裝置,其包括一設在一反應器(1)中之反應室 (2 ),該反應室(2 )中設一基板座(〇,其上至少放置一基板 10 3丨2/發明說明® (補件)/93-07/93109908 1336733 (5 ); —加熱裝置(1 3 ),以將基板座(4 )加熱至反應溫度; —進氣機構(3 ),其與基板座(4 )相對,用於將第一反應氣 體輸入反應室中,在基板座(4 )相對的面(1 8 )上具複數開孔 (6 )而使第一反應氣體流出;一預處理裝置(9 ),其對輸入 反應室(2 )之第二反應氣體進行預處理,其特徵為:預處理 裝置(9 )設在基板座邊緣(1 9 ),第二反應氣體直接輸入基板 座(4 )正上方並垂直於第一反應氣體流入方向(1 1 )而平行 於基板座表面(2 0 )。 1 6.如申請專利範圍第1 5項之裝置,其中,基板座為環 形,預處理裝置(9 )設置在環形中空空間中。 1 7.如申請專利範圍第1 5項之裝置,其中,基板座(4 ) 為梯形,預處理裝置(9 )設置在梯形的窄邊。 1 8.如申請專利範圍第1 5項之裝置,其中,主氣流方向 (16)上基板座(4)上方之反應室截面積為恆定。 1 9.如申請專利範圍第1 5項之裝置,其中,進氣機構為 蓮篷頭狀,尤其是有密封蓋的蓮蓬頭,反應室高度尤其為 10 m m 至 7 5 in m。 2 0 .如申請專利範圍第1 5項之裝置,其中,主氣流方向 上(]6 )進氣機構(3 )開孔(6 )前後方設有開孔(7、8 ),一攜 帶氣體由該開孔垂直於主氣流方向(1 6 )流入反應室(2 )中 以調節流動/擴散交界層(1 2 )。 2 ].如申請專利範圍第1 5項之裝置,其中,預處理裝置 (9 )具一電漿產生器。 2 2 ·如申請專利範圍第I 5項之裝置,其中,預處理裝置 17 3丨2/發明說明SK補件V93-07/931 〇9908 1336733 (9 )具一加熱器,尤其是加熱燈絲。 2 3.如申請專利範圍第1 5項之裝置,其中,反應室高度 >75 mm,基板座(4)旋轉速度>100至1000 rpm。 2 4.如申請專利範圍第1 5項之裝置,其中,游離基由一 環形基板座(4 )外緣噴入。 2 5 .如申請專利範圍第1 5項之裝置,其中,金屬烷基經 具兩室(2 1、2 2 )之進氣機構(3 )由分開的氣體噴入孔(6 1、 6 ")嘖入。 2 6.如申請專利範圍第1 5項之裝置,其中,進氣機構(3 ) 設有一金屬烷基預處理裝置(23)。 18 312/發明說明書(補件)/93·07/93109908
TW093109908A 2003-04-30 2004-04-09 Verfahren und vorrichtung zum abscheiden von halbleiterschichten mit zwei prozessgasen, von denen das eine vorkonditioniert ist TWI336733B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10320597A DE10320597A1 (de) 2003-04-30 2003-04-30 Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist

Publications (2)

Publication Number Publication Date
TW200427859A TW200427859A (en) 2004-12-16
TWI336733B true TWI336733B (en) 2011-02-01

Family

ID=33394323

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093109908A TWI336733B (en) 2003-04-30 2004-04-09 Verfahren und vorrichtung zum abscheiden von halbleiterschichten mit zwei prozessgasen, von denen das eine vorkonditioniert ist

Country Status (8)

Country Link
US (2) US7709398B2 (zh)
EP (1) EP1618227B1 (zh)
JP (1) JP4700602B2 (zh)
KR (1) KR20060003881A (zh)
CN (1) CN100582298C (zh)
DE (1) DE10320597A1 (zh)
TW (1) TWI336733B (zh)
WO (1) WO2004097066A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI480415B (zh) * 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
US20070071896A1 (en) 2003-08-20 2007-03-29 Veeco Instruments Inc. Alkyl push flow for vertical flow rotating disk reactors
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
DE102005056324A1 (de) * 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit auswechselbarer Prozesskammerdecke
KR101501888B1 (ko) * 2006-10-06 2015-03-11 비코 인스트루먼츠 인코포레이티드 수직 흐름 회전 디스크 리액터를 위한 밀도 매칭 알킬 압출 흐름
CN101611472B (zh) * 2007-01-12 2015-03-25 威科仪器有限公司 气体处理系统
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
CN101802254B (zh) 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US8628616B2 (en) * 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
KR20090078538A (ko) * 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
DE102008026000B4 (de) * 2008-05-29 2012-03-22 Von Ardenne Anlagentechnik Gmbh Verfahren und Vorrichtung zur Beschichtung flächiger Substrate
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
DE102008026974A1 (de) * 2008-06-03 2009-12-10 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden dünner Schichten aus polymeren Para-Xylylene oder substituiertem Para-Xylylene
JP5383332B2 (ja) * 2008-08-06 2014-01-08 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
WO2011024777A1 (ja) * 2009-08-27 2011-03-03 株式会社アルバック 真空処理装置及び真空処理方法
DE102009043960A1 (de) 2009-09-08 2011-03-10 Aixtron Ag CVD-Reaktor
US20110073039A1 (en) * 2009-09-28 2011-03-31 Ron Colvin Semiconductor deposition system and method
TW201122149A (en) * 2009-12-31 2011-07-01 Univ Nat Chiao Tung Reactor, chemical vapor deposition reactor, and metal organic chemical vapor deposition reactor
JP5490584B2 (ja) * 2010-03-18 2014-05-14 スタンレー電気株式会社 気相成長装置
US20110237051A1 (en) 2010-03-26 2011-09-29 Kenneth Lee Hess Process and apparatus for deposition of multicomponent semiconductor layers
US10138551B2 (en) 2010-07-29 2018-11-27 GES Associates LLC Substrate processing apparatuses and systems
US20120145701A1 (en) * 2010-07-30 2012-06-14 Colvin Ronald L Electrical resistance heater and heater assemblies
KR101165326B1 (ko) * 2010-10-06 2012-07-18 주식회사 유진테크 대칭형 유입구 및 유출구를 통해 반응가스를 공급하는 기판 처리 장치
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US9303319B2 (en) 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
DE102011056589A1 (de) 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
KR101288129B1 (ko) * 2011-07-13 2013-07-19 삼성디스플레이 주식회사 기상 증착 장치, 기상 증착 방법 및 유기 발광 표시 장치 제조 방법
DE102011054566A1 (de) * 2011-10-18 2013-04-18 Aixtron Se Vorrichtung und Verfahren zum Abscheiden mehrkomponentiger Schichten, insbesondere metallorganischer Halbleiterschichten
SE536605C2 (sv) * 2012-01-30 2014-03-25 Odling av kiselkarbidkristall i en CVD-reaktor vid användning av klorineringskemi
US9230815B2 (en) * 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US20150345046A1 (en) * 2012-12-27 2015-12-03 Showa Denko K.K. Film-forming device
WO2014103727A1 (ja) * 2012-12-27 2014-07-03 昭和電工株式会社 SiC膜成膜装置およびSiC膜の製造方法
DE102016118345A1 (de) 2016-08-01 2018-02-01 Aixtron Se Konditionierverfahren für einen CVD-Reaktor
TWI612176B (zh) * 2016-11-01 2018-01-21 漢民科技股份有限公司 應用於沉積系統的氣體分配裝置
CN111058012B (zh) * 2018-10-17 2023-03-21 北京北方华创微电子装备有限公司 进气装置及半导体加工设备
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
CN110408910B (zh) * 2019-08-16 2020-08-28 中国科学院上海微系统与信息技术研究所 高通量气相沉积设备及气相沉积方法
CN114351118A (zh) * 2020-10-13 2022-04-15 东部超导科技(苏州)有限公司 Mocvd反应系统及rebco高温超导带材的制法
US11961716B2 (en) 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method
TWI790028B (zh) * 2021-12-09 2023-01-11 財團法人工業技術研究院 沉積設備及沉積方法

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3408982A (en) * 1966-08-25 1968-11-05 Emil R. Capita Vapor plating apparatus including rotatable substrate support
US3608519A (en) * 1968-12-31 1971-09-28 Texas Instruments Inc Deposition reactor
US4496609A (en) * 1969-10-15 1985-01-29 Applied Materials, Inc. Chemical vapor deposition coating process employing radiant heat and a susceptor
BE760041A (fr) * 1970-01-02 1971-05-17 Ibm Procede et appareil de transfert de masse gazeuse
US3633537A (en) * 1970-07-06 1972-01-11 Gen Motors Corp Vapor deposition apparatus with planetary susceptor
US3757733A (en) 1971-10-27 1973-09-11 Texas Instruments Inc Radial flow reactor
US3783822A (en) * 1972-05-10 1974-01-08 J Wollam Apparatus for use in deposition of films from a vapor phase
US4081313A (en) * 1975-01-24 1978-03-28 Applied Materials, Inc. Process for preparing semiconductor wafers with substantially no crystallographic slip
JPS5930130B2 (ja) 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
GB2089840B (en) * 1980-12-20 1983-12-14 Cambridge Instr Ltd Chemical vapour deposition apparatus incorporating radiant heat source for substrate
US4545327A (en) * 1982-08-27 1985-10-08 Anicon, Inc. Chemical vapor deposition apparatus
JPS59207631A (ja) * 1983-05-11 1984-11-24 Semiconductor Res Found 光化学を用いたドライプロセス装置
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
JPS61215288A (ja) * 1985-03-19 1986-09-25 Masayoshi Umeno 半導体製造方法
JPH0750701B2 (ja) * 1985-04-01 1995-05-31 日電アネルバ株式会社 放電反応装置
EP0254651B1 (en) * 1986-06-28 1991-09-04 Nihon Shinku Gijutsu Kabushiki Kaisha Method and apparatus for chemical vapor deposition
US4800105A (en) * 1986-07-22 1989-01-24 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming a thin film by chemical vapor deposition
US5244501A (en) * 1986-07-26 1993-09-14 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
US4823735A (en) * 1987-05-12 1989-04-25 Gemini Research, Inc. Reflector apparatus for chemical vapor deposition reactors
US5062386A (en) * 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
JPS6484717A (en) * 1987-09-28 1989-03-30 Furukawa Electric Co Ltd Semiconductor thin film vapor growth apparatus
US4813053A (en) * 1987-09-30 1989-03-14 Spectra-Physics, Inc. Method and apparatus for preionizing a self-sustained gas discharge device
JPH0834187B2 (ja) * 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
JPH0812847B2 (ja) * 1991-04-22 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置及び半導体装置の製造方法
EP0537854B1 (en) * 1991-10-18 1997-09-10 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device whereby a layer of material is deposited on the surface of a semiconductor wafer from a process gas
JP3045854B2 (ja) * 1991-12-02 2000-05-29 大同ほくさん株式会社 半導体製造装置およびその使用方法
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
JP2987663B2 (ja) * 1992-03-10 1999-12-06 株式会社日立製作所 基板処理装置
JPH0677136A (ja) * 1992-08-27 1994-03-18 Hitachi Cable Ltd 化合物半導体薄膜結晶の気相成長方法及び気相成長装置
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JPH07111244A (ja) * 1993-10-13 1995-04-25 Mitsubishi Electric Corp 気相結晶成長装置
US5558721A (en) * 1993-11-15 1996-09-24 The Furukawa Electric Co., Ltd. Vapor phase growth system and a gas-drive motor
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
JP3305509B2 (ja) * 1994-08-29 2002-07-22 日本電信電話株式会社 半導体発光素子およびその作製方法
IT1271233B (it) * 1994-09-30 1997-05-27 Lpe Reattore epitassiale munito di suscettore discoidale piano ed avente flusso di gas parallelo ai substrati
JP3353514B2 (ja) 1994-12-09 2002-12-03 ソニー株式会社 プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5767628A (en) * 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5920797A (en) * 1996-12-03 1999-07-06 Applied Materials, Inc. Method for gaseous substrate support
US6217662B1 (en) * 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
JPH10326750A (ja) * 1997-03-24 1998-12-08 Mitsubishi Electric Corp 高品質GaN系層の選択成長方法、高品質GaN系層成長基板および高品質GaN系層成長基板上に作製した半導体デバイス
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
JPH11200052A (ja) * 1998-01-13 1999-07-27 Nissin Electric Co Ltd 化学的気相成長装置
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
JP4069966B2 (ja) * 1998-04-10 2008-04-02 東京エレクトロン株式会社 シリコン酸化膜の成膜方法および装置
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
JP2000031060A (ja) * 1998-07-10 2000-01-28 Hitachi Cable Ltd Iii−v族化合物半導体気相エピタキシャル成長方法及び成長装置
JP2000124195A (ja) * 1998-10-14 2000-04-28 Tokyo Electron Ltd 表面処理方法及びその装置
US6291341B1 (en) * 1999-02-12 2001-09-18 Micron Technology, Inc. Method for PECVD deposition of selected material films
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
US6368404B1 (en) * 1999-04-23 2002-04-09 Emcore Corporation Induction heated chemical vapor deposition reactor
JP4790914B2 (ja) * 1999-05-13 2011-10-12 ヴィーコ・プロセス・イクウィップメント・インコーポレーテッド 基板上に材料をエピタキシャル成長させるための方法と装置
JP2001023959A (ja) * 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
KR100319494B1 (ko) * 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6206976B1 (en) * 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
JP3514186B2 (ja) * 1999-09-16 2004-03-31 日新電機株式会社 薄膜形成方法及び装置
JP4809562B2 (ja) 1999-12-22 2011-11-09 アイクストロン、アーゲー 化学気相成膜反応室
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
US6564810B1 (en) * 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
TWI303084B (en) * 2000-09-08 2008-11-11 Tokyo Electron Ltd Shower head structure, film forming method, and gas processing apparauts
JP2002110564A (ja) * 2000-10-02 2002-04-12 Japan Pionics Co Ltd 気相成長装置及び気相成長方法
DE10057134A1 (de) * 2000-11-17 2002-05-23 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten sowie Vorrichtung zur Durchführung des Verfahrens
JP4583591B2 (ja) * 2000-12-15 2010-11-17 東京エレクトロン株式会社 処理方法及び処理装置
KR20020088091A (ko) * 2001-05-17 2002-11-27 (주)한백 화합물 반도체 제조용 수평 반응로
JP2002373863A (ja) * 2001-06-15 2002-12-26 Hitachi Ltd 化合物半導体のエピタキシャル成長方法及び成長装置
KR20020095842A (ko) * 2001-06-16 2002-12-28 삼성전자 주식회사 반도체 에싱장치
TW559905B (en) * 2001-08-10 2003-11-01 Toshiba Corp Vertical chemical vapor deposition system cross-reference to related applications
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
KR100432704B1 (ko) * 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
JP2003119564A (ja) * 2001-10-12 2003-04-23 Tokyo Electron Ltd 成膜方法及びプラズマcvd装置
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
JP4192148B2 (ja) * 2002-06-10 2008-12-03 東京エレクトロン株式会社 原子層堆積法処理装置
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
US7049606B2 (en) * 2003-10-30 2006-05-23 Applied Materials, Inc. Electron beam treatment apparatus
JP4396847B2 (ja) * 2004-12-22 2010-01-13 Smc株式会社 除電装置付きエア浮上装置及び該浮上装置における除電方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI480415B (zh) * 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US9023693B1 (en) 2013-11-27 2015-05-05 Industrial Technology Research Institute Multi-mode thin film deposition apparatus and method of depositing a thin film

Also Published As

Publication number Publication date
US20060121193A1 (en) 2006-06-08
TW200427859A (en) 2004-12-16
DE10320597A1 (de) 2004-12-02
KR20060003881A (ko) 2006-01-11
JP4700602B2 (ja) 2011-06-15
EP1618227A1 (de) 2006-01-25
CN100582298C (zh) 2010-01-20
CN1780936A (zh) 2006-05-31
EP1618227B1 (de) 2011-07-13
JP2006524911A (ja) 2006-11-02
US7709398B2 (en) 2010-05-04
WO2004097066A1 (de) 2004-11-11
US20100012034A1 (en) 2010-01-21

Similar Documents

Publication Publication Date Title
TWI336733B (en) Verfahren und vorrichtung zum abscheiden von halbleiterschichten mit zwei prozessgasen, von denen das eine vorkonditioniert ist
CN110904432B (zh) 一种mocvd反应器
US9624603B2 (en) Vapor phase growth apparatus having shower plate with multi gas flow passages and vapor phase growth method using the same
US20180209043A1 (en) Epitaxial chamber with customizable flow injection
US6875273B2 (en) Method and system for manufacturing III-V Group compound semiconductor and III-V Group compound semiconductor
CN102817012B (zh) 一种薄膜沉积装置
US20140326186A1 (en) Metal-organic vapor phase epitaxy system and process
CN103261478B (zh) 用于在表面上进行原子层沉积的装置和方法
TWI480432B (zh) Hvpe前驅物源硬體
CN112695302B (zh) 一种mocvd反应器
TW200528577A (en) Inlet system for MOCVD reactor
CN110373653B (zh) 具有多区域引射器块的化学气相沉积设备
TW201234518A (en) Substrate support seat for III-V group thin film growth reaction chamber, reaction chamber thereof and process treatment method
KR100578089B1 (ko) 수소화물기상증착 반응기
JP5490584B2 (ja) 気相成長装置
JP2013038196A (ja) 気相成長装置
WO2013143241A1 (zh) 金属有机化合物化学气相沉积方法及其装置
JP4835666B2 (ja) 気相成長方法
JPH07142406A (ja) 半導体の気相成長方法及び装置
JPH02311A (ja) 半導体製造装置
GB2404667A (en) System for manufacturing III-V group compound semiconductors

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees