TWI271413B - Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices - Google Patents

Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices Download PDF

Info

Publication number
TWI271413B
TWI271413B TW090120501A TW90120501A TWI271413B TW I271413 B TWI271413 B TW I271413B TW 090120501 A TW090120501 A TW 090120501A TW 90120501 A TW90120501 A TW 90120501A TW I271413 B TWI271413 B TW I271413B
Authority
TW
Taiwan
Prior art keywords
organic
layer
group
film
resin
Prior art date
Application number
TW090120501A
Other languages
English (en)
Inventor
Edward O Ii Shaffer
Kevin E Howard
Joost J M Waeterloos
Jack E Hetzner
Paul H Townsend Iii
Original Assignee
Dow Global Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Global Technologies Inc filed Critical Dow Global Technologies Inc
Application granted granted Critical
Publication of TWI271413B publication Critical patent/TWI271413B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

1271413 五、發明說明(1) 本發明係有關具有有機聚合物介電材料之微電子元件 之製造,特別係有關使用有機矽酸鹽樹脂作為硬光罩(蝕刻 擋止層)用於此種微電子元件的製造。 微電子製造業朝向元件幾何愈來愈縮小的方向發展, 俾便降低耗用功率以及提高元件速度。隨著導體線路的愈 來愈精細且愈來愈緊密填裝,此等導體間介電物質的要求 愈來愈荷刻。目前正在研究比傳統使用的介電材料二氧化 石夕具有更低介電常數的新材料。可達成較高接受性的二電 材料包含介電常數低於約3.0之旋塗有機聚合物。聚芳烯= 包括聚芳烯醚類以及絲柯(SiLK)半導體介電體(得自陶氏 化學公司)為主要被考慮的有機聚合物介電體。 現在綜論使用此等新穎介電材料之微電子元件之製造 。參考例如「材料研究協會(MRS)公報,^”年…月,U 卷,第10期」。但至今日為止,聚芳烯介電體通常係以傳統 方式使用無機硬光罩於介電材料形成圖樣。典型,聚芳烯 介電體施用於基板,硬化,接著氣相沉積無機硬光罩。根 據標準圖樣化實務於無機硬光罩形成圖樣,形成方式例如 施用光阻劑(換言之軟罩),接著曝光及顯像軟罩,圖樣由 軟罩轉印至硬光罩,以及移開軟罩形成圖樣。硬光罩的蝕 刻典型係使用基於氟的化學進行。然後,下方聚烯介電體 可經圖樣化。沉積條件須小心監控,俾確保硬光罩與聚芳 烯薄膜間的充分黏著性。 於本案請求的原先優先申請曰期之後,有專利公開文 獻也討論多種介電材料、蝕刻擋止層及硬光罩於製造微電 1271413
5 1271413
五、發明說明(3) 形成一層一第一層於該基板上,其中該第一層具有介 電常數小於3.0且包含一種有機聚合物, 施用一種有機矽酸鹽樹脂於該第一層上, 去除部分有機矽酸鹽樹脂而曝露出部分第一層,以及 去除第一層曝露部分。較佳有機矽酸鹽樹脂係選自基 於二乙烯基矽氧烷貳苯并丁烯型單體寡聚物及聚合物,或 選自水解烷氧或醯氧矽烷類。 視需要地,於成像第一層後可去除有機矽酸鹽樹脂。根 據第二選項,第二層低介電常數有機聚合物施用於有機矽酸 鹽層上。於此種配置下,有機矽酸鹽係作為嵌置蝕刻擋止 層,俾精確控制雙重鑲嵌積體計畫之溝渠深度。嵌置蝕刻擋 止層有些區域藉微影術去除,此處通孔將穿入第一有機聚合 物介電層。依據選用何種類型積體計畫而定,嵌置蝕刻擋止 層的蝕刻可在塗覆第二有機聚合物層之前或之後發生。 本發明亦係關於一種積體電路物件,包含一片活性基 板包含多個電晶體以及一種電互連結構含有金屬線塗樣至 少部分係藉具有介電常數低於3 〇,且包含有機聚合物的層 或區刀開其中5玄物件進一步包含一層有機石夕酸鹽樹脂層 方;°亥至少一層有機聚合物材料層上方。 此外,發明人發現並非全部有機矽酸鹽皆與用作為層 間介電體的多種有機聚合物介電體相容。特別,為了用於 基於迪爾斯-阿爾德(Diels-Alder)化學或以其它方式而具有 烯屬未飽和度的低介電有機料聚合物,下列調配劑用作 為硬光罩、㈣擋止層或甚至黏著促進層極為有用。如此, 1271413 五、發明說明(4) 才;康本^月之第二具體貫施例為_種組合物包含下列之水 解或部分水解反應產物: ⑷烧氧㈣氧料具有至少_基含有烯屬未飽和度 ’該基係鍵結至該;&夕原子, ^ (b)院氧或gf氧我具有至少_基含有—個芳香環,該 基係鍵結至该碎原子,以及 ⑷視需要地,烷氧或醯氧矽烷具有至少一基其為 Ci-C6燒基,該基係鍵結至該石夕原子。 ▲根據本發明之第四具體實施例為一種物件包含兩層, 该兩層彼此直接接觸,第_層為具有烯屬未飽和度之芳稀 聚合物,以及第二層為根據第三具體實施例之組合物,或 此種組合物之硬化產物。 第1圖及第2圖為剖面代表圖顯示使用本發明之硬光罩 材料之積體計畫範例。 第-層為具有低介電常數材料,主要係由有機聚合物 製成’其組成至少第-層之大部分。用於此處,「有機聚合 物」表示-種聚合物其聚合物主鏈主要含碳但也包括雜原 子’如氧(例如$芳烤醚)或氮(參考例如聚醯亞胺,述於i H)4-122頁’國際混成體微電子學會,i9= 年)。有機聚合物之主鏈可含小切,但更佳主鏈完全不含 或大致不切U含有孔σ。此等孔口有助於進一牛 ,低材料的介電常數。該層也含妹著促_(包括含石以 者促進劑)、塗層助劑、及/或形成孔口後留下的殘 第-層之此等額外組成分含量較佳相對小量,例如低於 1271413 五、發明說明(5) 10%重量比,較佳低於1 %重量比,最佳低於0· 1 %重量比。 較佳,第一層為多孔或無孔聚芳烯。聚芳烯包括絲柯 半導體介電體、聚(芳烯醚類)(例如得自空氣產品公司的 PAE樹脂)述於EP 0 755 957 B1,6/5/99及/或Flare(富雷兒) 樹脂,聯合信號公司製造(參考N.H. Hendricks及K.S.Y.Liu ,聚合物製備(美國化學會聚合物化學分會)1996,37(1), p. 150-1 ;也參考J.S.Drage等人,材料研究學會研討會議事 錄(1997年),476期,(低介電常數材料III),121-128頁以及 於美國專利第 5,115,082 ; 5,155,175 ; 5,179,188及 5,874,5 16 號以及述於 PCT W091/09081 ; WO97/01593 及 EP 0755957-81)。另外,如WO97/10193揭示,第一層可使用 交聯聚苯烯類製成。 但最佳聚芳烯為美國專利5,965,679揭示者,以引用方 式併入此處。較佳聚芳烯為環戊二烯酮官能化合物與乙炔 官能化合物之反應產物。聚合物較佳為具有如下通式之寡 聚物之硬化或交聯產物: [A]w[B]z[EG]v 其中A具有結構式
及B具有結構式: 1271413 五、發明說明(6
ArJ
R
Ο
Ar' RA
Ο R-
Jy~i
R -Ar*· 其中 別為H或未經取代或經惰性 > 分,以及Ar1、Ar、Λ η的方香族部 別為未經取代之芳㈣部分❹ 代之香無部分,Μ為鍵結,以及 數,P為指定元體單位中未反應的快屬基數目,二 凡體單位已經反應的炔屬基數目少1,以及州〜,z曰為疋丄 1271413
五、發明說明(7) 至約1000的整數;〜為〇至約1000的整數,以及v為2或2以 上的整數。 此種寡聚物及聚合物可經由貳環戊二嫦酮、含3個或3 個以上炔屬部分之芳香族乙炔,以及視需要之含有2個芳香 族炔屬部分之多官能化合物反應製備。此種反應可以下式 化合物之反應為代表 (a)下式武環戊二稀酮:
(c)以及視需要之下式二炔: · A,r2 —r R2 其中Rl、R2、Arl、Ar2、Ar3及y定義如前。 芳香族部分之定義包括苯基、多芳香族部分以及稠合 方香族部分。「經惰性取代」表示取代基大致對環戊二烯酮 與炔基聚合反應為惰性,於使用微電子元件之硬化聚合物 '、下不谷易與環境物種如水反應。此種取代基包括例如
Cl Br ’ -CF3 ’ -〇CH3,_〇CF3,-O-Ph及含 1 至 8個碳原 子之烷基,以及含3至約8個碳原子之環烷基。例如可未經 取代或經惰性取代之芳族部分包括:
10 1271413
11 1271413 五、發明說明(9) 全氟烷基,全氟烷氧基, ψ 0 R3 —si— A -P 、 cf3 cf3 δ
Ph 9H3 ce2 ch5
Ph
Ph3 或 ----cf3 其中各個 R3 分別為 _H,_CH3,-CH2CH3,(CH2)2CH3 或 ph。 Ph為苯基。 第二類較佳有機聚合物為下式化合物之反應產物: (R-C-Qn-Ar-LtArfCsC-R)nJ4l 其中各個Ar為芳香族基或經惰性取代的芳香族基,且各個 Ar包含至少一個芳香環;各個尺分別為氫,烷基,芳基或 經惰性取的烷基或芳基;L為共價鍵或連結一個Μ至至少 另一個Ar之基;n&m為至少2的整數;以及q為至少ι的整 數乂及’、中於至少一個芳香環的稀屬基中至少二者彼此 位於鄰位。較佳此等聚合物具有化學式:
有機石夕酸鹽樹脂可為經取代之烧氧石夕烧或經取代之醯 氧石夕烧之水解或部分水解產物(例如參考美國專利 12 1271413
五、發明說明(10) 5,994,489及WO00/11096)或為此種矽烷之硬化產物。較隹 於製造過程施用水解反應產物然後硬化。 烷氧矽烷或醯氧矽烷的水解產生未經水解、部分水解 、完全水解及募聚合烧氧秒烧或酸氧;5夕烧混合物。募聚人 反應係發生於當水解或部分水解烷氧矽烷或醯氧矽垸與另 一種烧氧石夕烧或醯氧石夕烧反應而產生水、醇或酸及別_〇_以 鍵結時。用於此處,「水解烷氧矽烷」或「水解醯氧矽烷」 涵蓋任何程度的水解包括部分或完全水解,以及寡聚合。 水解前,經取代之烷氧矽烷或醯氧矽烷較佳具有下式:
Z I. Y —R —Si —OR*
I z 其中RgCi-C6亞烷基,伸烷基,伸芳基或直接鍵;γ 為 Ci-C6 烧基 ’ C2-C6_ 基 ’ C2-C6 炔基,C6-C2〇 芳基,3-甲 基丙烯醯氧基,3-丙烯醯氧基,弘胺基乙基_胺基,3_胺基, -SiZWR’-或-OR,; R,於各次出現時分別為Ci_C6烷基或 C2-C6S&基;以及Z為CKC6烷基,c2_c6婦基,C2_C6炔基, C6-C2〇芳基,或-OR’。「亞烷基」一詞表示脂質烴基團而附 接於同一個碳。「伸烷基」一詞表示對應於式·((:ηΗ2η)_的基 團。「芳基」-詞表示芳香族基團,「芳香族」定義為含有 (4n+2)電子述於M〇rrison及Boyd,直第 3版,1973 年。「伸芳基」一詞表示芳基含有2個附接點。「烷基」一詞 表示飽和脂族基如甲基、乙基等。「烯基」表示含有至少一 個雙鍵的烷基,例如乙烯、丁烯等。「炔基」表示含有至少 13 1271413 五、發明說明(η) 一個碳礙參鍵的烧基。「醯基」表示具有-C(〇)R結構式芙 團(例如C2醯基為)-C(0)CH3)。「醯氧基」表示具有-〇c(〇)R 結構式的基團。前述基團也含有取代基例如鹵原子,烧基, 芳基及雜基,例如醚類、肟類、酯類、醯胺類;或酸或鹼 部分亦即羧基、環氧基、胺基、磺醯基、或巯基,但規定 烧氧矽烧保持與塗覆組合物的其它組成分相容。較佳,使 用的矽烷為矽烷混合物。矽烷類可為烷氧矽烷、醯氧矽烷、 二烷氧-矽烷、三乙醯氧矽烷、二烷氧矽烷、二乙醯氧矽烷、 四烧氧石夕纟元或四乙酿氧石夕烧。若干直接附接於石夕原子之有 機基例如為苯基、甲基、乙基、乙基丙烯醯氧丙基、胺基 丙基、3-胺基乙基胺基丙基、乙烯基、苄基、雙環庚烯基、 環己烯基乙基、環己基、環戊二烯基丙基、7_辛_1烯基、 苯乙基、烯丙基或乙醯氧基。矽烷較佳藉無溶劑法水解或 部分水解。矽烷即使於硬化後仍將保有有機部分,只要部 刀有機基直接鍵結至矽原子即可。為了平衡硬光罩或蝕刻 擋止層的期望性質可使用矽烷混合物。例如申請人發現使 用方基烷氧矽烷或芳基醯氧矽烷(例如苯基三甲氧矽烷)組 合含有未飽和碳-碳鍵(例如烯基或炔基部分如乙烯基或苯 、、土)的燒氧石夕燒或氧石夕烧提供絕佳濕潤、塗覆以及黏 著丨生貝,lx仏有機聚合物介電材料特別為含有額外碳-破鍵 未乾ί度之芳香族聚合物。存在有芳香族經取代之矽烷也 改良水分敏感度以及改良介電常數優於單一石夕烧系統。此 使用烷基烷氧矽烷或烷基醯氧矽烷(如甲基三甲氧矽烷 土一甲氧石夕燒)組合芳基以及未餘和經取代之石夕烧可 14 1271413 五、發明說明(l2) 進一步改良結果形成的薄膜之保水性/排水性及降低介電 常數。此外,一烷氧、一醯氧、二烷氧、二醯氧、三烷氧、 三醯氧、四烷氧矽烷類或四醯氧矽烷類混合物也可呈混合 物使用,俾提高蝕刻選擇性,調整分支程度等。 此種石夕燒混合物之水解反應產物屬於本發明之一具體 實施例。特佳為下述組合物其為一種混合物之水解或部分 水解產物’該混合物包含 (a) 50-95莫耳%下式矽烷
Za
I
Ya — Ra — Si — OR,a
I
Za 其中Ra為CVC:6亞烧基,C]-C6伸烧基,伸芳基或直接鍵; 丫汪為^匕烧基’ c2-C6烯基,C2-C6^:基,C6-C2〇芳基,3_ 甲基丙烯醯氧基,3-丙烯醯氧基,3_胺基乙基_胺基,3-胺 基,-SiZa2ORa’或-0Ra,; Ra,於各次出現時分別為Ci_C6烷 基或C2-C6醯基;以及。為^·^烷基,C2-C6烯基, 炔基,cvcw芳基或-0Ra,,但規定Za*Ra-Ya組合中之至 少一者包含一個非芳香族碳_碳鍵未飽和度, (b) 5至40莫耳%
Zb
Yb - Rb — L - 〇R,b
I
Zb 其中&13為(^<6亞烷基,CiT6伸烷基,伸芳基或直接鍵; Yb為CKC6烧基,C2_C6稀基,c2_C6炔基,芳基,3
15 1271413
五、發明說明(u) 甲基丙烯醯氧基,3_丙烯醯氧基,弘胺基乙基_胺基,3_胺 基,-SiZhORb’或-〇Rb’ ; Rb’於各次出現時分別為Ci-c6 烷基或C2-C6醯基;以及Zb為CVC6烷基,C2-C6烯基,c2-C6 炔基,CVCm芳基或-〇Rb’,但規定Zi^Rb_Yb組合中之至 少一者包含芳香環,以及 (C) 0至45莫耳%
Zc
Yc - Rc-Si - 〇R,c
I
Zc 其中以為^-匸6亞烷基,伸烷基,伸芳基或直接鍵; 烧基,c2-c6婦基,C2-C6炔基,C6_C2G芳基,弘 甲基丙烯醯氧基,3-丙烯醯氧基,弘胺基乙基_胺基,夂胺 基,-SlZb2〇Rc,或-0Rc,; Rc,於各次出現時分別為Ci_c6烧 基或C2-C6醯基;以及以為^·^烷基,C2_C6烯基,C2_C6 炔基,CVCm芳基或-ORc,,但規定Zc*Rc_Yc組合中之至 少-者包含婦基。莫耳百分比係以㈣⑷、⑻及⑷之總 量為基準。 此種基於混合物之有機石夕貌可用作為製造微電子元件 的硬光罩、㈣撞止層或黏著劑,特佳含有碳·礙未飽和度 之有機介電聚合物層。 水解有機石夕烧組合物的製造可經調整而獲得預定性質 ,例如控制分子量、聚合物架構(例如嵌段共聚物、隨機共 聚物&當使用有機㈣類的組合且其中—種有機石夕烧的 反應性比另-種有機我顯著更高時,發明人發現較佳於
16 1271413 五、發明說明(Μ 水解反應期間連續添加反應|生較高的物牙重。如此確保兩類 型矽烷殘餘物更均勻分布於結果所得之寡聚物或聚合物。 添加速率調整為可於結果所得聚合物提供矽烷殘餘物之預 定混合物。用於此處,「$續添加」一詞表示反應性石夕烧進 料亚非一次全部加入,反而係分成若干等份加入,或更佳 預疋"』、、加速率你知傾注或添加。此外,水解反應期間連續 加水也有助於控制分子量。水解時,水添加量相當重要。 右水里過少,則發生膠凝。若水用量過大,則發生相分離。 用於較佳組合物,相對於每莫耳矽烷可添加丨_3莫耳水,更 佳1·5-2·5莫耳水。 其匕適當有機矽酸鹽樹脂為基於苯并環丁烯化學的樹 脂。較佳有機矽氧烷係由下式單體製成:
其中 各個R3分別為含1_6個碳原子之烷基,三甲基矽烷基, 甲氧基或氯;較佳R3為氫; 各個R分別為二價烯屬未飽和有機基,較佳為含1至6 個碳原子之烯基,最佳為-CHfCH2·; 各個R5分別為氫,含1至6個碳原子之烷基,環烷基, 芳烧基或本基;較佳R5為曱基;
17 1271413 五、發明說明(IS) 各個R6分別為氫,含1至6個碳原子之烷基,氣或氰基, 較佳為氫; η為1或1以上之整數; 以及各個q為〇至3的整數。 較佳有機矽氧烷架橋貳苯并環丁烯單體可藉例如美國 專利 4,812,588 ; 5,136,069 ; 5,138,081 及 WO94/25903 揭示 之方法製備。 適當基於苯并環丁稀之寡聚物石夕氧烧可以商品名塞可 (CYCLOTENEtm)得自陶氏化學公司。此等材料的優點為 具有低介電常數約2.65,如此可留在微電子元件作為嵌置 硬光罩而未對微電子元件的效能造成顯著不良影響。前述 經水解的矽烷也具有介電常數低於4,此乃目前常用的氧化 矽之介電常數值。氮化矽也常用,具有介電常數約7。矽烷 類也具有高度熱安定性,因此可忍受激烈的製造加工條件。 經烷基或芳基取代的矽倍半氧烷類也可用作為有機矽 酸鹽樹脂。 基板較佳為電活性基板較佳包括半導體材料如石夕晶圓 、絕緣層切或加鎵化物。較佳基板包括電晶體。基板 可包括先前施用的金屬互連層及/或電絕緣材料層。此等電 :緣材料可為前文討論的有機聚合物或為其它已知介電物 質:列如矽氧化物、氟化矽氧化物、矽氮化物、敦倍半氧烷 類等。先前施用的金屬互連層具有升高的結構,該種情況 下’有機聚合物或其前驅物須填補結構間的間隙。 有機聚合物介電體可藉任一 種可達成預定厚度的已知 1271413 五、發明說明(Ιό) 方法施用於基板。較佳未硬化聚合物或有機聚合物寡聚物 由溶劑系統以500至5000 rpm之離心速度旋塗於基板上。有 機聚合物層厚度較佳小於5〇〇〇亳微米,及更佳約5〇至约 2000毫微米。適當溶劑包括三甲苯、吡啶、三乙基胺、N_ 甲基吼略咬酮(NMP)、苯曱酸甲酯、苯甲酸乙酯、苯甲酸 丁酯、環戊酮、環己酮、環庚酮、環辛酮、環己基吡咯啶 酮,以及醚類或羥醚類如二苄基醚類、二乙二醇二甲醚、 二乙一醇二甲_、二乙二醇乙驗、二乙二醇甲醚、二丙二 醇甲醚、二丙二醇二甲_、丙二醇苯醚、丙二醇甲鱗、三 丙二醇甲醚、甲苯、二甲苯、苯、二乙二醇一甲醚、乙酸 酯、一氯苯、竣酸伸丙酯、萘、二苯鍵、丁内酯、二甲基 乙醯胺、二甲基甲醯胺及其混合物。 然後由塗層及募聚物去除其餘液體,或未硬化聚合物 較佳藉加熱硬化。較佳初步加熱步驟係於熱板上於氮氣氣 氛下進行’接著為於熱板上或爐内之高溫硬化步驟。較佳 之硬化溫度如U.S. 5,965,679之揭示為50。〇至600。〇 ,及更佳100至450°C之範圍經歷〇·1分鐘至60分鐘時間。製 造第一多孔層時,需要特殊步驟來燒掉或以其它方式去除 孔隙生成來源。例如參考WOOO/31183。 於施用(施用步驟包括烤乾去除殘餘溶劑步驟)以及視 需要地硬化第一層後,施用有機矽酸鹽材料。可使用任何 已知之塗覆方法例如單體之氣相沉積法、旋塗法、浸塗法、 噴塗法等。但以旋塗寡聚物或低分子量聚合物溶液為佳。 有機矽酸層厚度較佳大於50埃毫微米),及更佳大於1〇〇 19 1271413
埃。較佳有機矽酸鹽層厚度作為頂 又710皁日寸小於約1000 、’而作為嵌置硬光罩時小於約500埃。 仓丨 很艨弟一具體實施
Michael Kohler,Wiley-VCH。光阻劑可於姓刻過 程中去除,或於分開的去除步驟去除。然後第一介電層曝 露部分藉濕或乾蝕刻法蝕刻,而形成溝渠、通孔或其它預 定結構。若有所需,然後施用第二有機聚合物層於圖樣化 之有機矽酸鹽層上方及硬化。任何類型的硬光罩皆可施用 / ’有機矽酸鹽材料較佳於50至5〇〇°C,及更佳100至400 =溫度硬化GM60分鐘。確切溫度將依據選用的有機石夕酸 4料決定。光阻劑施用於有機料鹽材料上。光阻劑根 據習知方法成似_,俾紐部分光阻㈣曝露圖= 硬光罩。有機石夕酸鹽硬光罩隨後經姓刻而曝露部分第一介 電層。有機料鹽硬光罩的㈣可藉多種方法進行,例如 濕蝕刻(如電化學、光電化學或開放電路蝕刻)或乾蝕刻(例 如氣相、電漿、電射束、電子束、離子_)技術述於微系
於第二有機聚合物層上方,且根據標準方法圖樣化,但仍 以有機矽酸鹽為較佳。然後有機聚合物被蝕刻至嵌置的硬 光罩或蝕刻擋止層,於該處於嵌置硬光罩的圖樣已經被敞 開向下貫穿第一有機聚合物層。 根據第二具體實施例,可硬化有機矽酸鹽調配劑(例如 b-階段化或部分硬化募聚物或水解或部分水解有機碎烧) 包括光活性劑如光引發劑其引發可硬化聚合物的進一步硬 化或交聯。此種化合物例如包括過氧化物、偶氮化合物及 安息香衍生物。基於BCB之有機石夕酸鹽之光活性可旋塗調 20 1271413
五、發明說明(l8) 配劑以商品名塞可汀4000系列得自陶氏化學公司。另外, 系統可為正作用系統,包含光活性化合物及分開溶解抑制 劑’或較佳溶解抑制劑的本身具有光活性。適當感光溶解 抑制組合物/化合物之非限制性實例包括三羥二苯曱酮(如 THBP)以及異丙苯基酚之磺醯酯類。此種情況下,於施用 有機矽酸鹽及去除過量溶劑後,有機矽酸鹽硬光罩曝露於 輻射激發波長且顯像而留下硬光罩圖樣於有機聚合物層 上。結果,使用光活性劑可獲得正作用或負作用曝光性質。 正作用表示光引發劑於曝光時交聯,負作用表示光引發劑 於曝光時打斷鍵結。適當顯像劑為業界已知,且包括烴類、 甘醇類、二醇醚類、經取代之芳族溶劑及其混合物。然後 硬光罩如第一具體實施例硬化。硬化後,曝光後的有機聚 合物層如第一具體實施例蝕刻。 根據第三具體實施例,施用於第一有機聚合物介電層 後,有機矽酸鹽材料經硬化,較佳於5〇至5〇〇。(:,更佳ι〇〇 至4〇〇 C乾燥0.1至60分鐘。確切溫度將依據選用的有機矽 酸鹽材料決定。然後第二層有機聚合物介電體藉前述任一 種塗覆方法施用且完全或部分硬化完成後的有機聚合物 層可使用多種雙重鑲嵌方法,使用嵌置有機矽酸鹽層作 為蝕刻擋止層來建構溝渠或通孔結構,俾控制溝渠的均勾 度、深度及/或形狀。然後有機矽酸鹽硬光罩經蝕刻而曝露 部分第一層介電層。有機矽酸鹽硬光罩的蝕刻可藉多種方 法進行,例如濕蝕刻(如電化學、光電化學或開放電路蝕刻) 或乾蝕刻(例如氣相、電漿、電射束、電子束、離子蝕刻)
21 1271413 五、發明說明(l9) 技術述於Miehael KGhler,Wiley_vcH。第一 介電層曝光部分然後藉濕或乾㈣等方法㈣而形成通孔 或其它預定結構。 若干有用的雙重鑲嵌法之實例包括如下: 方案1 ·無保護膜硬光罩用作為嵌置硬光罩,透過於通 孔層次的圖樣化使用單—頂硬光罩。本方案中,通孔層次 介電體沉積後接著施職置硬光罩層,以及隨後施用及圖 樣化光阻劑。銅散阻擋層可施用於介電層下方 /種It況下’擴散阻擔層也於處理過程的適當點钱刻俾 形成金屬通孔的連接。積體接著為下列任一選項:選項i ·· ^虫=硬光罩;選項2:完全_硬光罩及部純刻介電 體,選項3 :完全蝕刻硬光罩及介電體;選項4 :完全蝕刻 光罩J電肢及擴散阻擔層。光阻劑經去除,施用溝渠 γ人的’I電層,接著施用頂硬光罩及施用及圖樣化光阻 Μ。然後將結構蝕刻至基板層次,於該處製作通孔通道且 σ下貝牙至肷置硬光罩,該硬光罩先前已經經過圖樣化。 方案2 :於方案2,循序施用以下列各層至基板:擴散 阻擋層、通孔層次介電層、嵌置硬光罩、溝渠層次介電層、 溝木硬光罩及圖樣化光阻劑。然後溝渠硬光罩蝕刻成為預 定溝渠側繪。然後通孔圖樣化的光阻劑施用且根據下列任 。璲項進行通孔蝕刻:選項1 :通孔繪側僅蝕刻貫穿溝渠介 電體至嵌置硬光罩頂端,接著蝕刻嵌置硬光罩,接著蝕刻 溝渠及通孔;選項2:通孔繪側蝕刻貫穿溝渠介電體及嵌置 硬光罩,接著蝕刻溝渠及通孔;選項3 :通孔繪側蝕刻直至 1271413
基板,接著蝕刻其餘溝渠側繪。 方案3 :本方案中,循序施用下列各層至基板:擴散阻 擋層、通孔層次介電層、嵌置硬光罩、溝渠層次介電層、 溝木硬光罩及圖樣化光阻劑。然後溝渠硬光罩經蝕刻成為 預定溝渠側繪。其次繼續蝕刻步驟而形成通孔側繪而向下 直至堆疊的任何預定層。然後頂硬光罩蝕刻獲得溝渠側 緣’進行其餘溝渠及通孔的餘刻。 方案4類似方案2,但使用雙重頂硬光罩。 方案5類似方案3,但使用雙重頂硬光罩。 方案6-8分別類似方案1-3,但使用如本專利文獻教示 之可光界限嵌置硬光罩。如此無需光阻劑來成像嵌置的硬 光罩。 方案9及1〇類似方案4及5,但全部硬光罩皆為可光界 限。 6 士方案11未使用嵌置硬光罩,反而使用雙重頂硬光罩及 疋時钱刻來形成通孔及溝渠。可先形成溝渠側緣或通孔側 差會。 方案12類似方案11,但使用可光界限硬光罩作為雙重 頂硬光罩層中之至少一者。 方案13-24分別同方案1-12,但頂硬光罩被去除而非留 在堆疊。 方案1-24中,金屬化係於通孔及溝渠形成後進行,但 如業界已知可包括使用阻擔材料。 本發明使用方案以時,其中至少一層硬光罩為此處
23 1271413 五 、發明說明(2】) 定義的有機石夕酸鹽,以及一層介電層為有機聚合物。使用 有機石夕酸鹽硬光罩與有機聚合物介電體之程序變化被視為 屬於本發明之範圍。例如蝕刻圖樣於有機聚合物介電體 ^可藉已知方法加上金屬互連線。例如使用銅互連線, 可藉物理氣相沉積、熱沉積、化學或電漿輔助氣相沉積施 用襯墊材料例如鈕、鈦、基於鈕之合金、基於鈦之合金。 也可藉物理、熱、電漿辅助氣相、無電極沉積或電鑛沉積, 接著為電鑛銅金屬施用。若互連系統隨後係於夠高溫(高於 200 C)退火,則基於苯并環丁烯(BCB)的有機料鹽樹脂硬 光罩將開始退化而容易使用弱酸(舉例)清除。另外,可藉 已知方法於通孔形成鎢插塞。 也可根據已知方法使用化學機械研磨俾促進平面化及 /或去除表層或結構。去除纽肢其它絲各層清潔步驟 也可如已知使用。 注意由於有機矽酸鹽硬光罩本身具有低介電常數,故 可方便地使用為嵌置硬光罩’叙置硬光罩未由物件去除, 反而由額外互連層/介電層施用於其上。另外,可藉任—種 已知方法例如氧/溶劑處理、熱分解加溶劑清潔等去除有機 矽酸鹽硬光罩。 有機石夕酸鹽樹脂用作為有機聚合物介電體硬光罩的主 要因素為’有機石夕酸鹽樹脂對用以姓刻有機聚合物介電體 的化學相當有抗性。#刻選擇性定義為當曝露於相同^ 化學時’有機聚合物介電體厚度除以有機石夕酸鹽被去除的 厚度。根據本發明,蝕刻選擇性較佳至少3,及更佳至少5。 24 1271413
五、潑^月說明(22) 用於水解;^烧類’㈣彳選擇性可更高,約大於1G,較佳大 於:。蝕刻選擇性可藉由進行處理提升 ,例如曝露於i化 包水且使用光或電子束照射基於BCB的有機矽酸鹽提升。 '°、特例式查驗時,部分本發明之效果變成更清晰。 種辦法係使用非保護(換言之,該層不被去除反而變 成凡件的永久性部分),非可光界限有機石夕酸鹽。如此可使 用低導磁率旋塗沉積硬光罩替代氣相沉積硬光罩。仍然適 用榣準圖樣化程序。由於多層介電堆疊的介電常數組合降 2,結果獲得元件性能改良。本發明之旋塗硬光罩的相對 ‘兹率為3.2 ’較佳3·〇至18,比較標準氣相硬光罩具有相 對導磁率3至3〇。其次,由於旋塗介電體特有的成本較低, 故可降低成本。 第二種辦法係使用犧牲(換言之,該層被去除)非可光 界限有機矽酸鹽樹脂。仍然適用標準圖樣化程序。圖樣化 步驟後’涉及專用處理步冑,例域用氧及溶劑處理去除 犧牲旋塗硬光罩。由於犧牲旋Μ被去除,故獲得最低可 能的介電常數。由於多層次累積之界面數面減少,故獲得 良率及可信度的提高。 第二種辦法使用非犧牲可光界限有機矽酸鹽。除了第 一種辦法之效果外,由於處㈣發缺陷的機率降低,故可 預期良率提高。又需要減少或去除光阻劑及軟罩的需求。 第四種辦法使用犧牲可光界限有機矽酸鹽。第二種辦 法的效果仍然適用,額外效果為由於處理誘發缺陷機率降 低故良率提高,以及由於免除光阻劑或軟罩的需求故處理 25 1271413 說明 ~~ — 簡化。 本發:方法之其它變化以下列程序舉例說明。 參照第1圖,於基板10上塗覆臂 俨介+ _ 4 Α 來方烯2〇例如絲柯I半導 然後硬化。硬化後的聚芳烯紙塗覆有機石夕酸 现30’也經硬化。有機石夕酸鹽3〇上氣相沉積無機硬光罩仙。 光阻劑塗覆於無機硬光罩4〇上,以及成像及顯像,芦後硬 光單4〇經钮刻,其餘光阻劑藉清潔去除而露出無機硬光軍 40的溝渠圖樣41。施用第二光阻劑層,經曝光及顯像以及 姓刻有機石夕酸鹽30。再度去除其餘光阻劑而露出有機石夕酸 鹽30之通孔圖樣31。參照第1(1圖,通孔21於有機聚合 刻,接著變更蝕刻化學成蝕刻貫穿有機矽酸鹽的化學,以 及使用定時蝕刻而形成溝渠22。於此時可藉習知去除方法 去除硬光罩40。沉積襯墊50、銅種子層及電鍍銅6〇層至基 板上之前可使用後蝕刻清潔。銅6〇如第“圖所示可經化學 機械研磨。銅於高溫退火,有機矽酸鹽樹脂容易藉弱酸清 潔去除。若有所需,可沉積蓋層70,重複各步驟而提供各 層。 參照第2圖,於基板10上塗覆聚芳烯2〇,然後經硬化。 光活性有機矽酸鹽32,例如塞可汀4022可光界限之基於 BCB樹脂施用於其上且去除溶劑。光活性有機矽酸鹽全面 性曝光於激發輻射波長,未曝光部分經去除而形成通孔圖 樣33。然後硬化其餘有機矽酸鹽32。施用第二光活性有機 矽酸鹽34、曝光及顯像而形成溝渠圖樣35,接著硬化有機 矽酸鹽34。非氟氣體可用於蝕刻通孔21,接著為氟化氣體 26 1271413
,刻而去除有機料鹽硬光罩34以及形成錢22。然後如 第1圖引述形成金屬化步驟。
如前述,本發明方法可用於雙重鑲製造。例如基板為 扣除或鑲欲互連結構。施用氣相沉積無機膜(six〇y,Six〇yN2 “Six:Ny ’ SixCy ’ Six〇yCz) ’接著施用有機膜(例如絲柯樹脂 經烤乾及硬化。可施用視需要之嵌置似,m止層步驟 (例如氣㈣積層或更佳如專敎㈣狀有機㈣鹽薄 膜’視需要為可光界限)。嵌置硬光罩可使用習知技術圖樣 化。其次,施用第二有機聚合物膜,經烤乾及硬化。於第 二有機聚合物膜頂上,實施雙重硬光罩策略,其中一張膜 為有機料鹽膜,另—張為無機31外,队啊,, SixCy ’ SixOyCz膜或金屬(例如 Ta,TaN,Ti,TiN,丁侧, T_’ WN,WSlN)膜。全部四種可光界限、非可光界限、 保護性及非保護性有機頻鹽層也適用於此處。
、用於轉印光阻劑圖樣或經曝光且經顯像有機石夕酸鹽之 通孔及溝渠圖樣至有機聚合物膜的程序,依據叙置硬光罩 的使用’可根據先通孔及溝欠、先於溝渠層次完全或 部分姓刻通孔、於溝渠層次Μ刻溝渠、於溝渠層次完全 或部分_溝渠或先通孔或於溝渠層次完全或部分蚀刻通 孔進行。—旦圖樣轉印於絲柯膜,則適用習知金屬化方法。 本發明適用於扣除製法及鑲嵌方法。用於扣除法,基 錢由習知技術製造的圖樣化金屬結構組成。當填補間二 時’要求有機料鹽樹脂(例如絲柯Η半導體介電體)藉適用 技術沉積,以及進行烤乾及硬化步驟,結果氣化去除溶劑 27 1271413
與遂化有機聚合物膜。有機平 合物膜可視需要經機械化學 研磨或蝕刻(使用抗蝕劑回蝕、 太本、十從 …蝕♦合物回蝕或任何其它相關 來獲得全面平面化薄膜。最末步驟也可延後。於有機 上’可藉前述方法沉積有切酸鹽_膜。再产, 有機石夕酸鹽可為保護性或非保護性,以及可為可光界^或 非可光界限,更完整說明如後:
樣板如先前所 選項a:有機石夕酸鹽膜為非保護性且非可光界限性。 綠劑圖樣施詩嶋上,圖_乾_技術轉印㈣S 月吴。隨後,有機聚合物膜使用圖樣化膜作為 述習知手段圖樣化。 選項b:有機矽酸鹽膜為保護性而非可光界限 光阻劑圖樣施用於os膜上,圖樣藉乾_技術轉印至〇s 膜。隨後’使關樣化OS膜作為樣板,如前文說明藉習知 手段將有機聚合物膜圖樣化。於有機聚合物膜圖樣化之後
’經由溶解於酸或藉乾蝕刻或藉化學機械研磨而去除0S 膜。 ’、
選項C :有機矽酸鹽膜為非保護性及可光界限性 0S膜如先前說明經曝光及顯像,圖樣藉乾蝕刻技術轉印於 〇s膜。隨後’有機聚合物膜使用圖樣化膜作為樣板,如前 文說明错習知手段圖樣化。 選項d ··有機矽酸鹽膜為保護性且可光界限性 〇S膜如先前說明經曝光及顯像,此種圖樣藉乾蝕刻技術轉 印至0S膜。隨後,使用圖樣化0S膜作為樣板,有機聚合物 膜如珂文說明藉習知手段圖樣化。於有機聚合物膜已經圖 28 1271413
樣化後,經由 除os膜。 '/谷解於酸或藉乾餘刻或藉化學 機械研磨而去 右先前刪除全面性平面化步驟,則現在可將此步驟引 進流程。此步驟為推薦而非處理順序所必需。—旦圖费已 經轉印於有機聚合物膜,則可應用f知插塞填補來 尺势 作互連線。 个衣 實例
复級i-單階鑲嵌結構之製造 絲柯-1半導體樹脂旋塗於2 〇 0毫米石夕基板上形成厚約i 微米層。塗層於3251熱板上硬化h5分鐘,及於4〇代爐内 硬化30分鐘。其次’塞可㈣22_35可光界限基於bcb樹脂 旋塗於絲柯-1樹脂層上。B C B於絲柯q塗層上形成均勻一致 品質良好的塗層,而無濕潤缺陷。然後BCB層曝光於紫外 光源圖樣化,使用對塞可⑽22_35基於BCB樹脂之推薦光 界限步驟進行顯像及硬化。
然後界限圖樣使用基於氮/氧電漿蝕刻至絲柯q塗層 。蝕刻後,BCB硬光罩存在於絲柯q塗層上,證實具有相 當良好的蝕刻選擇性。其次,晶圓使用電漿氣相沉積金屬 化。首先,濺鍍沉積薄層(200埃)鈦,接著濺鍍沉積厚銅膜。 此日守,晶圓於400 C退火1小時而模擬典型銅退火。最後, 留在BCB硬光罩表面上的銅及BCB硬光罩使用溫和擦光布 (棉)以及使用三甲苯溶劑去除。結果為去除頂BCB/鈦/銅層 但留下銅於界定結構内。 實例2 29 1271413 五、發明說明(27) 塞可汀7200樹脂調配劑使用三甲苯稀釋至不同固體濃 度。然後旋塗於硬化的厚7000埃絲柯-I樹脂膜上。BCB使 用標準推薦法光界限。然後各部分使用氮/氧電漿蝕刻。結 果列舉於表1,顯示此種非最理想蝕刻法之蝕刻選擇性為 8:1。 表1 :塞可汀樹脂對絲柯樹脂之蝕刻選擇性 %圖體於 BCB 溶液 前1虫刻 硬光罩 厚度,埃 後1虫刻 硬光罩 厚度,埃 硬光罩 1虫刻量,埃 最大1虫刻 選擇性 10 928 0 928 7.5:1 15 3025 2150 875 8.0:1 20 8618 6550 2068 3.4:1 30 26680 25532 1148 6.1:1 實例3 乙烯基三乙醯氧矽烷(VTAS)以3.5%及10.0%重量比添 加至道瓦諾(Dowanol) PMA。VTAS藉添加1莫耳水至1莫耳 VTAS水解。其次,兩片裸矽晶圓旋塗兩種溶液及於氮氣氣 氛下於340°C烤乾1分鐘。烤乾後的VTAS層厚度對3.5%及 10.0%溶液而言分別為約24.5毫微米及132毫微米。 另外,經由使用絲柯-I 550介電體樹脂旋塗100毫米矽 晶圓,及於400°C硬化30分鐘製備額外晶圓。使用側繪計量 術測得絲柯層厚度約450毫微米。然後備用晶圓以低沾黏度 膠帶覆蓋曝露出一半晶圓。然後旋塗VTAS/PMA溶液 ,移開低沾黏度膠帶,晶圓於氮氣環境下置於340°C熱板上 30 1271413 五、發明說明(28) 1分鐘。結果為半塗層晶圓,一半使用3.5%溶液,而另一 半使用10%溶液。 然後晶圓曝露於氮-氧電漿1分鐘。電漿處理後,不含 VTAS頂塗層的該半晶圓完全被钱刻。但帶有VTAS硬光罩 之絲柯樹脂絲毫也未被蝕刻。結果,VTAS硬光罩對絲柯樹 脂的蝕刻選擇性大於18:1 (450亳微米絲柯樹脂完全被去除 /24.5毫微米VTAS未完全被去除)。 實例4 額外晶圓如實例3塗覆絲柯-I 500樹脂及硬化。然後晶 圓塗覆10% VTAS溶液,於氮氣氣氛下於340°C再度烤乾1 分鐘。然後旋塗第二層絲柯-I樹脂層。塗層具有可接受的 品質。然後晶圓於400°C硬化30分鐘5次。晶圓未見起泡、 撕離或裂開,因此證實此種材料於應用時具有足夠熱安定 性。 實例5 經由添加3.92克乙烯基三乙醯氧矽烷(VTAS)及1.13克 苯基三甲氧矽烷(PTMS)至95.15克之道瓦諾PMA製備有機 矽烷溶液。基於矽烷總含量,等莫耳質量的水添加至混合 物,及溶液振搖隔夜。溶液通過0.1微米過濾膜過濾。 約3亳升溶液以750 rpm旋塗於200毫米矽晶圓上。恰於 溶液配漿後,晶圓以每秒10000 rpm加速至3000 rpm及乾燥 30秒。乾燥後,晶圓於180t熱板上烤乾60秒。矽烷膜厚度 為152埃。 約3毫升絲柯I半導體介電體溶液(150毫微米名目膜厚 31 1271413 五、發明說明(29) 度)以60 rpm施用至如上製備之經矽烷塗層晶圓。恰在寡聚 物溶液配槳後,晶圓以每秒1 〇〇〇〇 rpm加速至3000 rpm及乾 燥45秒。乾燥後,寡聚物進一步於氮氣氣氛下於320°C熱板 聚合90秒。於熱板烤乾後,目測評估晶圓缺陷。觀察得極 少缺陷。絲柯介電膜厚度約1400埃。矽烷/絲柯堆疊於矽晶 圓上的黏著性測得為0.27 MPa-m"。 隨後晶圓測驗包括蝕刻選擇性係以類似前述製法及實 例6之方式進行,顯示下方有機矽酸鹽膜於施用隨後頂介電 層期間已經受損或被部分去除。各種避免此項問題的辦法 包括頂介電層旋塗配方的溶劑選擇,矽烷單體的選擇俾提 高有機矽酸鹽層的交聯或一致性,有機矽酸鹽材料製法俾 提高層一致性(例如參考實例10)。 實例6 經由添加4.9克0.001 N鹽酸至15.3克道瓦諾PMA製備 有機矽烷溶液。PMA混合物置於冰浴槽,加入1.7克PTMS 同時攪拌。然後緩慢添加18.3克VTAS至溶液。矽烷溶液振 搖60分鐘,然後藉添加39.47克溶液至93.30克道瓦諾PMA 稀釋成14.8重量%有機矽烷。溶液振搖5分鐘然後任其平 衡。然後經由添加16.90克14.8%備用溶液至33.11克道瓦諾 PMA將溶液進一步稀釋至10重量%有機矽烷。 約3毫升如上製備之矽烷溶液以750 rpm施用至200毫 米矽晶圓表面。恰在溶液配漿後,晶圓以每秒10000 rpm 加速至3000 rpm及乾燥30秒。乾燥後,晶圓於熱板上於180 t烤乾60秒。矽烷膜厚度為310埃。 32 1271413 五、發明說明(3〇) 約3毫升絲柯I半導體介電體溶液(100毫微米名目膜厚 度)以60 rpm施用至如上製備的經矽烷塗層的晶圓上。恰在 寡聚物溶液配漿後,晶圓以每秒10000 rpm加速至3000 rpm 及乾燥45秒。乾燥後,寡聚物進一步於氮氣氣氛下於320 °C熱板上聚合90秒。於熱板烤乾後,目測評估晶圓的缺陷。 觀察得極少缺陷。絲柯介電體薄膜厚度約1080埃。 實例7 如實例5製備有機矽烷溶液,但未添加PTMS至溶液。 僅使用VTAS作為矽烷組成分。名目有機矽烷濃度(100% VTAS)為 4.5重量%。 約3毫升如上製備的矽烷溶液以750 rpm施用至200毫 米矽晶圓表面。恰在溶液配漿後,晶圓以每秒10000 rpm 加速至3000 rpm及乾燥30秒。乾燥後,晶圓於180°C熱板烤 乾60秒。矽烷膜厚度為240埃。 約3毫升絲柯I半導體介電體溶液(100毫微米名目膜厚 度)以60 rpm施用於如上製備的矽烷塗層晶圓上。恰在寡聚 物溶液配漿後,晶圓以每秒10000 rpm加速至3000 rpm及乾 燥45秒。乾燥後,寡聚物進一步於氮氣氣氛下於320°C熱板 聚合90秒。於熱板烤乾後,目測評估晶圓的缺陷。觀察重 大膜缺陷,特別未濕潤區及薄膜回縮。絲柯膜厚度約1170 埃。 然後如前述準備一系列晶圓,但絲柯介電體膜厚度由 1170埃改變成10400埃。使用目測尺來決定絲柯介電膜品質 特性,有10種代表性極差的膜品質(重大未濕潤及/或回縮) 33 1271413 五、發明說明(31) 以及一種代表性極佳的膜品質。下表列舉膜品質及絲柯膜 厚度。實例5及6之晶圓含括供比較。驗證對薄頂塗膜而言, 頂塗膜與下層的相容性顯然比較厚的頂塗膜更敏感。 實例8 如實例7製備有機矽烷溶液,但有機矽烷終濃度為2.5 重量%。 如實例7所述製備一系列晶圓,下表摘述晶圓膜品質及 膜厚度。實例5及6所述晶圓含括供比較。 晶圓ID 有機矽烷膜 厚度,埃 絲柯膜 厚度,埃 膜品質 A 240 1170 10 B 240 1440 10 C 240 2560 5 D 240 3760 2 E 240 5700 2 F 240 10400 2 實例5 150 1440 2 實例6 310 1080 2 34 1271413 五、發明說明(π) 晶圓ID 有機矽烷膜 厚度,埃 絲柯膜 厚度,埃 膜品質 A, 120-140 1170 10 B, 120-140 1440 10 C, 120-140 2560 1 D, 120-140 3760 1 E, 120-140 5700 1 F, 120-140 10400 1 實例5 150 1440 2 實例6 310 1080 2 實例9 本例為嵌置蝕刻擋止層製造例。 約3毫升得自陶氏化學公司AP4000黏著促進劑以750 rpm施用於200毫微米晶圓上。恰在溶於配漿後,晶圓以每 秒10000 rpm加速至3000 rpm及乾燥30秒。乾燥後,晶圓於 180°C熱板上烤乾60秒。矽烷膜厚度為152埃。然後以60 rpm 施用約3毫升絲柯I半導體介電溶液(400毫微米名目膜厚度) 至如前述製備的黏著促進劑塗層晶圓。恰在寡聚物溶液配 漿後,晶圓以每秒10000 rpm加速至3000 rpm及乾燥45秒。 乾燥後,寡聚物進一步於氮氣氣氛下於320°C熱板聚合90 秒。然後塗層晶圓於400°C於真空硬化30分鐘。 然後約3毫升實例6製備的有機矽烷溶液以750 rpm施 用於硬化後的200毫米晶圓表面上。恰在溶液配漿後,晶圓 35 1271413 五、發明說明(33) 以每秒10000 rpm加速至3000 rpm及乾燥30秒。乾燥後,晶 圓於180°C熱板烤乾60秒。然後以60 rpm施用約3毫升絲柯I 半導體介電溶液(名目膜厚度100毫微米)至晶圓 。恰在寡聚物溶液配漿後,晶圓以每秒10000 rpm加速至 3000 rpm及乾燥45秒。乾燥後,寡聚物進一步於氮氣氣氛 下於320°C熱板聚合90秒。經熱板烤乾後,目視評估晶圓缺 陷。未觀察得任何目視可見缺陷。 實例10 本實例驗證不同矽烷組合的優點。 首先添加0.58克1N乙酸至3.19克苯基三乙氧矽烷 (PTMS)製備有機矽烷混合物。PTMS混合物置於水浴槽。 同時連續添加21.13克VTAS及3.28去離子水至經攪拌的 PTMS溶液。矽烷溶液攪拌60分鐘,然後藉加入26.24克溶 液至133.98克道瓦諾PMA稀釋成14.1重量%有機矽烷。備用 溶液振搖5分鐘,然後讓其平衡。溶液藉添加11.66克14.1% 備用溶液至29.78克道瓦諾PMA進一步稀釋成4.2重量%有 機矽烷。 約3毫升如上製備之稀矽烷溶液以750 rpm施用至200 毫米石夕晶圓表面。恰在溶液配漿後,晶圓以每秒10000 rpm 加速至3000 rpm及乾燥30秒。乾燥後,晶圓於熱板上於250 °C烤乾60秒。矽烷膜厚度為913埃。 約3毫升絲柯I半導體介電體溶液(100毫微米名目膜厚 度)以60 rpm施用至如上製備之矽烷塗覆晶圓。恰在寡聚物 溶液配漿後,晶圓以每秒10000 rpm加速至3000 rpm及乾燥 36 1271413 五、發明說明(μ) 45秒。乾燥後,寡聚物進一步於氮氣氣氛下於32(TC熱板上 聚合90秒。於熱板烤乾後,目測評估晶圓缺陷。觀察得極 少缺陷。絲柯介電體薄膜厚度约1080埃。 圖式簡單說明 第1圖及第2圖為剖面代表圖顯示使用本發明之硬光罩 材料之積體計晝範例。
元件標號對照 2〇···聚芳烯 22···溝渠 31.. .圖樣 33·.·通孔圖樣 35···溝渠圖樣 41··.圖樣 60.. .銅
lQ··.基板 21···通孔 3〇···有機石夕酸鹽 3? • ··有機秒酸鹽 34···有機石夕酸鹽 4〇···無機硬光罩 5〇···襯墊 7〇···蓋層
37

Claims (1)

1271413 六、申請專利範圍 第90120501號專利申請案申請專利範圍修正本 95.07.28 1 · 一種用於微電子裝置製造中之有機矽酸鹽組合物,包含 一矽烷組合之水解或部分水解產物,該矽烷組合包含: (a) 50-95莫耳%下式矽烷 Za Ya — Ra — Si — OR’a I Za 其中Ra為C〗_C6亞烷基,Cl_C6伸烷基,伸芳基或直 接鍵;丫&為(^-(:6烧基,c2-c6婦基,c2_c6炔基,C6_C2〇 芳基,3-甲基丙烯醯氧基,3_丙烯醯氧基,_SiZa2〇Ra, 或-ORa,;Ra’於各次出現時分別為Ci-C6烷基或。<6醯 基,以及Za為基,C2-C6稀基,C2_C6炔基,c6-C2〇 芳基或-ORa’,但規定Za或Ra-Ya組合中之至少一者包 含一個非芳香族碳-碳鍵未飽和度, (b) 5至40莫耳% Zb I Yb-Rb - Si - 〇R,b I Zb 其中灿為CVC6亞烧基’ C^C:6伸燒基,伸芳基或直 接鍵;Yb為Cl-C6烧基,c2-c6烯基,c2_c6快基,C6_C2〇 芳基,3-曱基丙烯醯氧基,3-丙烯醯氧基,-Sizb2〇Rb, 或-ORb’ ’· Rb,於各次出現時分別為Ci-C6烷基或C2_C6 酿基,以及Zb^CVC^烧基,C2-C6稀基,c2_c6快基, C6-C2〇芳基或-〇Rb’ ’但規定Zb或Rb-Yb組合中之至少 38 1271413 '申請專利範圍 一者包含芳香環,以及 (c) 0至45莫耳% Zc Yc - Rc-Si-〇R,c Zc 其中Rc為CVC,亞烷基,c r从e1古 ^ 伸烷基,伸方基或直 接鍵;Yc為CVC6燒基,c ^ 6场基,(^2^6快基,C6-C20 7 ’ 3-甲基丙烯醯氧基,3_丙烯醯氧基,_SiZc2〇Rc, l〇RC,;Re,於各次出現時分別為C]-C為基或C2-C6醯 基,以及Zc^ C^-CU烷其,r广 土 2*^6如基,C2-C6炔基,C6-C2。 方基或-ORc,。 2·=申請專利範圍^項之有機石夕酸鹽組合物,其中該第 石夕燒(a)為-乙稀基乙酿氧料,以及第二發烧⑼為 一芳基烷氧矽烷。 3. 一種如申請專利範圍第1或2項之有機矽酸鹽組合物用 作為黏著促進劑之用途。 種在/專膜層間具有良好黏附性之物件,包含一第一薄 膜其與一第二薄膜直接接觸,該第一薄膜包含如申請 專利範圍第1或2項之有機矽酸鹽組合物之硬化產物,以 及该第二薄膜包含一有機聚合物其包含芳香族基及非 方香族碳-碳鍵。 5·種在低介電常數有機聚合物的薄膜中形成圖案之方 法,包含: k供一片基板, 39 I271413
六、申請專利範圍 形成一第一層於該基板上,其中該第一層具有介電 常數小於3.0且包含一有機聚合物, 藉由浴劑塗覆施用一有機石夕酸鹽樹脂於該第一芦 上,其中該有機矽酸鹽樹脂為如申請專利範圍第丨項之 有機矽酸鹽組合物或一由下式單體所製成之有機石夕氧 燒:
其中 各個R3分別為氫,含1_6個碳原子之烷基,三甲基 矽烷基,甲氧基或氯; 各個R4分別為二價烯屬未飽和有機基團;
各個R5分別為氫,含個碳原子之烷基,環烷 基’方烧基或笨基; 各個R6分別為氫,含丨至6個碳原子之烷基,氯或氰 基; η為1或1以上之整數;以及 各個q為0至3的整數; 蝕刻以去除部分有機矽酸鹽樹脂而曝露出部分第 一層,以及 去除第一層曝露部分。 如申凊專利摩巳圍第5項之方法,其中該有機石夕酸鹽樹脂 40 1271413 六、申請專利範圍 為士申明專利辜巳圍第1或2項之有機石夕酸鹽組合物之硬 化產物。 士申明專利犯圍第5項之方法,其中該第一層之 蝕刻去除。 •=申请專利範圍第5項之方法,其中該去除部分有機石夕 ^皿步驟包含施用光阻劑於有機石夕酸鹽上方,曝光部分 光阻劑於激發輪射,顯像光阻劑而露出部分有機石夕酸 鹽,以及蝕刻該有機矽酸鹽。 •如申凊專利範圍第7或8項之方法,其中該姓刻步驟包含 =用氧、氮、氦、氬、CxFy,CxHyFz,CxHy,WxFy 或其 此合物之RIE(反應性離子蝕刻)型電漿蝕刻。 1〇·如申請專利範圍第5項之方法,其進—步包含施用導電 至屬於至少部分區域,該區域之第一層已經被去除。 U·如申請專利範圍第5項之方法,其進一步包含增加一具 $ W % ¥數低於3.G之第二層於有機秒酸鹽樹脂上,於 第一層上方形成一圖樣化硬光罩,及蝕刻第二層。 12. =請專利範圍第u項之方法,其中該㈣包含姓刻貫 牙第一層至有機矽酸鹽材料,以及此處有機矽酸鹽事先 被蝕刻至第一層去除。 13. 如申請專利範圍第U項之方法,其中該第二層係於去除 部分有機矽酸鹽層之步驟前施用及被蝕刻。 14·如申請專利範圍第5項之方法,其中該基板包含—含電 晶體的活性基板。 15·如申請專利II圍第5項之方法,其中該有機聚合物為聚 41 1271413
16.如 申請專利範圍第IS項之古、么甘士—丄 山 、之方法,其中该有機聚合物為環 戍二烯酮官能化合物及 t 1Ί t 乙炔g此化合物之反應產物。 17·如申請專利範圍第5項之 1R , ^ 示貝之方法,其中該第一層為多孔。 M·如申請專利範圍第5項之 、一 、之方法,其中该有機矽酸鹽樹脂 為一乙歸基石夕烧氧_貳-笼茬 。 紙本开% 丁烯早體之硬化反應產 物。 19·如申請專利範圍第5項之方法,其中該有機料鹽樹脂 為水解烧氧找、水解酿氧㈣或其組合之硬化產物。 2〇.如申請專利範圍第1項之方法,其中該有财酸鹽樹脂 為可光界限。 21·如申請專利範圍第2㈣之方法,其中該去除部分有機石夕 酸鹽樹脂之步驟包含將有機石夕酸鹽曝光於輻射激發波 長,俾於曝光處引發聚合反應,以及使用適當顯像劑去 除有機矽酸鹽之未經曝光部分。 U如申請專利範圍第5項之方法,其係用以製造—積體電 路物件。 種自一或夕種不同初始有機石夕烧製造具有實質均勻 之殘留分佈之如申請專利範圍第1項之有機矽酸鹽組合 物的方法,其包含於水解反應過程中,連續添加其中一 種組成分至一包含另一種組成分之溶液中、於水解反應 過程中,連續添加水且攪拌所得之有機矽酸鹽,此處欲 連績添加的成分係選擇為該水解反應中具有較高反應 性的成分。 42
TW090120501A 2000-08-21 2001-08-21 Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices TWI271413B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US22617000P 2000-08-21 2000-08-21
US28431701P 2001-04-17 2001-04-17

Publications (1)

Publication Number Publication Date
TWI271413B true TWI271413B (en) 2007-01-21

Family

ID=26920274

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090120501A TWI271413B (en) 2000-08-21 2001-08-21 Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices

Country Status (7)

Country Link
US (2) US7115531B2 (zh)
EP (2) EP1837902B1 (zh)
JP (1) JP5350571B2 (zh)
KR (1) KR100795714B1 (zh)
CN (1) CN1447981B (zh)
TW (1) TWI271413B (zh)
WO (1) WO2002016477A2 (zh)

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1190277B1 (en) 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
JP2004509468A (ja) * 2000-09-13 2004-03-25 シップレーカンパニー エル エル シー 電子デバイスの製造
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
JP2002299337A (ja) * 2001-03-29 2002-10-11 Toshiba Corp 半導体装置の製造方法および半導体装置
US6839808B2 (en) * 2001-07-06 2005-01-04 Juniper Networks, Inc. Processing cluster having multiple compute engines and shared tier one caches
US6699792B1 (en) * 2001-07-17 2004-03-02 Advanced Micro Devices, Inc. Polymer spacers for creating small geometry space and method of manufacture thereof
DE60217247T2 (de) * 2001-09-28 2007-10-04 Jsr Corp. Gestapelte Schicht, isolierender Film und Substrate für Halbleiter
US6933586B2 (en) * 2001-12-13 2005-08-23 International Business Machines Corporation Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
US6734096B2 (en) * 2002-01-17 2004-05-11 International Business Machines Corporation Fine-pitch device lithography using a sacrificial hardmask
US6815333B2 (en) 2002-04-02 2004-11-09 Dow Global Technologies Inc. Tri-layer masking architecture for patterning dual damascene interconnects
US6806182B2 (en) * 2002-05-01 2004-10-19 International Business Machines Corporation Method for eliminating via resistance shift in organic ILD
US6846756B2 (en) * 2002-07-30 2005-01-25 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers
US7071112B2 (en) * 2002-10-21 2006-07-04 Applied Materials, Inc. BARC shaping for improved fabrication of dual damascene integrated circuit features
JP2004153015A (ja) * 2002-10-30 2004-05-27 Fujitsu Ltd 半導体装置及びその製造方法
US20040084774A1 (en) * 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US6917108B2 (en) 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
CN100334695C (zh) * 2003-01-02 2007-08-29 上海华虹(集团)有限公司 一种含硅低介电常数材料炉子固化工艺
US6900137B2 (en) * 2003-03-28 2005-05-31 International Business Machines Corporation Dry etch process to edit copper lines
US20040191417A1 (en) * 2003-03-28 2004-09-30 Dorie Yontz Method of integrating a porous dielectric in an integrated circuit device
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
WO2004090018A1 (en) * 2003-04-02 2004-10-21 Dow Global Technologies Inc. Multifunctional substituted monomers and polyarylene compositions therefrom
US7164197B2 (en) 2003-06-19 2007-01-16 3M Innovative Properties Company Dielectric composite material
US20050059788A1 (en) * 2003-07-30 2005-03-17 Sumitomo Chemical Company, Limited Organic polymer film and method for producing the same
JP4513956B2 (ja) * 2003-07-30 2010-07-28 日本電気株式会社 有機高分子膜及びその製造方法
US20050087490A1 (en) * 2003-10-28 2005-04-28 International Business Machines Corporation Process for removing impurities from low dielectric constant films disposed on semiconductor devices
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US7217648B2 (en) * 2004-12-22 2007-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Post-ESL porogen burn-out for copper ELK integration
US7335588B2 (en) * 2005-04-15 2008-02-26 International Business Machines Corporation Interconnect structure and method of fabrication of same
US20070015082A1 (en) * 2005-07-14 2007-01-18 International Business Machines Corporation Process of making a lithographic structure using antireflective materials
US7326442B2 (en) * 2005-07-14 2008-02-05 International Business Machines Corporation Antireflective composition and process of making a lithographic structure
KR100703559B1 (ko) * 2005-12-28 2007-04-03 동부일렉트로닉스 주식회사 듀얼다마신 구조를 가지는 반도체 소자 및 그 제조방법
US7485573B2 (en) * 2006-02-17 2009-02-03 International Business Machines Corporation Process of making a semiconductor device using multiple antireflective materials
KR100737155B1 (ko) * 2006-08-28 2007-07-06 동부일렉트로닉스 주식회사 반도체 소자의 고주파 인덕터 제조 방법
KR100796047B1 (ko) * 2006-11-21 2008-01-21 제일모직주식회사 레지스트 하층막용 하드마스크 조성물, 이를 이용한 반도체집적회로 디바이스의 제조방법 및 그로부터 제조된 반도체집적회로 디바이스
US8642246B2 (en) * 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
JP4451457B2 (ja) * 2007-02-26 2010-04-14 富士通株式会社 絶縁膜材料及びその製造方法、多層配線及びその製造方法、並びに、半導体装置の製造方法
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP2009016672A (ja) * 2007-07-06 2009-01-22 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置、半導体製造装置及び記憶媒体。
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
US7682924B2 (en) 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
KR100901759B1 (ko) * 2007-09-12 2009-06-11 제일모직주식회사 레지스트 하층막용 하드마스크 조성물, 이를 이용한반도체 집적회로 디바이스의 제조방법 및 반도체 집적회로디바이스
US8388851B2 (en) 2008-01-08 2013-03-05 Micron Technology, Inc. Capacitor forming methods
US20090200668A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
JP5413185B2 (ja) * 2008-12-25 2014-02-12 Jsr株式会社 ネガ型感放射線性組成物、硬化パターン形成方法および硬化パターン
KR101266291B1 (ko) * 2008-12-30 2013-05-22 제일모직주식회사 레지스트 하층막용 조성물 및 이를 이용한 반도체 집적회로디바이스의 제조방법
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8598465B2 (en) * 2011-01-27 2013-12-03 Northrop Grumman Systems Corporation Hermetic circuit ring for BCB WSA circuits
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US8946043B2 (en) 2011-12-21 2015-02-03 Micron Technology, Inc. Methods of forming capacitors
US8652926B1 (en) 2012-07-26 2014-02-18 Micron Technology, Inc. Methods of forming capacitors
US9109080B2 (en) 2012-10-22 2015-08-18 Delsper LP Cross-linked organic polymer compositions and methods for controlling cross-linking reaction rate and of modifying same to enhance processability
US9127138B2 (en) 2013-01-28 2015-09-08 Delsper LP Anti-extrusion compositions for sealing and wear components
SG11201507318WA (en) 2013-03-15 2015-10-29 Delsper LP Cross-linked organic polymers for use as elastomers
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
EP3259581B1 (en) 2015-02-17 2020-01-29 Honeywell International Inc. Humidity sensor and method for manufacturing the sensor
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
JP6600480B2 (ja) * 2015-04-20 2019-10-30 東京エレクトロン株式会社 被処理体を処理する方法
KR101926023B1 (ko) 2015-10-23 2018-12-06 삼성에스디아이 주식회사 막 구조물 제조 방법 및 패턴형성방법
EP3244201B1 (en) 2016-05-13 2021-10-27 Honeywell International Inc. Fet based humidity sensor with barrier layer protecting gate dielectric
US10410883B2 (en) * 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11175581B2 (en) * 2016-12-05 2021-11-16 Rohm And Haas Electronic Materials Llc Aromatic resins for underlayers
US10790146B2 (en) * 2016-12-05 2020-09-29 Rohm And Haas Electronic Materials Llc Aromatic resins for underlayers
US10894848B2 (en) * 2016-12-14 2021-01-19 Rohm And Haas Electronic Materials Llc Polyarylene resins
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US11042093B2 (en) * 2017-11-15 2021-06-22 Rohm And Haas Electronic Materials Llc Gap-filling method
KR102113659B1 (ko) * 2017-11-28 2020-05-21 삼성에스디아이 주식회사 하드마스크 조성물 및 패턴 형성 방법
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11584956B2 (en) 2018-12-21 2023-02-21 Microsoft Technology Licensing, Llc Selectively controllable cleavable linkers
US11773422B2 (en) 2019-08-16 2023-10-03 Microsoft Technology Licensing, Llc Regulation of polymerase using cofactor oxidation states
US11896945B2 (en) * 2019-10-09 2024-02-13 Microsoft Technology Licensing, Llc High surface area coatings for solid-phase synthesis

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3457224A (en) * 1968-09-26 1969-07-22 Owens Illinois Inc Method for preparing organopolysiloxanes using vanadium chelate hydrolysis catalysts
US3474070A (en) * 1969-01-10 1969-10-21 Owens Illinois Inc Methods for preparing organopolysiloxanes using ferric-containing catalysts
US3491054A (en) * 1969-03-28 1970-01-20 Owens Illinois Inc Methods for preparing organo-polysiloxanes using aluminum hydrolysis catalysts
US3837897A (en) * 1972-05-04 1974-09-24 Owens Corning Fiberglass Corp Glass fiber reinforced elastomers
US4043953A (en) * 1975-05-02 1977-08-23 Ppg Industries, Inc. Ambient temperature, moisture-curable acrylic-silane coating compositions having improved potlife
JPS56151731A (en) * 1980-04-25 1981-11-24 Japan Synthetic Rubber Co Ltd Preparation of silicone resin
JPS60110726A (ja) * 1983-11-18 1985-06-17 Mitsubishi Electric Corp シリコ−ン化合物の製法
US4596733A (en) * 1983-12-30 1986-06-24 International Business Machines Corporation Electroerosion recording material with polyorganosiloxane overlayer
JPS61247756A (ja) * 1985-04-26 1986-11-05 Toray Silicone Co Ltd 室温硬化性オルガノポリシロキサン組成物
US4812588A (en) * 1987-12-14 1989-03-14 The Dow Chemical Company Polyorganosiloxane-bridged bisbenzocyclobutene monomers
JP2606321B2 (ja) * 1988-10-06 1997-04-30 富士通株式会社 感光性耐熱樹脂組成物と半導体装置の製造方法
US5155175A (en) * 1989-12-08 1992-10-13 Intellectual Property Law Dept. Crosslinkable fluorinated polyarylene ether composition
JP2718231B2 (ja) * 1990-01-10 1998-02-25 三菱電機株式会社 高純度末端ヒドロキシフェニルラダーシロキサンプレポリマーの製造方法および高純度末端ヒドロキシフェニルラダーポリシロキサンの製造方法
US5179188A (en) * 1990-04-17 1993-01-12 Raychem Corporation Crosslinkable fluorinated aromatic ether composition
US5115082A (en) * 1990-04-17 1992-05-19 Raychem Corporation Fluorinated poly(arylene ether)
EP0466025B1 (en) * 1990-07-06 1999-03-10 Nippon Telegraph And Telephone Corporation Resist material, method for the production of the same and process of forming resist patterns using the same
JPH04338958A (ja) * 1990-07-06 1992-11-26 Nippon Telegr & Teleph Corp <Ntt> レジスト材料、その製造方法およびこれを用いたパターン形成方法
US5138081A (en) * 1991-04-30 1992-08-11 The Dow Chemical Company Process for purifying vinylically-unsaturated organosilicon compounds
US5136069A (en) * 1991-03-28 1992-08-04 The Dow Chemical Company Process for preparing vinylically-unsaturated compounds (II)
JPH0574963A (ja) 1991-06-06 1993-03-26 Mitsubishi Electric Corp 半導体装置及びその製造方法
DE4125201C1 (zh) * 1991-07-30 1992-10-01 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De
JP3132885B2 (ja) 1992-03-19 2001-02-05 富士通株式会社 レジスト組成物及びそれを用いるパターン形成方法
US5854302A (en) * 1993-04-29 1998-12-29 The Dow Chemical Company Partially polymerized divinylsiloxane linked bisbenzocyclobutene resins and methods for making said resins
JP3713719B2 (ja) * 1993-12-08 2005-11-09 チッソ株式会社 ポリシロキサンの製造方法
US5565384A (en) * 1994-04-28 1996-10-15 Texas Instruments Inc Self-aligned via using low permittivity dielectric
JPH07331172A (ja) * 1994-06-09 1995-12-19 Toray Ind Inc カラーフィルタ保護膜形成用塗液組成物
US6149855A (en) * 1994-07-28 2000-11-21 Watson; Louis L. Method of manufacturing a building material from volcanic magma
JPH08100035A (ja) * 1994-09-28 1996-04-16 Showa Denko Kk 水性エマルジョンおよびその製造方法
US5994489A (en) * 1994-10-24 1999-11-30 The Dow Chemical Company Adhesion promoter and self-priming arylcyclobutene resin compositions
US5668210A (en) * 1994-10-24 1997-09-16 The Dow Chemical Company Adhesion promoter and self-priming arylcyclobutene resin compositions
JPH07331173A (ja) * 1995-02-21 1995-12-19 Toray Ind Inc 光学材料形成用塗液組成物および光学材料
JP3347936B2 (ja) * 1995-03-16 2002-11-20 信越化学工業株式会社 光硬化性オルガノポリシロキサン組成物
US5986045A (en) 1995-06-26 1999-11-16 Alliedsignal Inc. Poly(arylene ether) compositions and the method for their manufacture
US5874516A (en) * 1995-07-13 1999-02-23 Air Products And Chemicals, Inc. Nonfunctionalized poly(arylene ethers)
BR9610547A (pt) 1995-09-12 1999-07-06 Dow Chemical Co Compostos aromáticos substituídos com etinila síntese polímeros e usos dos mesmos
JPH09143420A (ja) * 1995-09-21 1997-06-03 Asahi Glass Co Ltd 低誘電率樹脂組成物
JP3179340B2 (ja) * 1996-05-27 2001-06-25 大日本塗料株式会社 オルガノポリシロキサンの製造方法
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5965679A (en) * 1996-09-10 1999-10-12 The Dow Chemical Company Polyphenylene oligomers and polymers
US5854126A (en) 1997-03-31 1998-12-29 Siemens Aktiengesellschaft Method for forming metallization in semiconductor devices with a self-planarizing material
US6218497B1 (en) * 1997-04-21 2001-04-17 Alliedsignal Inc. Organohydridosiloxane resins with low organic content
US6143855A (en) * 1997-04-21 2000-11-07 Alliedsignal Inc. Organohydridosiloxane resins with high organic content
JPH10298254A (ja) * 1997-04-23 1998-11-10 Mitsubishi Rayon Co Ltd 硬化性組成物およびその製造方法、並びに歯科用修復材料
EP0997497B1 (en) * 1997-07-15 2004-10-27 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
US6218078B1 (en) * 1997-09-24 2001-04-17 Advanced Micro Devices, Inc. Creation of an etch hardmask by spin-on technique
US5984489A (en) * 1998-02-04 1999-11-16 Rubenstein; Adam Ornamental lighting for trees
US6177199B1 (en) * 1999-01-07 2001-01-23 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with low organic content
US6962727B2 (en) * 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US6218020B1 (en) * 1999-01-07 2001-04-17 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with high organic content
US6140445A (en) * 1998-04-17 2000-10-31 Crompton Corporation Silane functional oligomer
DE19817785A1 (de) * 1998-04-21 1999-10-28 Inst Neue Mat Gemein Gmbh Feste, aufschmelzbare und thermisch härtbare Masse, deren Herstellung und deren Verwendung
US6265780B1 (en) * 1998-12-01 2001-07-24 United Microelectronics Corp. Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US6184284B1 (en) * 1998-08-24 2001-02-06 The Dow Chemical Company Adhesion promoter and self-priming resin compositions and articles made therefrom
DE69941677D1 (de) 1998-09-25 2010-01-07 Jgc Catalysts & Chemicals Ltd Flüssige beschichtungszusammensetzung für silicabeschichtung mit niedriger durchlössigkeit und mit d
US6231989B1 (en) * 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
EP1141128B1 (en) * 1998-11-24 2006-04-12 Dow Global Technologies Inc. A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
EP1157059A1 (en) * 1999-01-08 2001-11-28 The Dow Chemical Company Low dielectric constant polymers having good adhesion and toughness and articles made with such polymers
US20030089987A1 (en) 1999-02-05 2003-05-15 Suketu A. Parikh Dual damascene misalignment tolerant techniques for vias and sacrificial etch segments
JP4096138B2 (ja) * 1999-04-12 2008-06-04 Jsr株式会社 レジスト下層膜用組成物の製造方法
US6218317B1 (en) * 1999-04-19 2001-04-17 National Semiconductor Corp. Methylated oxide-type dielectric as a replacement for SiO2 hardmasks used in polymeric low K, dual damascene interconnect integration
JP3353743B2 (ja) * 1999-05-18 2002-12-03 日本電気株式会社 半導体装置とその製造方法
US6509259B1 (en) 1999-06-09 2003-01-21 Alliedsignal Inc. Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
JP3459408B2 (ja) * 1999-06-18 2003-10-20 日本山村硝子株式会社 シリコーンオリゴマー溶液の製造方法及び該溶液から形成されたオルガノポリシロキサン膜
JP2001019724A (ja) * 1999-07-06 2001-01-23 Jsr Corp 膜形成用組成物、電子材料、膜の形成方法及び膜
JP2001044191A (ja) * 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
US6498399B2 (en) 1999-09-08 2002-12-24 Alliedsignal Inc. Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
JP2001077196A (ja) * 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6232424B1 (en) * 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
JP2001156170A (ja) * 1999-11-30 2001-06-08 Sony Corp 多層配線の製造方法
MXPA01011348A (es) * 2000-02-08 2003-07-14 Adsil Lc Metodo para mejorar la eficiencia de la transferencia del calor utilizando recubrimientos de silano y articulos recubiertos producidos por el mismo.
KR20000063142A (ko) * 2000-02-17 2000-11-06 이응찬 폴리오르가노실세스키옥산 제조용 출발물질,폴리오르가노실세스키옥산 및 폴리오르가노실세스키옥산제조방법
YU65002A (sh) * 2000-02-28 2006-01-16 Adsil Lc. Nevodeni preparati za prevlačenje dobijeni iz silana i metalnih alkoholata
JP3604007B2 (ja) * 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
JP3679972B2 (ja) * 2000-04-04 2005-08-03 三菱電機株式会社 高純度シリコーンラダーポリマーの製造方法
US6720249B1 (en) * 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
JP4377040B2 (ja) * 2000-07-24 2009-12-02 Necエレクトロニクス株式会社 半導体の製造方法

Also Published As

Publication number Publication date
WO2002016477A2 (en) 2002-02-28
WO2002016477A3 (en) 2002-12-27
KR20040030400A (ko) 2004-04-09
CN1447981A (zh) 2003-10-08
CN1447981B (zh) 2013-08-07
US20020052125A1 (en) 2002-05-02
EP1837902A3 (en) 2008-04-02
KR100795714B1 (ko) 2008-01-21
EP1837902B1 (en) 2017-05-24
US20060063393A1 (en) 2006-03-23
US7268200B2 (en) 2007-09-11
EP1314193A2 (en) 2003-05-28
US7115531B2 (en) 2006-10-03
JP5350571B2 (ja) 2013-11-27
EP1837902A2 (en) 2007-09-26
JP2004506797A (ja) 2004-03-04

Similar Documents

Publication Publication Date Title
TWI271413B (en) Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
TWI496242B (zh) 具階梯組成頂蓋層的可圖案化低介電常數內連線結構及其製造方法
KR101413069B1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
US6589862B2 (en) Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
TW200900863A (en) Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
TWI380403B (en) Method for etching low-k material using an oxide hard mask
JP2018100249A (ja) 新規化合物、半導体材料、およびこれを用いた膜および半導体の製造方法
TWI434891B (zh) 積體電路用高矽含量矽氧烷聚合物
KR20180052505A (ko) 중합체, 유기막 조성물 및 패턴형성방법
TWI248138B (en) Electronic device manufacture
JP2021506996A (ja) エチニル誘導体コンポジット、それを含んでなる組成物、それによる塗膜の製造方法、およびその塗膜を含んでなる素子の製造方法
CN100552542C (zh) 由旋涂上的陶瓷薄膜组成的构图层
CN109786223A (zh) 间隙填充方法
JP2019086545A (ja) アリルオキシ誘導体、これを用いたレジスト下層膜形成組成物、ならびにこれを用いたレジスト下層膜および半導体デバイスの製造方法
KR102148772B1 (ko) 신규한 중합체, 이를 포함하는 하층막 형성용 조성물 및 이를 이용한 방법
WO2018088673A1 (ko) 중합체, 유기막 조성물 및 패턴형성방법
US20120142879A1 (en) Composition for film formation, insulating film and semiconductor device
KR102504797B1 (ko) 하드마스크 조성물 및 패턴 형성 방법
KR20190078303A (ko) 중합체, 유기막 조성물 및 패턴 형성 방법
JP2012182228A (ja) 半導体装置の製造方法、絶縁膜および半導体装置
JP7270021B2 (ja) 接着促進フォトレジスト下層組成物
JPH07122551A (ja) 半導体用絶縁膜または平坦化膜の形成方法
TW202406886A (zh) 半導體基板的製造方法、組成物及化合物
TW202328085A (zh) 有機膜形成材料、圖案形成方法以及化合物
TW202336062A (zh) 厚膜形成組成物及使用其製造固化膜的方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees