TWI496242B - 具階梯組成頂蓋層的可圖案化低介電常數內連線結構及其製造方法 - Google Patents

具階梯組成頂蓋層的可圖案化低介電常數內連線結構及其製造方法 Download PDF

Info

Publication number
TWI496242B
TWI496242B TW099131567A TW99131567A TWI496242B TW I496242 B TWI496242 B TW I496242B TW 099131567 A TW099131567 A TW 099131567A TW 99131567 A TW99131567 A TW 99131567A TW I496242 B TWI496242 B TW I496242B
Authority
TW
Taiwan
Prior art keywords
decane
low
cap layer
patterned
polymer
Prior art date
Application number
TW099131567A
Other languages
English (en)
Other versions
TW201131695A (en
Inventor
Qinghuang Lin
Deborah A Neumayer
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW201131695A publication Critical patent/TW201131695A/zh
Application granted granted Critical
Publication of TWI496242B publication Critical patent/TWI496242B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

具階梯組成頂蓋層的可圖案化低介電常數內連線結構及其製造方法
本揭示內容一般有關內連線結構及其製造方法。明確地說,本揭示內容揭示單鑲嵌及雙鑲嵌低k內連線結構(各包括可圖案化低k介電質的至少一個固化物位在階梯組成頂蓋層上)及其製造方法。
眾所周知,隨著特徵大小縮減以及單位面積裝置數及內連線層級數增加,內連線信號的傳播速度是控制整體電路速度的最重要因數之一。在整個半導體工業中,一直有強烈的需求要增加縱橫比(即,高度與寬度的比率),及降低用以電絕緣金屬導電線路之層間介電質(ILD)材料的介電常數k。結果,內連線信號由於電阻-電容(RC)延遲減少而在導體中的行進速度更快。
先進的半導體晶片使用銅(Cu)作為電導體及使用無機/有機矽酸鹽作為低介電常數(低k)介電質,及具有高達十二層的Cu/低k內連線層。這些Cu/低k內連線層係以稱為雙鑲嵌的反覆添加製程製造,其包括數個處理步驟,其詳細說明如下。
當在多層架構內製造積體電路布線時,通常使用光圖案化及電漿蝕刻技術,如光微影製程與利用電漿製程的後續蝕刻,以數千個開口圖案化如氧化矽或低k絕緣體的絕緣或介電材料,以生成導電線路開口及/或介層開口。
可惜的是,由於所引入低k材料的新材料化學性質,很難實施將低k材料(通常是介電常數低於氧化矽介電常數的介電質)引入進階內連線的策略。此外,低k介電質基本上展現比氧化矽弱的電及機械特性。此外,低k介電質選項在不同的內連線處理步驟期間通常容易受損。在低k介電材料中所觀察到的損壞由於介電常數增加及吸水性增加而顯現,因而導致效能及裝置可靠性降低。
一個克服低k材料之整合問題的方法是在低k介電材料的表面上增加至少一個犧牲硬遮罩層,來保護這些低k介電材料。雖然硬遮罩層可用來保護低k材料,但犧牲硬遮罩層的存在卻因需要更多的薄膜沉積、圖案轉印蝕刻、及移除硬遮罩層,大幅增加製程的複雜性。
先進的線路後段(BEOL)整合製程稱為低溫氧化物(LTO)製程,使用高達八層犧牲遮罩材料製造兩層雙鑲嵌內連線結構。例如,形成雙鑲嵌內連線的介層優先LTO整合包括以下步驟:沉積介電材料於包括圖案化導體的基板上;形成至少一個介層於介電材料中,致使至少一個介層位在圖案化導體之上;沉積一層平坦化材料於介電材料上及介層中;沉積一層障壁材料於該層平坦化材料上;沉積至少一層成像材料於該層障壁材料上;形成至少一個溝渠於成像材料、障壁材料及平坦化材料中,致使至少一個溝渠位在介層之上;在形成溝渠於平坦化材料中的同時或之後,移除成像材料;轉印至少一個溝渠至介電材料,致使至少一個溝渠位在介層之上;在轉印至少一個溝渠至介電材料的同時或之後,移除障壁材料;及移除平坦化材料。
形成雙鑲嵌內連線結構的線路優先LTO整合包括以下步驟:沉積介電材料於包括圖案化導體的基板上;形成至少一個溝渠於介電材料中,致使至少一個溝渠位在圖案化導體之上;沉積一層平坦化材料於介電材料上及溝渠中;沉積一層障壁材料於該層平坦化材料上;沉積至少一層成像材料於該層障壁材料上;形成至少一個介層於成像材料、障壁材料及平坦化材料中,致使至少一個介層位在溝渠及圖案化導體之上;在形成介層於平坦化材料中的同時或之後,移除成像材料;轉印至少一個介層至介電材料,致使至少一個介層位在溝渠及圖案化導體之上;在轉印至少一個介層至介電材料的同時或之後,移除障壁材料;及移除平坦化材料。
諸如上述LTO整合架構的整合架構非常複雜、沒有效率且昂貴。例如,介層優先LTO整合架構需要十層薄膜及二十一個製程步驟才能形成兩層雙鑲嵌介電結構。換句話說,80%的薄膜在最終內連線結構中是不需要的。
雖然上述先前技術雙鑲嵌整合架構在半導體製造中極受歡迎,但卻有以下若干缺點,包括:第一,由於先進晶片需要許多層(多達十二層)連接晶片內的微型電晶體及連接印刷電路板,因而雙鑲嵌整合架構構成高級半導體晶片製造成本的一大部分。第二,由於形成內連線所需的許多層薄膜造就引入缺陷的機會,進而降低製造產量,因而雙鑲嵌整合架構為降低產量的主要原因。第三,雙鑲嵌整合架構非常沒有效率且造成極大的複雜性。目前的雙鑲嵌整合架構需要許多犧牲薄膜(為薄膜堆疊的80%)來圖案化及保護脆弱層間介電膜在處理期間不會受損。在圖案化及銅電鍍後,必須移除這些犧牲圖案化及保護膜。第四,引入新的較低k材料的所得效能常常因為需要較高k非犧牲材料(諸如覆蓋層、硬遮罩層、或較厚的銅障壁層)而抵銷。第五,先前技術的複雜雙鑲嵌製程延長了製造的整備時間及R&D開發週期。第六,電漿蝕刻製程是昂貴且通常不可靠的製程,並需要預先支付大筆的資本投資。
有鑑於上文,因此需要簡化包括低k介電質之內連線(單鑲嵌及雙鑲嵌)的形成,以節省成本及提高製造效率。
上述先前技術之製造內連線(單鑲嵌及雙鑲嵌)結構之製程的問題可使用本發明之大幅簡化的整合方法來解決。本發明因此有關一種形成內連線結構的方法,該內連線結構為積體電路及微電子裝置的永久性部分,具有結合階梯(漸變)組成頂蓋層的可圖案化低k介電質。階梯組成頂蓋層存在於基板及上覆的可圖案化低k介電材料之間,包括用作障壁層的下方區域及具有永久性抗反射塗層特性的上方區域。至少一個中間區域位在階梯組成頂蓋層的上方及下方區域之間。本文所述的階梯組成頂蓋層取代在整合可圖案化低k材料之先前製程中採用、包含介電頂蓋及抗反射塗層(ARC)的多層堆疊。本文所述的階梯組成頂蓋層提供一種更簡單的薄膜堆疊,及因此解決在先前技術製程所採用的多層堆疊中,在ARC/頂蓋開啟製程期間的輪廓降解(profile degradation)問題。此外,本文所述的較薄階梯組成頂蓋層減少用來開啟頂蓋層的電漿蝕刻製程時間,因此減少電漿對可圖案化低k材料所可能造成的損壞。
本文所述本發明亦藉由結合光阻及介電材料的功能於一單一材料中,避免先前技術之傳統BEOL整合的缺點。此一材料稱為光可圖案化低k介電質(或簡稱可圖案化低k材料),在微影圖案化製程期間可用作光阻,因此不需要另外使用光阻。在微影圖案化後,可圖案化低k介電質其後可在圖案化後固化期間轉換成低k材料。以此方式,本發明方法省去低k介電材料及複雜犧牲薄膜堆疊的電漿蝕刻及圖案化低k介電材料所需的製程。
在本發明之一具體實施例中,提供一種內連線結構,其包括至少一個圖案化及固化低k材料,直接位在圖案化階梯組成頂蓋層的表面上。該至少一個圖案化及固化低k材料及該圖案化階梯組成頂蓋層各具有嵌入其中的導電填充區域。圖案化及固化低k材料包含可圖案化組成的固化物,可圖案化組成包含官能基化聚合物、共聚物、或包括至少兩個具有一或多個光敏/酸敏可成像基團之聚合物及/或共聚物之任何組合的摻合物。階梯組成頂蓋層包括用作障壁層的下方區域及具有永久性抗反射塗層特性的上方區域。至少一個中間區域位在上方及下方區域之間。至少一個中間區域以形成階梯組成頂蓋層之上方及下方區域時使用的前驅物組合形成。
在本發明之另一具體實施例中,提供一種雙鑲嵌內連線結構,其包括:下方圖案化及固化低k材料,直接位在圖案化階梯組成頂蓋層上;及鄰接的上方圖案化及固化低k材料,位在下方圖案化及固化低k材料上。下方及上方圖案化及固化低k材料和圖案化階梯組成頂蓋層各具有導電填充區域嵌入其中。圖案化及固化的上方及下方低k材料為相同或不同之可圖案化組成的固化物,可圖案化組成包含官能基化聚合物、共聚物、或包括至少兩個具有一或多個光敏/酸敏可成像基團之聚合物及/或共聚物之任何組合的摻合物。階梯組成頂蓋層包括用作障壁層的下方區域及具有永久性抗反射塗層特性的上方區域。至少一個中間區域位在階梯組成頂蓋層的上方及下方區域之間。
在本發明之另一具體實施例中,提供一種含有氣隙的雙鑲嵌內連線結構,其包括至少一個氣隙位在至少一個圖案化及固化的可圖案化低k材料內,鄰近但不直接鄰接亦位在至少一個圖案化及固化的可圖案化低k材料內的導電填充區域。
在本發明之另一具體實施例中,提供一種製造內連線結構的方法,其包括直接在階梯組成頂蓋層的表面上,提供至少一個可圖案化低k材料。該至少一個可圖案化低k材料為可圖案化組成(patternable composition),包含官能基化聚合物、共聚物、或包括至少兩個具有一或多個光敏/酸敏(photo/acid-sensitive)可成像基團之聚合物及/或共聚物之任何組合的摻合物,及該階梯組成頂蓋層包括用作障壁層的下方區域及具有永久性抗反射塗層之抗反射特性的上方區域。至少一個中間區域位在階梯組成頂蓋層的上方及下方區域之間。至少一個內連線圖案形成於至少一個可圖案化低k材料及階梯組成頂蓋層內。至少一個內連線圖案在未利用一另外光阻材料的情況下形成。至少一個圖案化的可圖案化低k材料固化成固化的介電材料,具有不大於4.3的介電常數。至少一個內連線圖案填充有導電材料。
在本發明之又另一具體實施例中,提供一種製造雙鑲嵌內連線結構的方法,其包括直接在階梯組成頂蓋層的表面上,提供第一可圖案化低k材料。該第一可圖案化低k材料為可圖案化組成,包含官能基化聚合物、共聚物、或包括至少兩個具有一或多個光敏/酸敏可成像基團之聚合物及/或共聚物之任何組合的摻合物,及該階梯組成頂蓋層包括用作障壁層的下方區域及具有永久性抗反射塗層之抗反射特性的上方區域。至少一個中間區域位在階梯組成頂蓋層的上方及下方區域之間。第一內連線圖案在第一可圖案化低k材料內形成而不用一另外的光阻。第二可圖案化低k材料提供於具有第一內連線圖案之第一圖案化低k材料的頂部上。第二可圖案化低k材料具有與第一可圖案化低k材料的相同或不同可圖案化組成。第二內連線圖案在第二可圖案化低k材料內形成而不用一另外的光阻。對第一及第二圖案化的可圖案化低k材料施加圖案化後固化,將其轉換成固化低k介電材料或若干固化低k介電材料。在階梯組成頂蓋層的暴露部分中提供至少一個開口,及以導電材料填充第一及第二內連線圖案以及在階梯組成頂蓋層內的開口。
在本發明之又另一具體實施例中,提供一種製造雙鑲嵌內連線結構的方法如上,但在階梯組成頂蓋層的暴露部分、以及第一及第二內連線圖案中提供至少一個開口後,及在以導電材料填充第一及第二可圖案化低k材料以及階梯組成頂蓋層的開口前,施加圖案化後固化。
在本發明之又另一具體實施例中,提供一種在形成標準金屬填充雙鑲嵌內連線結構後,製造含有氣隙的雙鑲嵌內連線結構的方法。
可以看出,本發明使用的可圖案化低k材料在執行固化步驟後,變成內連線結構的永久性元件。亦可以看出,本發明使用的階梯組成頂蓋層也變成內連線結構的永久性元件。
本發明揭示包括可圖案化低k材料及階梯組成頂蓋層(用作介電頂蓋兼抗反射塗層(ARC))的內連線結構及製造該等內連線結構的方法,現將參考以下論述及本申請案所附圖式來詳細說明。注意,本申請案附圖僅提供用於解說,因此,這些圖式並未按比例繪製。
本文所揭示的本發明藉由利用階梯組成頂蓋層,而非另外使用包括介電頂蓋及上覆ARC的多層堆疊,避免先前技術內連線結構因可圖案化低k介電質所形成的輪廓降解及電漿損壞問題。另外,本發明藉由使用結合光阻及介電材料功能於一材料中的可圖案化低k材料,避免先前技術之傳統內連線整合的缺點。此可圖案化低k材料在微影圖案化製程期間用作光阻,及因此不需要或使用一另外的光阻。注意,採用的可圖案化低k材料為具有以下兩個功能的任何材料:在圖案化製程期間用作光阻,及其後在圖案化後固化製程期間轉換成低k介電質。因此,可圖案化低k材料的固化物可用作永久性晶片上介電絕緣體。可圖案化低k材料可從液相或氣相沉積。使用用語「固化」是指選自以下項目的製程之一:熱固化、電子束固化、紫外光(UV)固化、離子束固化、電漿固化、微波固化或其組合。可圖案化低k材料的「固化」物是可圖案化低k材料在接受上述固化製程之一後的產物。可圖案化低k材料的「固化」物與可圖案化低k材料在化學性質及物理、機械及電特性上有所不同。
現將參考圖1-8說明本發明之一具體實施例,圖1-8圖解其中形成雙鑲嵌內連線結構的較佳具體實施例,該雙鑲嵌內連線結構包括固化的可圖案化低k材料及階梯組成頂蓋層。儘管說明及圖解此較佳具體實施例,但亦可用此方法形成單鑲嵌內連線結構,請見圖10。
圖1圖解所利用的初始結構10,其包括基板12及位在基板12表面上的階梯組成頂蓋層14。基板12可包含半導體材料、電絕緣材料、導電材料、由這些材料製成的裝置或結構或其任何組合(如,內連線結構的較低層級)。當基板12包含半導體材料時,可使用任何半導體,諸如Si、SiGe、SiGeC、SiC、Ge合金、GaAs、InAs、InP及其他III/V或II/VI化合物半導體、或有機半導體。基板12亦可以是撓性基板,其含有適於高速捲對捲式(roll-to-roll)處理的裝置。除了這些所列類型的半導體材料,基板12亦可以是分層半導體,諸如Si/SiGe、Si/SiC、矽覆絕緣體(SOI)或矽鍺覆絕緣體(SGOI)。這些半導體材料可形成一或多個可為離散或互連的裝置或結構。這些裝置及結構可用於計算、傳輸、儲存或顯示資訊,諸如邏輯裝置、記憶體裝置、開關或顯示裝置。
當基板12為電絕緣材料時,此絕緣材料可以是有機絕緣體、無機絕緣體或其包括多層的組合。基板12亦可包括可圖案化低k介電材料。這些電絕緣材料可以是一或多個可為離散或互連之裝置或結構的一部分。這些裝置及結構可用於邏輯應用或記憶體應用。
當基板12為導電材料時,基板可包括例如:多晶矽(polySi)、元素金屬、包括至少一個元素金屬的合金、金屬矽化物、金屬氮化物或其包括多層的組合。當基板12包含半導體材料時,可在其上製造一或多個半導體裝置,諸如互補金氧半導體(CMOS)裝置、應變矽裝置、碳基(如,碳奈米管及/或石墨烯)裝置、相變記憶體裝置、磁性記憶體裝置、磁性自旋開關裝置、單一電子電晶體、量子裝置、分子式開關及可以是積體電路一部分的其他開關或記憶體裝置。
直接在基板12表面上形成的階梯組成頂蓋層14包括下方區域14A及上方區域14B;在上方及下方區域之間,可存在至少一個中間(即,過渡)區域14C。藉由使用與形成下方區域14A及上方區域14B時所使用的不同比例前驅物,形成此至少一個中間區域14C。因此,在階梯組成頂蓋層內組成的階梯組成係沿著相對於基板12表面的垂直方向。
本發明階梯組成頂蓋層14執行及增強整合可圖案化低k材料的兩個必要功能:上方區域14B的抗反射塗層(ARC)功能,及下方區域14A的Cu障壁(頂蓋)層功能。由於將這兩個功能賦予階梯組成頂蓋層14,階梯組成頂蓋層14的厚度可以小於兩個個別ARC及頂蓋層的結合厚度。此外,此階梯組成頂蓋層14消除兩個層結構之間的界面。因此可避免兩個層結構中可能出現的附著問題。
階梯組成頂蓋層的上方區域14B應具有下列一般的ARC特色:(i)在微影圖案化製程期間可用作抗反射塗層(ARC);(ii)可禁得起高溫BEOL整合處理(高達500℃);(iii)可防止抗蝕劑(如,可圖案化低k材料)受到基板的污染;(iv)在可圖案化低k材料及ARC層之間可提供垂直壁輪廓及足夠的蝕刻選擇性;(v)在晶片中可用作永久性介電層(低介電常數,較佳是k<5,更佳是k<3.6);及(vi)可相容於習用的BEOL整合並製造可靠的硬體。
階梯組成頂蓋層的下方區域14A應具有下列一般的頂蓋特色。也就是說,階梯組成頂蓋層14的下方區域14A具有介電頂蓋的特性:(1)可用作有效的Cu擴散障壁,以防止任何化學製品擴散使導體(諸如Cu或Cu合金)的導電率及可靠性降級的的任何不利效應;(2)可增強所形成內連線結構或裝置的電遷移可靠性效能;(3)在製程及可靠性測試期間應提供與其鄰近層的至少足夠附著力。階梯組成頂蓋層14的下方區域14A可包含任何介電頂蓋材料的原子,包括:Si及C;Si及N;Si及O;Si、O及N的原子;Si、C及O;Si、C、O及H的原子;及Si、C、N及H的原子。另外,階梯組成頂蓋14的下方區域14A可包括Ru、Co、W及P的原子。
階梯組成頂蓋層14係利用習用的氣相沉積製程形成,例如,化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、及原子層沉積(ALD)。在一些具體實施例中,在形成階梯組成頂蓋層14的部分時,可以使用液相沉積製程。在形成階梯組成頂蓋層14時,在階梯組成頂蓋層之下方區域的形成中,使用第一前驅物,即,介電頂蓋前驅物,而在階梯組成頂蓋層之上方區域的形成中,使用第二前驅物即,ARC前驅物。在一具體實施例中,以至少三個離散層形成階梯組成頂蓋層:使用頂蓋前驅物的底層、使用ARC前驅物的頂層、及採用兩個前驅物之混合物的中間層。在另一具體實施例中,階梯組成頂蓋層為沿著垂直方向具有逐漸變化組成的連續層。此類型的階梯組成頂蓋層係藉由同時使用兩個前驅物而形成,及不同區域係藉由逐漸改變兩個前驅物的比例而形成。
階梯組成頂蓋層14具有厚度介於2 nm至200 nm,且厚度介於10 nm至100 nm較為常見。
階梯組成頂蓋層14的上方區域14B具有上述的抗反射塗層特性。現針對階梯組成頂蓋14之上方區域14B的特色(i)-(v),提出進一步論述。
特色(i),即,階梯組成頂蓋層14的上方區域14B在微影圖案化製程期間,用作抗反射塗層(ARC):可設計階梯組成頂蓋層14的上方區域14B可控制傳輸通過可圖案化低k材料(將於其後形成)、從基板反射、及回到可圖案化低k材料之光的反射,其中上方區域14B可干涉入射光及導致可圖案化低k材料受到不平均的曝光(沿著垂直方向)。階梯組成頂蓋層14之上方區域14B的光學常數在此界定為折射率n及消光係數k。一般而言,可將階梯組成頂蓋層14的上方區域14B模型化,以找出ARC的最佳光學參數(n及k值)以及最佳厚度。在365、248、193及157、126 nm及極紫外光(13.4 nm)輻射的波長下,階梯組成頂蓋層14之上方區域14B的較佳光學常數係在n=1.2至n=3.0及k=0.01至k=0.9的範圍中,較佳是在n=1.4至n=2.6及k=0.02至k=0.78的範圍中。階梯組成頂蓋層14之上方區域14B的光學特性及厚度係最佳化,以在後續圖案化步驟(為熟悉本技術者所熟知)期間,獲得可圖案化低k材料的最佳解析度及輪廓控制。
特色(ii),即,階梯組成頂蓋層14的上方區域14B可禁得起高溫BEOL整合處理(高達500℃):階梯組成頂蓋層14,尤其是上方區域14B,必須禁得起在BEOL整合期間的嚴酷處理條件。這些條件包括高溫及強烈的UV固化。製程溫度可高達450℃。UV固化製程中使用的光強度可高達數十J/cm2
特色(iii),即,階梯組成頂蓋層14的上方區域14B防止抗蝕劑(如,可圖案化低k材料)受到基板的污染:採用的可圖案化低k材料較佳是化學放大抗蝕劑。可圖案化低k材料可被來自下伏基板12或階梯組成頂蓋層的下方區域14A的任何基本污染物所污染。因此,階梯組成頂蓋層14的上方區域14B必須用作額外的障壁層,以防止來自下伏基板的基本污染物擴散至可圖案化低k材料中,而污染化學放大可圖案化低k材料。
特色(iv),即,階梯組成頂蓋層14的上方區域14B在可圖案化低k材料及階梯組成頂蓋層14之間提供垂直壁輪廓及足夠的蝕刻選擇性:階梯組成頂蓋層14的上方區域14B應提供充分的反射比控制(其中下伏基板在特定微影波長下的反射比小於8%,較佳是小於5%,更佳是小於2%)且應產生垂直側壁輪廓。階梯組成頂蓋層14的上方區域14B亦應產生沒有殘餘物且沒有底腳的圖案。此外,可圖案化低k材料的附著力應足以防止圖案在圖案化及後續UV固化期間塌陷。階梯組成頂蓋層14的上方區域14B亦應設計致使在階梯組成頂蓋開啟製程期間,蝕刻選擇性夠高,使得開啟階梯組成頂蓋堆疊不會侵蝕可圖案化低k材料的顯著部分,因而使其圖案輪廓明顯降解。蝕刻選擇性(階梯組成頂蓋與可圖案化低k材料的蝕刻率比例)係大於1,較佳是大於3,更佳是大於5。
特色(v),即,階梯組成頂蓋層14的上方區域14B用作晶片中的永久性介電層:包括上方區域14B及下方區域14A的階梯組成頂蓋層14在圖案化及固化可圖案化低k材料後仍然留下。階梯組成頂蓋層14用作晶片中的永久性介電層。因此,包括上方區域14B的階梯組成頂蓋層14(在固化後)必須符合晶片上介電絕緣體的要求,包括電特性(低介電常數:較佳是k小於5,及更佳是k小於3.6;介電質崩潰電場:大於2MV/cm,較佳是大於4MV/cm,及更佳是大於6MV/cm;漏電:小於10-5 A/cm2 ,較佳是小於10-7 A/cm2 ,及更佳是小於10-9 A/cm2 );機械特性(附著能等於或大於積體薄膜堆疊最弱層的凝聚能);必須通過電及機械可靠性測試。
階梯組成頂蓋層14的上方區域14B可包括與無機抗反射塗層相關聯的原子,例如:Si、C、O、N及H的原子;Si及C的原子;Si、O及C的原子;Si、C、O及H的原子;W、Co、Ru、Ta、Ti、及Ru的原子及其類似物。
在一具體實施例中,階梯組成頂蓋層14的上方區域14B為包括M、C(碳)及H(氫)之原子的無機組成,其中M選自以下至少一個原子:Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf及La。此無機組成視情況可包括O、N、S、F的原子或其混合物。在一些具體實施例中,M較佳是Si。在一些具體實施例中,此無機組成亦可稱為氣相沉積的M:C:H:視情況X材料,其中M如上文界定,及X係O、N、S及F的至少一個元素。
在另一具體實施例中,階梯組成頂蓋層係為以PECVD方法沉積的階梯組成氮碳化矽薄膜。為了合成階梯組成氮碳化矽薄膜,需要使用以下反應氣體的組合:矽源、碳源、及氮源。另外,反應氣體必須以變化的化學計量引入,以獲得需要的特性。氣體混合物亦可包含惰性載體氣體,諸如氦或氬。
在本發明內,無機組成的含矽前驅物包含任何含Si化合物,其包括選自以下的分子:具有分子式SiR4 的矽烷(SiH4 )衍生物、包括環碳矽烷之含環狀Si的化合物,其中R取代基可以相同或不同,且選自可為直鏈、支鏈、環狀、聚環狀的H基、烷基、苯基、乙烯基、烯丙基、烯基或炔基,及可以含氮取代基、任何包括環矽烷、環碳矽烷之含環狀Si的化合物官能基化。
較佳的矽前驅物包括但不限於:矽烷、甲矽烷、二甲矽烷、三甲矽烷、四甲矽烷、乙矽烷、二乙矽烷、三乙矽烷、四乙矽烷、乙基甲矽烷、三乙基甲矽烷、乙基二甲矽烷、乙基三甲矽烷、二乙基二甲矽烷、1,1,3,3,-四氫化-1,3-二矽環丁烷、1,3-二矽環丁烷、1,3-二甲基-1,3-二氫化-1,3-二矽基環丁烷、1,1,3,3,-四甲基-1,3-二矽環丁烷、1,1,3,3,5,5-六氫化-1,3,5-三矽烷、1,1,3,3,5,5-六甲基-1,3,5-三矽烷、1,1,1,4,4,4,-六氫化-1,4-二矽丁烷、及1,4-雙-三氫矽苯。也可以採用對應之間位取代的異構物,諸如:二甲基-1-丙基-3-矽丁烷、2-矽丙烷、1,3-二矽環丁烷、1,3-二矽丙烷、1,5-二矽戊烷、或1,4-雙-三氫矽苯。
單一前驅物,諸如矽烷胺,即Si(Net)4 ,可用作矽、碳及氮源。另一較佳方法係以下的混合物:前驅物;含矽源,諸如矽烷、二矽烷、或烷基矽烷(諸如四甲矽烷、或三甲矽烷);及含氮源,諸如氨、胺、腈、胺基、疊氮基、偶氮、氫偶氮基。亦可需要額外碳源及/或包含直鏈、支鏈、環狀或聚環狀烴主鏈為-[CH2 ]n- (其中n大於或等於1,及可由選自烯(-C=C-)、炔(-C≡C-)、胺(-C-N-)、腈(-C≡N)、胺基(-NH2)、疊氮基(-N=N=N-)及偶氮(-N=N-)的官能基取代)的含碳及氮源。在本發明內,烴主鏈可為直鏈、支鏈、或環狀,且可包括直鏈、支鏈及環狀烴部分的混合物。這些有機基團為大家所熟知,且具有亦為本技術所熟知的標準定義。這些有機基團可出現在任何有機化合物中。
本發明方法進一步包含提供平行板反應器的步驟,該平行板反應器具有:介於約85 cm2 至約750 cm2 之基板夾頭的面積;及在基板和頂部電極之間介於約1 cm至約12 cm的間隙。於電極之一施加介於約0.45 MHz至約200 MHz之頻率的高頻RF功率。視情況,可對電極之一施加低於第一RF功率之頻率的額外RF功率。將提供矽、碳及氮源的單一來源前驅物或前驅物混合物引入反應器中。
沉積步驟使用的條件可根據階梯組成SiCN(H)薄膜的所要最終特性而變化。大體上,用於提供包含Si、C、N、H等元素的SiCN(H)的條件包括:設定基板溫度在從約100℃至約700℃的範圍內;設定高頻RF功率密度在從約0.1 W/cm2 至約2.0 W/cm2 的範圍內;設定氣體流量在從約5 sccm至約10,000 sccm的範圍內,設定惰性載體氣體,諸如氦(或/及氬)流量在從約10 sccm至約10,000 sccm的範圍內;設定反應器壓力在從約1托至約10托的範圍內;及設定高頻RF功率在從約10 W至約1,000 W的範圍內。視情況,可將在從約10 W至約600 W之範圍內的較低頻率功率加入電漿。當基板夾頭的傳導面積改變X倍時,施加於基板夾頭的RF功率也改變X倍。矽烷、碳及/或氮氣體前驅物的氣流以在從約10 sccm至約1,000 sccm之範圍內的流量流至反應器中。
在上述範例中使用氣體前驅物時,亦可使用液體前驅物進行沉積。
現將說明本發明第一方法的範例以製作SiCNH材料:將200 mm基板放入PECVD反應器中200°-600℃的加熱晶圓夾頭上。在本發明內可使用任何PECVD沉積反應器。然後,穩定氣體及液體前驅物流量,達到在1-10托範圍中的壓力,及對反應器蓮蓬頭施加RF輻射約5至約500秒的時間。
在一較佳具體實施例中,階梯組成頂蓋層14的上方區域14B包括Si:C:H:X的原子。這些含Si材料係從至少一個含Si前驅物沉積。尤其是,Si:C:H:X材料係在添加或未添加含氮及/或氧及/或氟及/或硫前驅物的情況下,從至少一個含Si前驅物沉積。所採用的含矽前驅物可包含任何含Si化合物,其包括選自以下的分子:具有分子式SiR4 的矽烷(SiH4 )衍生物、包括環碳矽烷之含環狀Si的化合物,其中R取代基可以相同或不同,且選自可為直鏈、支鏈、環狀、聚環狀的H基、烷基、苯基、乙烯基、烯丙基、烯基或炔基,及可以含氮取代基、任何包括環矽烷、及環碳矽烷之含環狀Si的化合物官能基化。較佳的矽前驅物包括但不限於:矽烷、甲矽烷、二甲矽烷、三甲矽烷、四甲矽烷、乙矽烷、二乙矽烷、三乙矽烷、四乙矽烷、乙基甲矽烷、三乙基甲矽烷、乙基二甲矽烷、乙基三甲矽烷、二乙基二甲矽烷、1,1,3,3,-四氫化-1,3-二矽環丁烷、1,3-二矽環丁烷、1,3-二甲基-1,3-二氫化-1,3-二矽基環丁烷、1,1,3,3,-四甲基-1,3-二矽環丁烷、1,1,3,3,5,5-六氫化-1,3,5-三矽烷、1,1,3,3,5,5-六甲基-1,3,5-三矽烷、1,1,1,4,4,4,-六氫化-1,4-二矽丁烷、及1,4-雙-三氫矽苯。也可以採用對應之間位取代的異構物,諸如:二甲基-1-丙基-3-矽丁烷、2-矽丙烷、1,3-二矽環丁烷、1,3-二矽丙烷、1,5-二矽戊烷、或1,4-雙-三氫矽基苯。
單一前驅物,諸如矽烷胺,即Si(Net)4 ,可用作矽、碳及氮源。另一較佳方法係以下的混合物:前驅物;含矽源,諸如矽烷、二矽烷、或烷基矽烷(諸如四甲矽烷、或三甲矽烷);及含氮源,諸如氨、胺、腈、胺基、疊氮基、偶氮、氫偶氮基。亦可需要額外碳源及/或包含直鏈、支鏈、環狀或聚環狀烴主鏈為-[CH2 ]n- (其中n大於或等於1,及可由選自烯(-C=C-)、炔(-C≡C-)、胺(-C-N-)、腈(-C≡N)、胺基(-NH2)、疊氮基(-N=N=N-)及偶氮(-N=N-)的官能基取代)的含碳及氮源。烴主鏈可為直鏈、支鏈、或環狀,且可包括直鏈、支鏈及環狀烴部分的混合物。這些有機基團為大家所熟知,且具有亦為本技術所熟知的標準定義。這些有機基團可出現在任何有機化合物中。
此類材料中,M的原子百分比範圍如下:較佳是0.1原子百分比至95原子百分比,更佳是0.5原子百分比至95原子百分比,最佳是1原子百分比至60原子百分比,及尤佳是5原子百分比至50原子百分比。在上方區域14B中,C的原子百分比範圍如下:較佳是0.1原子百分比至95原子百分比,更佳是0.5原子百分比至95原子百分比,最佳是1原子百分比至60原子百分比,及尤佳是5原子百分比至50原子百分比。在上方區域14B中,H的原子百分比範圍如下:較佳是0.1原子百分比至50原子百分比,更佳是0.5原子百分比至50原子百分比,最佳是1原子百分比至40原子百分比,及尤佳是5原子百分比至30原子百分比。在上方區域14B中,X的原子百分比範圍如下:較佳是0原子百分比至70原子百分比,更佳是0.5原子百分比至70原子百分比,最佳是1原子百分比至40原子百分比,及尤佳是5原子百分比至30原子百分比。
階梯組成頂蓋層14包括M、C及H的原子的上方區域14B具有可調諧折射率及消光係數,其可視情況沿著薄膜厚度漸變,以符合基板及可圖案化低k材料的光學特性。階梯組成頂蓋層14之上方區域14B的光學特性及微影特徵大幅優於利用先前技術所獲得的光學特性及微影特徵。
注意,藉由改變製程參數,諸如偏壓、氣體混合物、氣流、壓力及沉積溫度,即可改變階梯組成頂蓋層14之上方區域14B的光學常數。另外,起始前驅物的組成以及含氧、氮、氟、及硫前驅物的引入亦允許對這些薄膜進行調整。階梯組成頂蓋層14之上方區域14B的光學常數在此界定為折射率n及消光係數k。
在另一具體實施例中,階梯組成頂蓋層14的上方區域14B利用液體沉積製程而形成,液體沉積製程包括例如,旋塗、噴塗、浸塗、刷塗、蒸發或化學溶液沉積。此液體沉積的上方區域14B藉由以下方式形成:在階梯組成層的下方區域14A上,沉積具有ARC特性的薄膜;或透過相位分離,以包含ARC類似成分的混合物形成階梯組成頂蓋層。階梯組成頂蓋層14以液體沉積形成之此上方區域14B包含具有至少一個包含化學式M-RA 之單體單位的聚合物,其中M為以下至少一個元素:Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf及La,及RA 為發色團。此種ARC說明於美國專利公開案第2009/0081418號,其整體內容在此以引用的方式併入本文中。在一些具體實施例中,在單體單位內的M亦可鍵結至包括C及H之元素的有機配位基(ligands)、交聯(cross-linking)成分、另一發色團(chromophore)或其混合物。有機配位基可另外包括以下一個元素:O、N、S及F。當有機配位基鍵結至M時,其透過C、O、N、S、或F鍵結至M。
在其他具體實施例中,階梯組成頂蓋層14以液體沉積形成的上方區域14B除了以化學式M-RA 表示的至少一個單體單位,亦可包括至少一個第二單體單位。當至少一個第二單體單位存在時,其具有化學式M'-RB ,其中M'為以下至少一個元素:Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf及La,及RB 為交聯劑。M及M'可以是相同或不同的元素。在這兩個化學式中,在單體單位內的M及M'亦可鍵結至包括C及H之原子的有機配位基、交聯成分、發色團或其混合物。有機配位基可另外包括以下一個元素:O、N、S及F。當有機配位基鍵結至M及M'時,其透過C、O、N、S、或F鍵結至M或M'。
包含M-RA 或M-RA 與M'-RB 的液體組成亦可包含至少一個額外成分,包括個別交聯劑、酸產生劑或溶劑。
當採用液體沉積時,利用包括無機前驅物之液體組成的液相沉積,形成階梯組成頂蓋層14的上方區域14B,無機前驅物包括M、C及H等元素,其中M為以下至少一個元素:Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf及La。形成上方區域14B所使用的無機前驅物可視情況包括O、N、S、F等元素或其混合物。在一些具體實施例中,M較佳是Si。液體組成除了無機前驅物之外,還包括發色團、交聯成分、酸產生劑及溶劑。
在液體沉積具體實施例中使用之無機組成的一個具體實施例包含M-RA 及M'-RB 單位,其中M及M'係以下至少一個元素:Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf及La,或係選自第IIIB族至第VIB族、第IIIA族、及第IVA族。形成上方區域14B所使用的無機前驅物可視情況包括O、N、S、F等元素或其混合物。上方區域14B組成之一具體實施例包含MOy 單位,其可以是許多不同金屬氧化物形式的任何一個。特定金屬之此類金屬氧化物形式的示範性列表如下:MO3 ,其中M為Sc、Y、鑭族元素、及第IIIA族(B、Al、Ga或In);MO4 ,其中M為第IVB族(Ti、Zr或Hf)、及第IVA族(Sn或Ge);MO5 ,其中M為第VB族(V、Nb或Ta)、或P。已知第VB族金屬亦可形成穩定金屬側氧基形式:LMO3,其中L為側氧基;LMO;許多所列金屬形成穩定的乙醯乙酸金屬錯合物;LMO;許多所列金屬形成穩定的環戊二烯基金屬錯合物;LMO;其中L為烷氧基配位基;M為Sc、Y、或鑭族元素、第IVB族、及第VB族;或LMO;其中L為烷基或苯基配位基;M為第IIIA族或第IVA族。
在本發明以下較佳具體實施例中,詳細定義液體沉積上方區域14B時可以使用的發色團、交聯成分及酸產生劑。在較佳具體實施例中,階梯組成頂蓋層14的上方區域14B利用液體沉積形成,其特徵為存在具有選自以下之單位的含矽聚合物:矽氧烷、矽烷、碳矽烷、氧碳矽烷、倍半矽氧烷、烷基三烷氧矽烷、四烷氧矽烷、或含矽及懸掛發色團部分。含有這些單位的聚合物可以是在聚合物主鏈及/或懸掛基團中含有這些單位的聚合物。較佳是,聚合物在其主鏈中含有較佳單位。聚合物較佳是聚合物、共聚物、包括聚合物及/或共聚物之任何組合至少兩個的摻合物,其中聚合物包括一個單體,及共聚物包括至少兩個單體,及其中聚合物的單體及共聚物的單體係選自:矽氧烷、矽烷、碳矽烷、氧碳矽烷、倍半矽氧烷、烷基三烷氧矽烷、四烷氧矽烷、不飽和烷基取代的倍半矽氧烷、不飽和烷基取代的矽氧烷、不飽和烷基取代的矽烷、不飽和烷基取代的碳矽烷、不飽和烷基取代的氧碳矽烷、碳矽烷取代的倍半矽氧烷、碳矽烷取代的矽氧烷、碳矽烷取代的矽烷、碳矽烷取代的碳矽烷、碳矽烷取代的氧碳矽烷、氧碳矽烷取代的倍半矽氧烷、氧碳矽烷取代的矽氧烷、氧碳矽烷取代的矽烷、氧碳矽烷取代的碳矽烷、及氧碳矽烷取代的氧碳矽烷。
聚合物應具有有益於以習用旋塗形成ARC型層的溶液及薄膜形成特性。除了以下論述的發色團部分,含矽聚合物亦較佳是含有沿著聚合物分布之複數個與交聯成分起反應的反應位置。
合適的聚合物範例包括具有倍半矽氧烷(梯形、籠式、或網狀)結構的聚合物。此類聚合物較佳是含有具有以下結構(I)及(II)的單體:
其中RC 包含發色團及RD 包含與交聯成分起反應的反應位置。
或者,亦可使用含有單體(I)及(II)的一般直鏈有機矽氧烷聚合物。在某些例子中,聚合物含有包括直鏈結構之單體(I)及(II)的不同組合,致使含有RC 之單體的平均結構可表示為以下結構(III),及含有RD 之單體的平均結構可表示為以下結構(IV):
其中x為從1至1.5。理論上,x可大於1.5,但此類組成一般不具有適於旋塗製程的特性(如,這些組成將形成不需要的凝膠或沈澱物相位)。
一般而言,倍半矽氧烷聚合物較佳。如果使用一般的有機矽氧烷聚合物(如,直鏈結構(I)及(III)的單體),則與基於倍半矽氧烷的配方相比,較佳是增加交聯度。
含有發色團的基團RC (或上述一般說明中的RA )可含有以下任何合適的發色團:(i)其可接枝於含矽聚合物上(或以上界定之一般單體的M部分);(ii)在成像波長下,具有合適的輻射吸收特性;及(iii)對於層或任何上覆層的效能不會造成不利的影響。
較佳發色團部分包括:苯及其衍生物、稠二萘、芘、螢蒽、蒽酮、二苯甲酮、塞噸酮、及蒽。亦可使用諸如美國專利第4,371,605號所述的蒽衍生物;此專利揭露內容在此以引用的方式併入本文中。在一具體實施例中,酚、羥基苯乙烯、及9-蒽甲醇為較佳的發色團。發色團部分較佳是沒有氮,除了諸如在酚噻嗪中的可能去活化胺基氮。
發色團部分在化學上可藉由酸催化的O烷化或C烷化(諸如Friedel-Crafts烷化)而附接。發色團部分在化學上亦可藉由母聚合物上SiH鍵結的氫化矽烷化而附接。或者,發色團部分可藉由酯化機制而附接。進行Friedel-Crafts催化作用的較佳酸為HCl。
較佳是,官能基的15至40%含有發色團部分。在一些例子中,可在形成含矽聚合物前,將發色團鍵結至單體。附接發色團的位置較佳是芳族基,諸如羥苄基或羥甲苄基。或者,發色團可藉由與其他部分(諸如環己醇或其他醇)起反應而附接。附接發色團的反應較佳是醇OH基的酯化。
RD (或在以上一般說明中的RB )包含與交聯成分起反應的反應位置。在RD 中含有的較佳活性部分為醇,更佳是芳族醇(如,羥苄基、酚、羥甲苄基等)或環脂族醇(如,環己醯基)。或者,可使用非環狀醇,諸如氟碳醇、脂族醇、胺基、乙烯醚、及環氧。
較佳是,液體沉積之上方區域14B的含矽聚合物(在附接發色團前)為聚(4-羥苄基倍半矽氧烷)。其他倍半矽氧烷聚合物的範例包括:聚對羥苯基乙基倍半矽氧烷、聚(對羥苯基乙基倍半矽氧烷-共-對羥基-α-甲苄基倍半矽氧烷)、聚(對羥苯基乙基倍半矽氧烷-共-甲氧苄基倍半矽氧烷)、聚(對羥苯基乙基倍半矽氧烷-共-第三丁基倍半矽氧烷)、聚(對羥苯基乙基倍半矽氧烷-共-環己基倍半矽氧烷)、聚(對羥苯基乙基倍半矽氧烷-共-苯基倍半矽氧烷)、聚(對羥苯基乙基倍半矽氧烷-共-雙環庚基倍半矽氧烷)、聚(對羥基-α-甲苄基倍半矽氧烷)、聚(對羥基-α-甲苄基倍半矽氧烷-共-對羥苄基倍半矽氧烷)、聚(對羥基-α-甲苄基倍半矽氧烷-共-甲氧苄基倍半矽氧烷)、聚(對羥基-α-甲苄基倍半矽氧烷-共-第三丁基倍半矽氧烷)、聚(對羥基-α-甲苄基倍半矽氧烷-共-環己基倍半矽氧烷)、聚(對羥基-α-甲苄基倍半矽氧烷-共-苯基倍半矽氧烷)、聚(對羥基-α-甲苄基倍半矽氧烷-共-雙環庚基倍半矽氧烷)、聚(對羥苄基倍半矽氧烷-共-對羥苯基乙基倍半矽氧烷)、及聚(對羥基-α-甲苄基倍半矽氧烷-共-α-甲苄基倍半矽氧烷)。
可在形成階梯組成頂蓋層14之液體沉積的上方區域14B時使用的含Si聚合物在與交聯成分起反應前,較佳是具有重量平均分子量為至少1,000,更佳是重量平均分子量為1,000-10,000。
階梯組成頂蓋層14之液體沉積上方區域14B的交聯成分較佳是交聯劑如下:可以藉由產生的酸及/或藉由加熱而催化的方式,與含有SiO的聚合物反應。此交聯成分的性質可為無機或有機。此交聯成分可為較小的化合物(與聚合物或共聚物相比)或聚合物、共聚物、或包括聚合物及/或共聚物之任何組合至少兩個的摻合物,其中聚合物包括一個單體,及共聚物包括至少兩個單體。一般而言,液體沉積抗反射組成中使用的交聯成分可以是負光阻技術中已知的任何合適交聯劑,其另外相容於組成的其他選定成分。交聯劑較佳是在已產生酸的情況下,發揮交聯聚合物成分的作用。較佳的交聯劑為乙炔脲化合物,諸如四甲氧甲基乙炔脲、甲基丙基四甲氧甲基乙炔脲、及甲基苯基四甲氧甲基乙炔脲,可從美國氰氨公司(American Cyanamid Company)購得,其商標為POWDERLINK。其他可能的交聯劑包括:2,6-雙(羥甲基)-對甲酚,具有以下結構的化合物:
包括其類似物及衍生物,諸如在日本特許公開專利申請(案)第1-293339號中所見的,以及醚化胺基樹脂,例如甲基化或丁基化三聚氰胺樹脂(分別為N-甲氧甲基-三聚氰胺或N-丁氧甲基-三聚氰胺)或甲基化/丁基化乙炔脲,例如在加拿大專利第1204547號中所見的。亦可使用其他交聯劑,諸如雙環氧或雙酚(如,雙酚-A)。可使用交聯劑的組合。交聯成分可在化學上鍵結至含Si聚合物主鏈。
在另一具體實施例中,交聯成分是含矽聚合物,具有至少一個單位選自:矽氧烷、矽烷、碳矽烷、氧碳矽烷、倍半矽氧烷、烷基三烷氧矽烷、及四烷氧矽烷。聚合物較佳是聚合物、共聚物、包括聚合物及/或共聚物之任何組合至少兩個的摻合物,其中聚合物包括一個單體,及共聚物包括至少兩個單體,及其中聚合物的單體及共聚物的單體係選自:矽氧烷、矽烷、碳矽烷、氧碳矽烷、倍半矽氧烷、烷基三烷氧矽烷、四烷氧矽烷、不飽和烷基取代的倍半矽氧烷、不飽和烷基取代的矽氧烷、不飽和烷基取代的矽烷、不飽和烷基取代的碳矽烷、不飽和烷基取代的氧碳矽烷、碳矽烷取代的倍半矽氧烷、碳矽烷取代的矽氧烷、碳矽烷取代的矽烷、碳矽烷取代的碳矽烷、碳矽烷取代的氧碳矽烷、氧碳矽烷取代的倍半矽氧烷、氧碳矽烷取代的矽氧烷、氧碳矽烷取代的矽烷、氧碳矽烷取代的碳矽烷、及氧碳矽烷取代的氧碳矽烷。
液體沉積組成中使用的酸產生劑較佳是在熱處理下釋放酸的酸產生劑化合物。各種已知的熱酸產生劑均適合採用,諸如:2,4,4,6-四溴環六二烯酮、甲苯磺酸安息香、甲苯磺酸2-硝苄基及有機磺酸的其他烷基酯、嵌段烷基磷酸、嵌段全氟烷基磺酸、烷基磷酸/胺錯合物、全氟烷基酸四級物,其中嵌段可利用共價鍵結、胺及四級銨。在活化時產生磺酸的化合物一般均合適。其他合適的熱活化酸產生劑說明於美國專利第5,886,102號及第5,939,236號;這兩份專利的揭示內容在此以引用的方式併入本文中。視需要,可使用輻射敏感酸產生劑,作為熱活化酸產生劑的替代選項,或與熱活化酸產生劑結合。合適輻射敏感酸產生劑的範例說明於美國專利第5,886,102號及第5,939,236號。亦可使用在抗蝕劑技術中已知的其他輻射敏感酸產生劑,只要這些輻射敏感酸產生劑與抗反射組成的其他成分相容即可。在使用輻射敏感酸產生劑時,可藉由施加適當輻射以誘發產生酸,進而催化交聯反應,降低組成的固化(交聯)溫度。即使使用輻射敏感酸產生劑,較佳是熱處理此組成,以加速交聯程序(如,對於生產線中的晶圓而言)。
液體沉積製程中使用的組成較佳是含有(基於固體):(i)10 wt %至98 wt. %之包括M的聚合物,更佳是70 wt. %至80 wt. %;(ii)1 wt %至80 wt. %的交聯成分,更佳是3 wt. %至25%,最佳是5 wt. %至25 wt. %;及(iii)1 wt. %至20 wt. %的酸產生劑,更佳是1 wt. %至15 wt. %。
當階梯組成頂蓋層14的上方區域14B利用液體沉積製程形成時,可使用任何液體沉積製程,包括例如:旋塗、噴塗、浸塗、刷塗、蒸發或化學溶液沉積。在液體沉積上方區域14B後,通常(但未必總是)使用沉積後烘烤步驟,移除不想要的成分(諸如溶劑),及實現交聯。在執行時,以60℃至400℃的溫度進行烘烤步驟,以80℃至300℃的烘烤溫度尤佳。烘烤步驟的持續時間有所不同,且對於本發明的實施並非關鍵。烘烤過且先前液體沉積的ARC 16可另外接受固化製程。在本發明中,利用熱固化、電子束固化、紫外光(UV)固化、離子束固化、電漿固化、微波固化或其任何組合執行固化。
階梯組成頂蓋層14的下方區域14A可包含任何介電頂蓋材料的原子,包括以下原子:Si及C;Si及N;Si及O;Si、O及N;Si、C、O及H;及Si、C、N及H。另外,階梯組成頂蓋層14的下方區域14A可包括Ru、Co、W及P的原子。
階梯組成頂蓋層14的下方區域14A(在上方區域14B之前形成)可利用習用的沉積製程形成,諸如化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)、化學溶液沉積、或蒸發。形成階梯組成頂蓋層14的下方區域14A時使用的前驅物包括可在形成離散介電質頂蓋層時使用的任何習用前驅物。
如上述,階梯組成頂蓋層14可由兩個一般方法形成:(1)形成至少三個離散層,其中頂層為以ARC前驅物或ARC前驅物之組合沉積的類ARC層,底層為以頂蓋前驅物或頂蓋前驅物的組合沉積的頂蓋層,及至少一個中間層以ARC前驅物及頂蓋前驅物的組合沉積;(2)形成沿著垂直方向具有逐漸變化之組成的連續層。形成至少三個離散層可按以下方式達成:以一個單一沉積或每個層一個個別工具來沉積這些層。形成連續階梯組成頂蓋層可按以下方式達成:在單一工具中逐漸改變頂蓋前驅物及ARC前驅物的比例,其中下方區域14A大部分包含頂蓋前驅物,及上方區域14B大部分包含ARC前驅物。
在一些具體實施例中,可對已沉積的階梯組成頂蓋層14進行沉積後處理,以改良階梯組成頂蓋層14之整個層或表面的特性。此沉積後處理可選自熱處理、電磁波的輻照(諸如紫外線)、粒子束(諸如電子束、或離子束)、電漿處理、透過氣相或液相的化學處理(諸如塗覆單層的表面改質劑)或其任何組合。此沉積後處理可為毯覆式或圖案式。沉積後處理增強階梯組成頂蓋層14及/或含有階梯組成頂蓋層14之薄膜堆疊的化學、物理、電、及/或機械特性,諸如附著強度。化學特性包括表面官能基的性質及/或位置、及親水性。物理特性包括密度、吸水性、及熱傳導性。機械特性包括模量、硬度、凝聚強度、韌性、對破裂的抗性及與其相鄰層的附著強度。電特性包括介電常數、崩潰電場、及漏電流。
熱處理不應超過下伏基板可禁得起的溫度,通常為500℃。此熱處理可在惰性環境中或在氣相或液相的化學環境內執行。此處理步驟可以在形成階梯組成頂蓋層14時使用的相同工具中執行,也可以不在其中執行。
藉由電磁波輻照的沉積後處理可以利用紫外線(UV)光、微波及其類似物。UV光可以是波長範圍從100 nm至1,000 nm的寬頻。其亦可是準分子雷射或其他UV光源產生的UV光。UV處理劑量可以是若干mJ/cm2 至數千J/cm2 。此輻照處理可在不超過500℃的周圍溫度或高溫下執行。此輻照處理可在惰性環境中或在氣相或液相的化學環境內執行。在一具體實施例中,可採用以下條件:輻射時間從10秒至30分鐘,溫度從室溫至500℃,及環境包括真空、或氣體,諸如惰性氣體、N2 、H2 、O2 、NH3 、烴、及SiH4 。此處理步驟可以在形成階梯組成頂蓋層14時使用的相同工具中執行,也可以不在其中執行。
藉由電漿處理的沉積後處理可選自氧化電漿、還原電漿或中性電漿。氧化電漿包括例如O2 、CO、及CO2 。還原電漿包括例如H2 、N2 、NH3 、及SiH4 。中性電漿包括例如Ar及He。可採用從1秒至10分鐘的電漿處理時間及從室溫至400℃的電漿處理溫度。此處理步驟可以在形成階梯組成頂蓋層14時使用的相同工具中執行,也可以不在其中執行。
沉積後化學處理可在氣相或液相中執行。在一具體實施例中,可採用以下條件:處理時間從1秒至30分鐘,溫度從室溫至500℃。適於此化學處理的化學製品可選自改良階梯組成頂蓋層14之化學、物理、電、及/或機械特性(諸如附著強度)的任何化學製品。此化學處理穿透整個階梯組成頂蓋層14,或僅限於階梯組成頂蓋層14的表面。範例化學製品包括附著促進劑,諸如矽烷、矽氧烷、及矽烷化劑。此處理步驟可以在形成階梯組成頂蓋層14時使用的相同工具中執行,也可以不在其中執行。
此類沉積後處理的範例揭示於例如美國專利申請公開案第2008/0173984號,其全文在此以引用的方式併入本文中。
參考圖2,第一可圖案化低k材料18將光阻及低k介電質的功能結合在單一材料中,係直接提供在階梯組成頂蓋層14的表面上。利用包括例如旋塗、浸塗、刷塗、刀塗布、及噴墨散布的沉積製程,提供(即,形成)第一可圖案化低k材料18。在施加第一可圖案化低k材料18後,通常(但未必總是)需要沉積後烘烤步驟,以移除不想要的成分,諸如溶劑。在執行時,可以40℃至200℃的溫度進行烘烤步驟,以60℃至140℃的烘烤溫度尤佳。烘烤步驟的持續時間在10秒至600秒之間變化,在此並非關鍵。
第一可圖案化低k材料18的厚度可根據晶片的需求及其形成該第一可圖案化低k材料使用的技術以及層的材料組成而變化。通常,第一可圖案化低k材料18具有厚度介於1 nm至50,000 nm,且厚度介於20 nm至5,000 nm較為常見。
如上述,第一可圖案化低k材料18用作光阻,及在圖案化後處理期間利用熱、UV光、電子束、離子束、微波、電漿固化、熱固化或其組合轉換為低k材料。例如,第一可圖案化低k材料18可以是包含以下的可圖案化組成:官能基化聚合物、共聚物、或包括具有一或多個酸敏可成像基團之聚合物及/或共聚物之任何組合至少兩個的摻合物。在後續處理後,此可圖案化組成可轉換成低k材料。注意,當可圖案化低k材料18包含聚合物時,此聚合物包括至少一個單體(其詳細說明如下)。當可圖案化低k材料18包含共聚物時,此共聚物包括至少兩個單體(其詳細說明如下)。聚合物及/或共聚物的摻合物包括以下說明之聚合物及/或共聚物之任何組合的至少兩個。
按照一般的說法,可用作層18的可圖案化低k材料是包含以下的可圖案化組成:聚合物、共聚物、或包括聚合物及/或共聚物之任何組合至少兩個的摻合物,其中聚合物包括一個單體,及共聚物包括至少兩個單體,及其中聚合物的單體及共聚物的單體係選自:矽氧烷、矽烷、碳矽烷、氧碳矽烷、倍半矽氧烷、烷基三烷氧矽烷、四烷氧矽烷、不飽和烷基取代的倍半矽氧烷、不飽和烷基取代的矽氧烷、不飽和烷基取代的矽烷、不飽和烷基取代的碳矽烷、不飽和烷基取代的氧碳矽烷、碳矽烷取代的倍半矽氧烷、碳矽烷取代的矽氧烷、碳矽烷取代的矽烷、碳矽烷取代的碳矽烷、碳矽烷取代的氧碳矽烷、氧碳矽烷取代的倍半矽氧烷、氧碳矽烷取代的矽氧烷、氧碳矽烷取代的矽烷、氧碳矽烷取代的碳矽烷、及氧碳矽烷取代的氧碳矽烷。
更明確地說,第一可圖案化低k材料18是包含一個單體之光敏/酸敏聚合物或至少兩個單體之共聚物的可圖案化組成,單體選自:矽氧烷、矽烷、碳矽烷、氧碳矽烷、有機矽酸鹽、倍半矽氧烷及其類似物。第一可圖案化低k材料18也可以是包含一個單體之聚合物、或至少兩個單體之共聚物的可圖案化組成,單體選自:烷基三烷氧矽烷、四烷氧矽烷、不飽和烷基(諸如乙烯基)取代的倍半矽氧烷、不飽和烷基取代的矽氧烷、不飽和烷基取代的矽烷、不飽和烷基取代的碳矽烷、不飽和烷基取代的氧碳矽烷、碳矽烷取代的倍半矽氧烷、碳矽烷取代的矽氧烷、碳矽烷取代的矽烷、碳矽烷取代的碳矽烷、碳矽烷取代的氧碳矽烷、氧碳矽烷取代的倍半矽氧烷、氧碳矽烷取代的矽氧烷、氧碳矽烷取代的矽烷、氧碳矽烷取代的碳矽烷、及氧碳矽烷的取代氧碳矽烷。另外,可圖案化低k介電材料18可包含包括聚合物及/或共聚物之任何組合至少兩個的摻合物,其中聚合物包括一個單體,及共聚物包括至少兩個單體,及其中聚合物的單體及共聚物的單體係選自:矽氧烷、矽烷、碳矽烷、氧碳矽烷、倍半矽氧烷、烷基三烷氧矽烷、四烷氧矽烷、不飽和烷基取代的倍半矽氧烷、不飽和烷基取代的矽氧烷、不飽和烷基取代的矽烷、不飽和烷基取代的碳矽烷、不飽和烷基取代的氧碳矽烷、碳矽烷取代的倍半矽氧烷、碳矽烷取代的矽氧烷、碳矽烷取代的矽烷、碳矽烷取代的碳矽烷、碳矽烷取代的氧碳矽烷、氧碳矽烷取代的倍半矽氧烷、氧碳矽烷取代的矽氧烷、氧碳矽烷取代的矽烷、氧碳矽烷取代的碳矽烷、及氧碳矽烷取代的氧碳矽烷。
視情況,第一可圖案化低k材料18可以是另外包含至少一個微細孔產生劑(致孔劑)的可圖案化組成。細孔產生劑可以是也可以不是光敏/酸敏的。「光敏/酸敏」的意思是,此致孔劑對光及/或酸敏感,致使致孔劑本身為可圖案化或增強可圖案化低k材料的解析度及/或圖案品質。此細孔產生劑具有以下這些特質:(1)相容於可圖案化低k組成的其他成分,即,在塗布及其他處理後不會相位分離;(2)可用標準微影技術圖案化為可圖案化低k組成的一部分;及(3)可在圖案化後固化製程期間移除以產生微細孔,因此降低固化的可圖案化低k材料的介電常數。細孔大小(直徑)應小於10 nm,較佳是小於5 nm,及更佳是小於2 nm。
可圖案化低k材料18的解說性聚合物包括但不限於:矽氧烷、矽烷、碳矽烷、氧碳矽烷、倍半矽氧烷型聚合物(包括籠式、直鏈、支鏈)、或其組合。在一具體實施例中,第一可圖案化低k材料18為包含這些光敏/酸敏聚合物之摻合物的可圖案化組成。可配合本揭示內容一起使用的可圖案化低k材料範例揭示於美國專利第7,041,748號、第7,056,840號、及第6,087,064號,以及美國專利申請公開案第2008/0286467號、美國專利申請公開案第2009/0233226號、及2008年5月23日申請的美國專利申請案第12/126,287號,所有這些專利全文在此以引用的方式併入本文中。圖案化低k材料18在固化後的介電常數一般不超過4.3。介電常數可大於1及多達4.3,更佳是介於1至3.6,甚至更佳是介於1至3.0,又更佳是介於1至2.5,而最佳是介於1至2.0。
第一可圖案化低k材料18係由包括以下至少一者的可圖案化組成形成:上述聚合物、共聚物或摻合物、光酸產生劑、鹼性添加劑及通常在光阻中使用的溶劑。當第一可圖案化低k材料18為負色調可圖案化低k材料時,可由視情況包括額外交聯劑的可圖案化組成形成。此額外交聯劑可為較小的化合物(與聚合物或共聚物相比)或聚合物、共聚物、或包括聚合物及/或共聚物之任何組合至少兩個的摻合物,其中聚合物包括一個單體,及共聚物包括至少兩個單體,及其中聚合物的單體及共聚物的單體係選自:矽氧烷、矽烷、碳矽烷、氧碳矽烷、倍半矽氧烷、烷基三烷氧矽烷、四烷氧矽烷、不飽和烷基取代的倍半矽氧烷、不飽和烷基取代的矽氧烷、不飽和烷基取代的矽烷、不飽和烷基取代的碳矽烷、不飽和烷基取代的氧碳矽烷、碳矽烷取代的倍半矽氧烷、碳矽烷取代的矽氧烷、碳矽烷取代的矽烷、碳矽烷取代的碳矽烷、碳矽烷取代的氧碳矽烷、氧碳矽烷取代的倍半矽氧烷、氧碳矽烷取代的矽氧烷、氧碳矽烷取代的矽烷、氧碳矽烷取代的碳矽烷、及氧碳矽烷取代的氧碳矽烷。
當第一可圖案化低k材料18為正色調可圖案化低k材料時,可由包括以下至少一個的可圖案化組成形成:上述聚合物、共聚物或摻合物、光酸產生劑、鹼添加劑及通常在光阻中使用的溶劑。光酸產生劑、鹼性添加劑及溶劑為熟習本技術者所熟知,因此,不再詳述有關這些成分的細節。
在較佳具體實施例中,第一可圖案化低k材料18為化學放大正色調或負色調可圖案化低k材料,其包含倍半矽氧烷聚合物或共聚物、或聚合物及/或共聚物之任何組合至少兩個的摻合物。此光敏/酸敏倍半矽氧烷聚合物或共聚物可進行光/酸催化化學轉變,以在微影圖案化後形成電路圖案。當第一可圖案化低k材料18為化學放大正色調可圖案化低k材料時,其通常進行解除保護反應,使曝光區域能在顯影劑中溶解;當第一可圖案化低k材料18為化學放大負色調可圖案化低k材料時,其通常進行交聯反應(對本身或透過額外交聯劑),使其在微影處理期間,無法在曝光區域的顯影劑中溶解。因此,可在標準半導體微影製程期間產生積體電路圖案。此外,這些積體電路圖案在圖案化後固化製程期間維持其圖案完整性,將抗蝕劑的可圖案化低k材料轉換成低k材料。此類光敏/酸敏倍半矽氧烷聚合物或共聚物的範例包括:聚甲基倍半矽氧烷(PMS)、聚對羥苄基倍半矽氧烷(PHBS)、聚對羥苯基乙基倍半矽氧烷(PHPES)、聚(對羥苯基乙基倍半矽氧烷-共-對羥基-α-甲苄基倍半矽氧烷)(PHPE/HMBS)、聚(對羥苯基乙基倍半矽氧烷-共-甲氧苄基倍半矽氧烷)(PHPE/MBS)、聚(對羥苯基乙基倍半矽氧烷-共-第三丁基倍半矽氧烷)(PHPE/BS)、聚(對羥苯基乙基倍半矽氧烷-共-環己基倍半矽氧烷)(PHPE/CHS)、聚(對羥苯基乙基倍半矽氧烷-共-苯基倍半矽氧烷)(PHPE/PS)、聚(對羥苯基乙基倍半矽氧烷-共-雙環庚基倍半矽氧烷)(PHPE/BHS)、聚(對羥基-α-甲苄基倍半矽氧烷)(PHMBS)、聚(對羥基-α-甲苄基倍半矽氧烷-共-對羥苄基倍半矽氧烷)(PHMB/HBS)、聚(對羥基-α-甲苄基倍半矽氧烷-共-甲氧苄基倍半矽氧烷)(PHMB/MBS)、聚(對羥基-α-甲苄基倍半矽氧烷-共-第三丁基倍半矽氧烷)(PHMB/BS)、聚(對羥基-α-甲苄基倍半矽氧烷-共-環己基倍半矽氧烷)(PHMB/CHS)、聚(對羥基-α-甲苄基倍半矽氧烷-共-苯基倍半矽氧烷)(PHMB/PS)、聚(對羥基-α-甲苄基倍半矽氧烷-共-雙環庚基倍半矽氧烷)(PHMB/BHS)、聚(對羥苄基倍半矽氧烷-共-對羥苯基乙基倍半矽氧烷)(PHB/HPES)、及聚(對羥基-α-甲苄基倍半矽氧烷-共-對-α-甲苄基倍半矽氧烷)(PHMB/MBS)。在一具體實施例中,可圖案化低k介電材料18為選自烷基三烷氧矽烷及/或四烷氧矽烷之至少兩個單體的共聚物。較佳共聚物得自至少兩個單體,其選自:甲基三甲氧矽烷、甲基三乙氧矽烷、乙基三甲氧矽烷、或乙基三乙氧矽烷,作為烷基三烷氧矽烷單體;及四-甲氧矽烷或四-乙氧矽烷,作為四烷氧矽烷單體。
在另一具體實施例中,第一可圖案化低k材料18為包含一個單體之聚合物、或至少兩個單體之共聚物的可圖案化組成,單體選自:烷基三烷氧矽烷、四烷氧矽烷、不飽和烷基(諸如乙烯基)取代的倍半矽氧烷、不飽和烷基取代的矽氧烷、不飽和烷基取代的矽烷、不飽和烷基取代的碳矽烷、不飽和烷基取代的氧碳矽烷、碳矽烷取代的倍半矽氧烷、碳矽烷取代的矽氧烷、碳矽烷取代的矽烷、碳矽烷取代的碳矽烷、碳矽烷取代的氧碳矽烷、氧碳矽烷取代的倍半矽氧烷、氧碳矽烷取代的矽氧烷、氧碳矽烷取代的矽烷、氧碳矽烷取代的碳矽烷、及氧碳矽烷的取代氧碳矽烷。
在一具體實施例中,第一可圖案化低k材料18為包含倍半矽氧烷聚合物的可圖案化組成。其可為直鏈、支鏈、籠式化合物或其組合,具有以下一般結構式:
其中,m及n代表重複單位的數目,R1 代表可包含一或多個官能基(可提供聚合物在水性鹼中的可溶性及提供用於交聯的官能基)的基團,及R2 代表可包含碳官能性(可控制聚合物在水性鹼中的溶解率及/或正色調或負色調圖案化的成像功能)的基團。下標m及n可以是在0至50,000範圍中的整數,諸如1至5,000。R1 可與R2 不同。
R1 不限於任何特定的官能基,及可包含以-OH基、-C(O)OH基、-F、或其組合取代的官能基。R1 可包含直鏈或支鏈烷基、環烷基、芳族、芳烴、或丙烯基。例如,R1 可以是:
或其類物。
R2 未必限於任何特定的官能基,及可包含氫、或直鏈或支鏈烷基、環烷基、芳族、芳烴、丙烯酸酯、或其組合。例如,R2 可以是:或其類物。
可選擇R1 及R2 比例及結構,以提供適於光微影圖案化製程的材料。
在一具體實施例中,第一可圖案化低k材料18為負色調可圖案化低k介電材料,其包含包括聚合物及/或共聚物之任何組合至少兩個的摻合物,其中聚合物包括一個單體,及共聚物包括至少兩個單體,及其中聚合物的單體及共聚物的單體係選自:矽氧烷、矽烷、碳矽烷、氧碳矽烷、倍半矽氧烷、烷基三烷氧矽烷、四烷氧矽烷、不飽和烷基取代的倍半矽氧烷、不飽和烷基取代的矽氧烷、不飽和烷基取代的矽烷、不飽和烷基取代的碳矽烷、不飽和烷基取代的氧碳矽烷、碳矽烷取代的倍半矽氧烷、碳矽烷取代的矽氧烷、碳矽烷取代的矽烷、碳矽烷取代的碳矽烷、碳矽烷取代的氧碳矽烷、氧碳矽烷取代的倍半矽氧烷、氧碳矽烷取代的矽氧烷、氧碳矽烷取代的矽烷、氧碳矽烷取代的碳矽烷、及氧碳矽烷取代的氧碳矽烷。摻合物中的聚合物可彼此混溶。聚合物摻合物的第一聚合物或共聚物如上文所述。
在一些例子中,此具體實施例之聚合物摻合物的第二聚合物可包含一個單體的聚合物或包括至少兩個單體的共聚物,及其中聚合物的單體及共聚物的單體係選自:矽氧烷、矽烷、碳矽烷、氧碳矽烷、倍半矽氧烷、烷基三烷氧矽烷、四烷氧矽烷、不飽和烷基取代的倍半矽氧烷、不飽和烷基取代的矽氧烷、不飽和烷基取代的矽烷、不飽和烷基取代的碳矽烷、不飽和烷基取代的氧碳矽烷、碳矽烷取代的倍半矽氧烷、碳矽烷取代的矽氧烷、碳矽烷取代的矽烷、碳矽烷取代的碳矽烷、碳矽烷取代的氧碳矽烷、氧碳矽烷取代的倍半矽氧烷、氧碳矽烷取代的矽氧烷、氧碳矽烷取代的矽烷、氧碳矽烷取代的碳矽烷、及氧碳矽烷取代的氧碳矽烷。在一具體實施例中,聚合物摻合物的第二聚合物可包含至少兩個單體的共聚物,單體選自:矽氧烷、矽烷、倍半矽氧烷、碳矽烷、或氧碳矽烷部分。在本發明之另一具體實施例中,聚合物摻合物的第二聚合物可包含選自烷基三烷氧矽烷及/或四烷氧矽烷之至少兩個單體的共聚物。共聚物中烷基三烷氧矽烷單體的莫耳比介於0至100%。共聚物的重量平均分子量介於100-5,000,000 g/mol,較佳是500-50,000g/mol。聚合物摻合物的較佳第二聚合物為得自至少兩個單體的共聚物,單體選自:甲基三甲氧矽烷、甲基三乙氧矽烷、乙基三甲氧矽烷、或乙基三乙氧矽烷,作為烷基三烷氧矽烷單體;及四-甲氧矽烷或四-乙氧矽烷,作為四烷氧矽烷單體。在一具體實施例中,聚合物摻合物的第二聚合物是甲基倍半矽氧烷及四烷氧矽烷的共聚物。
在另一具體實施例中,聚合物摻合物的第二聚合物是包含具有以下結構式之聚合物的倍半矽氧烷聚合物:
其中R3 可以是包含烷基、環烷基、芳基、或其組合的官能基,及其中x代表重複單位的數目,及可以是介於4至50,000範圍中的整數。例如,R3 可以是:,或其類物。
在一具體實施例中,聚倍半矽氧烷可以是聚甲基倍半矽氧烷,其中R3 是甲基,及x是介於10至1,000的整數。在其他具體實施例中,x可大於1,000。聚倍半矽氧烷亦可包含具有以下的共聚物:矽氧烷、矽烷、碳矽烷、氧碳矽烷、烷基三烷氧矽烷、或四烷氧矽烷。聚倍半矽氧烷結構可以是籠式、直鏈、支鏈、或其組合。本文所述倍半矽氧烷聚合物可包含端基,其包含矽醇、鹵矽烷、乙醯氧矽烷、矽烷胺、烷氧矽烷、或其組合,其在存在酸(諸如由光酸產生劑在暴露於輻射下所產生的酸)的情況下,可進行縮合反應,繼而進行熱烘烤。聚倍半矽氧烷的聚合物分子可與以下進行化學交聯:聚合物摻合物的第一聚合物或共聚物、在聚合物摻合物本身中的第二聚倍半矽氧烷聚合物或共聚物、或其組合。
在一具體實施例中,聚倍半矽氧烷可以是倍半矽氧烷共聚物LKD-2056或LKD2064(JSR Corporation的產品),其含有矽醇端基。此交聯可不限於矽醇,而是亦可包括鹵矽烷、乙醯氧矽烷、矽烷胺、及烷氧矽烷。本文所述倍半矽氧烷聚合物可進行化學交聯,包括光酸催化交聯、熱誘發交聯、或其組合,諸如矽醇端基的縮合反應。
聚合物摻合物中的第二倍半矽氧烷聚合物或共聚物可具有重量平均分子量在200至5,000,000 g/mol的範圍中,諸如介於1,500至10,000 g/mol。
在另一具體實施例中,第一可圖案化低k材料18是負色調可圖案化低k材料,其包含碳矽烷取代的倍半矽氧烷聚合物,可以是直鏈、支鏈、籠式化合物或其組合,具有以下一般結構式:
其中,a、b、及c代表每個重複單位的數目,R4 、R5 、R6 、R7 、及R8 為含碳基,及R9 為烷氧基。R6 、R7 及R8 各獨立代表包含1至6個碳原子的烴基。
R4 、R5 、R6 、R7 、R8 、R9 可以是不相同的基團。下標a、b、及c代表聚合物鏈中重複單位的數目。下標q及r可以是在0至3範圍中的整數。下標s可以是在1至3範圍中的整數。下標a及c可以是大於零的整數。例如,a及c各獨立在1至5,000的範圍中。下標b可以是大於或等於零的整數。例如,b可以是在0至5,000範圍中的整數。
R4 可代表包含一或多個官能基的基團,該等官能基提供聚合物在水性鹼中的可溶性及用於交聯反應的官能基。R4 的各例不限於任何特定官能基,及可包含以一或多個-OH基、-C(O)OH基、-F、或其組合取代的官能基。R4 可包含直鏈或支鏈烷基、環烷基、芳族、芳烴、或丙烯基。R4 的範例包括:
或其類物。
R5 可代表包含碳官能性(包含至少一個碳原子)的基團,其中碳官能性控制聚合物在水性鹼中的聚合物溶解。R5 的結構(如,大小、鏈長度等)將影響聚合物在水性鹼中的溶解率。溶解控制基團R5 與可溶性及交聯控制基團R4 的平衡允許適當調整諸如溶解率及水性鹼可溶性等特性。R5 未必限於任何特定的官能基,及可包含直鏈或支鏈烷基、環烷基、芳族、芳烴、丙烯酸酯、或其組合。R5 的範例包括:,或其類物。
R6 不限於任何特定烷氧基。R6 的範例包括直鏈或支鏈烷氧基、環烷氧基、及乙醯氧基。
可選擇R4 、R5 、及R6 的特定比例及結構,以提供適於光微影圖案化製程的材料。
在另一具體實施例中,第一可圖案化低k材料18是負色調可圖案化低k材料,其包含第一聚合物或共聚物及第二聚合物或共聚物的聚合物摻合物,其中第一聚合物是上述碳矽烷取代的倍半矽氧烷聚合物,及第二聚合物是一個單體的聚合物或至少兩個單體的共聚物,單體選自:矽氧烷、矽烷、倍半矽氧烷、碳矽烷、或氧碳矽烷部分。在本發明之一具體實施例中,聚合物摻合物的第二聚合物可包含選自烷基三烷氧矽烷及/或四烷氧矽烷之至少兩個單體的共聚物。共聚物中烷基三烷氧矽烷單體的莫耳比介於0至100%。共聚物的重量平均分子量介於100-5,000,000 g/mol,較佳是500-50,000 g/mol。聚合物摻合物的較佳第二聚合物為得自至少兩個單體的共聚物,單體選自:甲基三甲氧矽烷、甲基三乙氧矽烷、乙基三甲氧矽烷、或乙基三乙氧矽烷,作為烷基三烷氧矽烷單體;及四-甲氧矽烷或四-乙氧矽烷,作為四烷氧矽烷單體。在一具體實施例中,聚合物摻合物的第二聚合物是甲基倍半矽氧烷及四烷氧矽烷的共聚物。
在另一具體實施例中,負色調碳矽烷取代的倍半矽氧烷可圖案化低k組成可以是包含第一聚合物及第二聚合物之聚合物摻合物的可圖案化組成,其中第一聚合物是上述碳矽烷取代的倍半矽氧烷聚合物,及聚合物摻合物的第二聚合物是包含具有以下結構式之聚合物的倍半矽氧烷聚合物:
其中R3 可以是包含烷基、環烷基、芳基、或其組合的官能基,及其中x代表重複單位的數目,及可以是介於4至50,000範圍中的整數。例如,R3 可以是:或其類物。
在一具體實施例中,聚倍半矽氧烷可以是聚甲基倍半矽氧烷,其中R3 是甲基,及x是介於10至1,000的整數。在其他具體實施例中,x可大於1,000。聚倍半矽氧烷亦可包含具有以下的共聚物:矽氧烷、矽烷、碳矽烷、氧碳矽烷、烷基三烷氧矽烷、或四烷氧矽烷。聚倍半矽氧烷結構可以是籠式、直鏈、支鏈、或其組合。本文所述倍半矽氧烷聚合物或共聚物可包含端基,其包含矽醇、鹵矽烷、乙醯氧矽烷、矽烷胺、烷氧矽烷、或其組合,其在存在酸(諸如由光酸產生劑在暴露於輻射下所產生的酸)的情況下,可進行縮合反應,繼而進行熱烘烤。聚倍半矽氧烷的聚合物分子可與以下進行化學交聯:聚合物摻合物的第一聚合物或共聚物、在聚合物摻合物本身中的第二聚倍半矽氧烷聚合物或共聚物、或其組合。在一具體實施例中,聚倍半矽氧烷可以是倍半矽氧烷共聚物LKD-2056或LKD2064(JSR Corporation的產品),其含有矽醇端基。此交聯可不限於矽醇,而是亦可包括鹵矽烷、乙醯氧矽烷、矽烷胺、及烷氧矽烷。本文所述倍半矽氧烷聚合物可進行化學交聯,包括光酸催化交聯、熱誘發交聯、或其組合,諸如矽醇端基的縮合反應。
代表針對此具體實施例所述聚合物摻合物之第二聚合物的倍半矽氧烷聚合物可具有重量平均分子量在200克/莫耳(g/mol)至500,000 g/mol的範圍中,諸如從1,500 g/mol至10,000 g/mol。
在另一具體實施例中,採用的組成含有倍半矽氧烷聚合物及/或倍半矽氧烷共聚物之任何組合至少兩個的摻合物。摻合物中的倍半矽氧烷聚合物或共聚物可選自上述倍半矽氧烷聚合物或共聚物,或可選自其他倍半矽氧烷聚合物或共聚物,例如:聚甲基倍半矽氧烷(PMS)、聚對羥苄基倍半矽氧烷(PHBS)、聚(對羥苄基倍半矽氧烷-共-甲氧苄基倍半矽氧烷)(PHB/MBS)、聚(對羥基-α-甲苄基倍半矽氧烷-共-對-α-甲苄基倍半矽氧烷)(PHMB/MBS)、聚(對羥苄基乙基倍半矽氧烷-共-第三丁基倍半矽氧烷)(PHB/BS)、聚(對羥苄基倍半矽氧烷-共-環己基倍半矽氧烷)(PHB/CHS)、聚(對羥苄基倍半矽氧烷-共-苯基倍半矽氧烷)(PHB/PS)、聚(對羥苄基倍半矽氧烷-共-雙環庚基倍半矽氧烷)(PHB/BHS),及籠式倍半矽氧烷,諸如八(甘油酸丙基二甲矽氧基)倍半矽氧烷、八[環己烯基環氧二甲矽氧基]倍半矽氧烷、八[4-(羥苯基乙基)二甲矽氧基]倍半矽氧烷、及八[{2-(1',1'-雙(三氟甲基)-1'-羥乙基)降莰基}二甲矽氧基]倍半矽氧烷。如果需要,可在摻合物中使用不同的含Si聚合物及不含Si聚合物(諸如細孔產生劑)的組合。
在又另一具體實施例中,第一可圖案化低k材料18是包含至少兩個單體(選自烷基三烷氧矽烷及/或四烷氧矽烷)之共聚物的可圖案化組成。較佳共聚物得自至少兩個單體選自:甲基三甲氧矽烷、甲基三乙氧矽烷、乙基三甲氧矽烷、或乙基三乙氧矽烷,作為烷基三烷氧矽烷單體;及四-甲氧矽烷或四-乙氧矽烷,作為四烷氧矽烷單體。
在負色調可圖案化低k材料的較佳具體實施例中,可採用兩個可混溶、或相容的倍半矽氧烷。第一倍半矽氧烷聚合物或共聚物為直鏈、支鏈、籠式化合物或其組合,具有以下結構式:
其中R10 的每次出現為鹼性可溶性的一或多個酸性官能基且提供用於交聯的官能基;R11 的每次出現為控制聚合物在水性鹼中溶解率的碳功能性;R10 不等於R11 ;j及k代表重複單位數目;j為整數;及k為零或大於零的整數。
R10 不限於任何特定官能基,及較佳是從以下項目中選擇:可以OH、C(O)OH及/或F取代的直鏈或支鏈烷基;可以OH、C(O)OH、及/或F取代的環烷基;可以OH、C(O)OH、及/或F取代的芳族;可以OH、C(O)OH、及/或取代F的芳烴;及可以OH、C(O)OH、及/或F取代的丙烯酸。較佳的R10 範例包括:
R11 不限於任何特定的碳官能基,及較佳是從直鏈或支鏈烷基、環烷基、芳族、芳烴、及丙烯酸酯中選擇。
此具體實施例的倍半矽氧烷聚合物或共聚物具有重量平均分子量為400至500,000,及較佳是從1,500至10,000。可選擇R10 及R11 比例及結構,以提供適於光微影製程的材料。
摻合物材料的第二聚合物成分包括但不限於稱為倍半矽氧烷的有機矽酸鹽族,具有結構式:
其中R3 可以是包含烷基、環烷基、芳基、或其組合的官能基,及其中x代表重複單位的數目,及可以是介於4至50,000範圍中的整數。例如,R3 可以是:或其類物。
在一具體實施例中,聚倍半矽氧烷可以是聚甲基倍半矽氧烷,其中R3 是甲基,及x是介於10至1,000的整數。在其他具體實施例中,x可大於1,000。聚倍半矽氧烷亦可包含具有以下的共聚物:矽氧烷、矽烷、碳矽烷、氧碳矽烷、烷基三烷氧矽烷、或四烷氧矽烷。聚倍半矽氧烷結構可以是籠式、直鏈、支鏈、或其組合。本文所述倍半矽氧烷聚合物或共聚物可包含端基,其包含矽醇、鹵矽烷、乙醯氧矽烷、矽烷胺、烷氧矽烷、或其組合,其在存在酸(諸如由光酸產生劑在暴露於輻射下所產生的酸)的情況下,可進行縮合反應,繼而進行熱烘烤。聚倍半矽氧烷的聚合物分子可與以下進行化學交聯:聚合物摻合物的第一聚合物或共聚物、在聚合物摻合物本身中的第二聚倍半矽氧烷聚合物或共聚物、或其組合。在一具體實施例中,聚倍半矽氧烷可以是倍半矽氧烷共聚物LKD-2056或LKD2064(JSR Corporation的產品),其含有矽醇端基。此交聯可不限於矽醇,而是亦可包括鹵矽烷、乙醯氧矽烷、矽烷胺、及烷氧矽烷。本文所述倍半矽氧烷聚合物可進行化學交聯,包括光酸催化交聯、熱誘發交聯、或其組合,諸如矽醇端基的縮合反應。
負色調可圖案化低k組成的第三成分是光敏酸產生劑(PAG)。較佳的PAG範例包括:-(三氟-甲基磺醯氧基)-雙環[2.2.1]庚-5-烯-2,3-二羧醯亞胺(MDT)、鎓鹽、芳族重氮鹽、硫鹽、二芳基碘鹽、及N-羥基醯胺或N-羥基醯亞胺的磺酸酯,如美國專利第4,371,605號所揭示。‘605專利的內容以引用方式併入本文中。可使用由諸如N-羥基-萘二甲醯亞胺(DDSN)的PAG所產生的弱酸。可使用各PAG的組合。
摻合物配方中倍半矽氧烷聚合物或共聚物的組成為總聚合物組成的1至99%。在較佳具體實施例中,酸敏聚合物的組成是總聚合物組成的20至80%,及甚至更佳是30至60%。
在存在由光酸產生劑暴露於輻射下所產生的酸的情況下,縮合不限於矽醇,而是亦可包括鹵矽烷、乙醯氧矽烷、矽烷胺、及烷氧矽烷。在配方中亦可包括有機交聯劑,諸如甲基苯基四甲氧基甲基甘脲(甲基苯基粉末塗料)。雖然光酸產生劑用於交聯為較佳,但亦可使用光鹼產生劑用於交聯矽醇聚合物或共聚物。
第一可圖案化低k材料18通常亦包括溶解其他成分的鑄溶劑。適合鑄溶劑的範例包括但不限於:乙氧基乙基丙酸(EEP);EEP及γ-丁內酯、丙二醇單甲醚醇及醋酸鹽、丙二醇單丙醇及醋酸鹽的組合;及乳酸乙酯。亦可使用這些溶劑的組合。
在最佳化光微影製程時,可在配方中添加有機鹼。本發明所使用的鹼可以是阻劑技術中已知的任何適合鹼。鹼的範例包括氫氧化四烷銨、氫氧化鯨蠟基三甲基銨、及1,8-二氨基萘。組成不限於任何特定選擇的鹼。
在又另一具體實施例中,第一可圖案化低k材料18是包含含矽聚合物的化學放大正色調可圖案化低k材料。所採用的含矽聚合物可以是均聚物或共聚物。此含矽聚合物的合適類型包括聚合物、共聚物、包括聚合物及/或共聚物之任何組合至少兩個的摻合物,其中該等聚合物包括一個單體,及該等共聚物包括至少兩個單體,及其中該等聚合物的該等單體及該等共聚物的該等單體係選自:矽氧烷、矽烷、碳矽烷、氧碳矽烷、倍半矽氧烷、烷基三烷氧矽烷、四烷氧矽烷、不飽和烷基取代的倍半矽氧烷、不飽和烷基取代的矽氧烷、不飽和烷基取代的矽烷、不飽和烷基取代的碳矽烷、不飽和烷基取代的氧碳矽烷、碳矽烷取代的倍半矽氧烷、碳矽烷取代的矽氧烷、碳矽烷取代的矽烷、碳矽烷取代的碳矽烷、碳矽烷取代的氧碳矽烷、氧碳矽烷取代的倍半矽氧烷、氧碳矽烷取代的矽氧烷、氧碳矽烷取代的矽烷、氧碳矽烷取代的碳矽烷、及氧碳矽烷取代的氧碳矽烷。極佳的矽主鏈聚合物係選自由聚(羥基苯基烷基)倍半矽氧烷及聚(羥基苯基烷基)矽氧烷組成之群組,其中烷基為C1-30 部分。這些較佳的含矽聚合物較佳是全部或局部以酸敏保護基團保護。
在一具體實施例中,第一可圖案化低k材料18是包含一個單體之聚合物或至少兩個單體之共聚物的化學放大正色調可圖案化低k材料,其中含矽取代基在化學上鍵結至聚合物或共聚物的單體。含矽取代基可以是酸敏或不是酸敏。然而,在含有C2 烷基部分時,取代基通常是酸敏。較佳是,含矽取代基係附接至選自由以下組成之群組的單體:羥基苯乙烯、丙烯酸酯、甲基丙烯酸酯、丙烯醯胺、甲基丙烯醯胺、衣康酸酯、衣康酸半酯或環烯。較佳含矽取代基包括:矽氧烷、矽烷及立體倍半矽氧烷。含矽聚合物可另外包括無矽單體,諸如選自由以下組成之群組的無矽單體:苯乙烯、羥基苯乙烯、丙烯酸、甲基丙烯酸、衣康酸及酐(諸如順丁烯二酐及衣康酸酐)。
含有含矽取代基的較佳單體是:三甲矽烷基丙烯酸酯、三甲矽烷基甲基丙烯酸酯、三甲矽烷基衣康酸酯、三(三甲矽)矽烷基丙烯酸酯、三(三甲矽)矽烷基甲基丙烯酸酯、三(三甲矽)矽烷基衣康酸酯、三(三甲矽氧)矽烷基丙烯酸酯、三(三甲矽氧)矽烷基甲基丙烯酸酯、三(三甲矽氧)矽烷基衣康酸酯、烷矽苯乙烯、三甲矽甲基(二甲氧)矽氧基烷基丙烯酸酯、三甲矽甲基(二甲氧)矽氧基烷基甲基丙烯酸酯、三甲矽甲基(二甲氧)矽氧基烷基衣康酸酯、三甲矽烷基降冰片烯-5-羧酸酯烷基、三(三甲矽)矽烷基降冰片烯-5-羧酸酯及三(三甲矽氧)矽烷基降冰片烯-5-羧酸酯、其中烷基為C1-5 部分。
這些單體的極佳物種是:3-(3,5,7,9,11,13,15-七環戊基五環[9.5.1.13,9.15,15.17,13]-八矽氧烷-1-基)丙基甲基丙烯酸酯、1,3,5,7,9,11,13-七環戊基-15-乙烯基五環[9.5.1.13,9.15,15.17,13]八矽氧烷、甲基丙烯胺基三甲矽烷、O-(甲基丙烯酰氧基乙基)-N-(三乙氧矽丙基)氨基甲酸酯、甲基丙烯酰氧基乙氧基三甲矽烷、N-(3-甲基丙烯酰氧基-2-羥丙基)-3-氨基丙基三乙氧矽烷、(甲基丙烯酰氧基甲基)二(三甲矽氧)甲矽烷、(m,p-乙烯基苄氧基)三甲矽烷、甲基丙烯酰氧基丙基三(三甲矽氧)矽烷、甲基丙烯酰氧基三甲矽烷、3-甲基丙烯酰氧基丙基二(三甲矽氧)甲矽烷、3-甲基丙烯酰氧基丙基二甲氯矽烷、甲基丙烯酰氧基丙基二甲基乙氧矽烷、甲基丙烯酰氧基丙基二甲基甲氧矽烷、甲基丙烯酰氧基丙基七環戊基-T8-倍半矽氧烷、甲基丙烯酰氧基丙基甲基二氯矽烷、甲基丙烯酰氧基丙基甲基二乙氧矽烷、甲基丙烯酰氧基丙基甲基二甲氧矽烷、(甲基丙烯酰氧基甲基)二甲基乙氧矽烷,(甲基丙烯酰氧基甲基)苯基二甲矽烷(苯基二甲矽)甲基甲基丙烯酸酯、甲基丙烯酰氧基甲基三乙氧矽烷、甲基丙烯酰氧基甲基三甲氧矽烷、甲基丙烯酰氧基甲基三(三甲矽氧)矽烷、O-甲基丙烯酰氧基(聚氧乙烯)三甲矽烷、甲基丙烯酰氧基丙基五甲基二矽氧烷、甲基丙烯酰氧基丙基毒鼠矽、甲基丙烯酰氧基丙基矽氧烷大單體、甲基丙烯酰氧基丙基末端聚二甲矽氧烷、甲基丙烯酰氧基丙基三氯矽烷、甲基丙烯酰氧基丙基三乙氧矽烷、甲基丙烯酰氧基丙基三甲氧矽烷、甲基丙烯酰氧基丙基三(甲氧基乙氧)矽烷、對-(第三丁基二甲矽氧)苯乙烯、丁烯基三乙氧矽烷、3-丁烯基三甲矽烷、(3-丙烯酰氧基丙基)三甲氧矽烷、(3-丙烯酰氧基丙基)三(三甲矽氧)矽烷、O-(三甲矽)丙烯酸酯、2-三甲矽氧乙基丙烯酸酯、N-(3-丙烯氧-2-羥丙基)-3-氨基丙基三乙氧矽烷、(3-丙烯酰氧基丙基)二甲基甲氧矽烷、(3-丙烯酰氧基丙基)甲基二(三甲矽氧)矽烷、(3-丙烯酰氧基丙基)甲基二氯矽烷、及(3-丙烯酰氧基丙基)甲基二甲氧矽烷、(3-丙烯酰氧基丙基)三氯矽烷。
當第一可圖案化低k材料18是包含共聚物的正色調可圖案化低k介電材料時,保護程度及所存在的共單體量係致使可圖案化低k材料抗蝕劑組成將提供良好的微影效能,即,高解析度及良好製程窗。在固化後處理圖案化後,亦應維持圖案完整性。可使用的保護基團範例為:環狀及支鏈(二級及三級)脂族羰基、含有3至30碳原子的酯或醚、縮醛、縮酮及脂族矽醚。
本發明可使用的環狀或支鏈脂族羰基範例包括但不限於:酚醛碳酸酯、第三烷氧羰基氧基(諸如第三丁氧基羰基氧基及異丙基氧基羰基氧基)。極佳的碳酸酯是第三丁氧基羰基氧基。
本發明可使用之環狀及支鏈醚的一些範例包括但不限於:苄基醚及第三烷基醚,諸如第三丁基醚。在上述醚中,極佳是使用第三丁基醚。
可使用的環狀及支鏈酯範例為具有環狀或支鏈脂族取代基的羧酸酯,諸如:第三丁基酯、異冰片酯、2-甲基-2-金鋼烷酯、苄酯、3-氧環己酯、二甲基丙基甲酯、甲羥戊酸內酯基酯、3-羥基-γ-丁內酯基酯、3-甲基-γ-丁內酯基酯、二(三甲矽)異丙酯、三甲矽乙酯、三(三甲矽)矽乙酯、及異丙苯酯。
可使用之縮醛及縮酮的一些範例包括但不限於:酚醛縮醛及縮酮以及四氫呋喃基、四氫吡喃基、2-乙氧乙基、甲氧環己基、甲氧環戊基、環己氧乙基、乙氧環戊基、乙氧環己基、甲氧環庚基、乙氧環庚基。在這些項目中,較佳是使用甲氧環己基縮酮。
可使用之矽醚的解說性範例包括但不限於:三甲矽醚、二甲基乙矽醚及二甲基丙矽醚。在這些矽醚中,較佳是使用三甲矽醚。
在一具體實施例中,第一可圖案化低k材料18為正色調可圖案化低k介電材料,其包含包括聚合物及/或共聚物之任何組合至少兩個的摻合物,其中聚合物包括一個單體,及共聚物包括至少兩個單體,及其中聚合物的單體及共聚物的單體係選自:矽氧烷、矽烷、碳矽烷、氧碳矽烷、倍半矽氧烷、烷基三烷氧矽烷、四烷氧矽烷、不飽和烷基取代的倍半矽氧烷、不飽和烷基取代的矽氧烷、不飽和烷基取代的矽烷、不飽和烷基取代的碳矽烷、不飽和烷基取代的氧碳矽烷、碳矽烷取代的倍半矽氧烷、碳矽烷取代的矽氧烷、碳矽烷取代的矽烷、碳矽烷取代的碳矽烷、碳矽烷取代的氧碳矽烷、氧碳矽烷取代的倍半矽氧烷、氧碳矽烷取代的矽氧烷、氧碳矽烷取代的矽烷、氧碳矽烷取代的碳矽烷、及氧碳矽烷取代的氧碳矽烷。摻合物中的聚合物可彼此混溶。聚合物摻合物的第一聚合物或共聚物如上文所述。
在一具體實施例中,第一可圖案化低k材料18是包含至少兩個倍半矽氧烷聚合物或共聚物之聚合物摻合物的正色調可圖案化低k材料。摻合物中的聚合物或共聚物可彼此混溶。第一倍半矽氧烷聚合物或共聚物可為直鏈、支鏈、籠式化合物或其組合,具有以下一般結構式:
其中,d、e及f代表每個重複單位的數目,R12 代表具有酸性不穩定保護基的碳官能性(碳官能性包含至少一個碳原子),R13 代表可包含一或多個官能基(提供聚合物在水性鹼中的可溶性)的基團,及R14 代表可包含碳官能性(包含至少一個碳原子)的基團,其中碳官能性控制聚合物摻合物在水性鹼中的聚合物溶解率。R12 、R13 、及R14 可以是不同的基團。下標d、e、及f代表重複單位的數目。下標d及f可以是大於零的整數。例如,d及f各獨立在1至5,000的範圍中。下標e可以是大於或等於零的整數。例如,e可以是在0至5,000範圍中的整數。
R12 不限於任何特定的碳官能基,及可選自習用的酸敏保護基,諸如碳酸、三級酯、縮醛、縮酮等、及其組合。例如,酸敏保護基可包含第三丁基醋酸基,其中R12 可以是:
R13 不限於任何特定的官能基,及可包含以-OH基、-C(O)OH基、-F、或其組合取代的官能基。R13 可包含直鏈或支鏈烷基、環烷基、芳族、芳烴、或丙烯基。例如,R13 可以是:
或其類物。
R14 未必限於任何特定的官能基,及可包含直鏈或支鏈烷基、環烷基、芳族、芳烴、丙烯酸酯、或其組合。例如,R14 可以是:或其類物。
可選擇R12 、R13 、及R14 的特定比例及結構,以提供適於光微影圖案化製程的材料。
在一具體實施例中,此正色調可圖案化低k材料具體實施例之聚合物摻合物的第二聚合物可包含一個單體的聚合物或包括至少兩個單體的共聚物,及其中共聚物的單體係選自:矽氧烷、矽烷、碳矽烷、氧碳矽烷、倍半矽氧烷、烷基三烷氧矽烷、四烷氧矽烷、不飽和烷基取代的倍半矽氧烷、不飽和烷基取代的矽氧烷、不飽和烷基取代的矽烷、不飽和烷基取代的碳矽烷、不飽和烷基取代的氧碳矽烷、碳矽烷取代的倍半矽氧烷、碳矽烷取代的矽氧烷、碳矽烷取代的矽烷、碳矽烷取代的碳矽烷、碳矽烷取代的氧碳矽烷、氧碳矽烷取代的倍半矽氧烷、氧碳矽烷取代的矽氧烷、氧碳矽烷取代的矽烷、氧碳矽烷取代的碳矽烷、及氧碳矽烷取代的氧碳矽烷。在一具體實施例中,聚合物摻合物的第二聚合物可包含至少兩個單體的共聚物,單體選自:矽氧烷、矽烷、倍半矽氧烷、碳矽烷、或氧碳矽烷部分。在本發明之一具體實施例中,聚合物摻合物的第二聚合物可包含選自烷基三烷氧矽烷及/或四烷氧矽烷之至少兩個單體的共聚物。共聚物中烷基三烷氧矽烷單體的莫耳比介於0至100%。共聚物的重量平均分子量介於100-5,000,000 g/mol,較佳是500-50,000 g/mol。較佳共聚物得自至少兩個單體選自:甲基三甲氧矽烷、甲基三乙氧矽烷、乙基三甲氧矽烷、或乙基三乙氧矽烷,作為烷基三烷氧矽烷單體;及四-甲氧矽烷或四-乙氧矽烷,作為四烷氧矽烷單體。
在另一具體實施例中,在正色調可圖案化低k材料之聚合物摻合物中的第二聚合物是具有以下結構式的聚合物:
其中R3 可以是具有至少一個碳原子的碳官能基,及其中下標x代表重複單位的數目且可以是大於零的整數。下標x可以在4至50,000的範圍中,諸如從10至1,000。R3 可包含例如烷基、環烷基、芳基、或其組合。R3 的範例包括:或其類物。
在一具體實施例中,第二倍半矽氧烷聚合物可以是聚甲基倍半矽氧烷或共聚物,其中R3 是甲基,及x是介於4至1,000的整數。在另一具體實施例中,x可大於1,000。第二倍半矽氧烷聚合物亦可包含具有以下的共聚物:矽氧烷、矽烷、碳矽烷、氧碳矽烷、烷基三烷氧矽烷、或四烷氧矽烷。第二倍半矽氧烷聚合物或共聚物結構可以是籠式、直鏈、支鏈、或其組合。本發明的倍半矽氧烷聚合物可包含端基,其包含矽醇、鹵矽烷、乙醯氧矽烷、矽烷胺、烷氧矽烷、及其組合,其在存在酸(諸如由光酸產生劑在暴露於輻射下所產生的酸)的情況下,可進行縮合反應,繼而進行熱烘烤。第二聚合物的聚合物分子可與第一聚合物或共聚物的分子、共聚物的第二聚合物、或其組合進行化學交聯。在本發明之一具體實施例中,第二倍半矽氧烷可以是含有矽醇端基的倍半矽氧烷聚合物或共聚物LKD 2021、LKD-2056或LKD 2064(JSR Corporation的產品)。
聚合物摻合物中的倍半矽氧烷聚合物或共聚物可具有重量平均分子量在400至500,000 g/mol的範圍中,諸如介於1,500至10,000 g/mol。
正色調可圖案化低k材料的其他成分包括光酸產生劑、鑄溶劑及鹼添加劑。這些成分及其組成為熟習本技術者所熟知,且類似於上述負色調可圖案化低k材料中的成分及組成。
本申請案中使用的用語「光敏/酸敏」代表以下可成像官能基:在出現光酸產生劑暴露於輻射時所產生之酸的情況下,進行化學反應。所使用的酸敏可成像官能基可包括酸敏正色調官能基或酸敏負色調官能基。負色調酸敏官能基是造成交聯反應的官能基,使不溶於顯影劑的曝光區域在顯影後形成負色調凸紋影像。正色調酸敏官能基為酸敏保護基團,使溶於顯影劑的曝光區域在顯影後形成正色調凸紋影像。因此,可用標準微影工具組圖案化可圖案化低k材料。
上述可圖案化低k材料在圖案化期間用作光阻;其可以是正色調或負色調,及對G線、I線、DUV(248 nm、193 nm、157 nm、126 nm、及EUV(13.4 μm)、電子束、或離子束敏感。此用光透過遮罩曝光的圖案化可按乾燥模式或浸沒模式進行,在浸沒模式中,在可圖案化低k及曝光工具的透鏡之間,注入折射率大於空氣的液體。可圖案化低k材料的圖案化可以單一曝光或多於一次的曝光完成,以形成所要的圖案。
在一較佳具體實施例中,使用正色調可圖案化低k材料18進行介層圖案化。正色調或負色調可圖案化低k材料18均可用於線路圖案化。
參考圖3,曝光第一可圖案化低k材料18的圖案,以形成所要電路的潛像。可能需要選擇性曝光後烘烤以進行光化學反應。執行烘烤步驟時,以60°至200℃的溫度進行,80°至140℃的烘烤溫度尤佳。烘烤步驟的持續時間有所不同,且對於本發明的實施並非關鍵。在曝光及曝光後烘烤後,用適當顯影劑將潛像顯影為凸紋影像,顯影劑通常是水性鹼溶液,諸如0.26N氫氧化四甲銨(TMAH)溶液。
圖案式曝光製程可以各種方式完成,包括例如透過遮罩利用具有以下曝光光源的微影步進機或掃描器:G線、I線(365 nm)、DUV(248 nm、193 nm、157 nm、126 nm)、極短UV(13.4 nm)、或電子束、離子束。曝光製程可按乾燥模式或浸沒模式執行。圖案式曝光製程亦包括例如用光、電子束、離子束、及掃描探針微影直寫而不使用遮罩。可使用的其他圖案化技術包括接觸印刷技術,諸如奈米壓印微影、壓紋、微接觸印刷、複製成形、微轉印成形、毛細管微成形及溶劑輔助微成形、熱輔助壓紋、噴墨印刷等。
明確地說,圖3圖解在可圖案化低k材料薄膜18內形成第一內連線圖案20後所形成的結構。第一內連線圖案20可包括至少一介層開口(如圖所示及為較佳)或至少一線路開口(未顯示,及在本發明方法此階段,比形成介層開口較為不佳)。如所示,第一內連線圖案使階梯組成頂蓋層14的表面露出。
在形成第一內連線圖案後,通常(但未必總是)固化可圖案化低k材料18,以形成固化低k材料18'(見圖3)。當第一可圖案化低k材料為負色調時,固化為選擇性的,但在第一可圖案化低k材料為正色調材料時,則需要固化。利用熱固化、電子束固化、紫外光(UV)固化、離子束固化、電漿固化、微波固化或其組合執行固化。每一固化製程的條件為熟習本技術者所熟知,及只要可將圖案化低k材料轉換為低k薄膜及維持圖案保真度,即可選擇任何條件。
在另一具體實施例中,利用熱固化及紫外光(UV)固化的組合執行輻射固化步驟,其中紫外光(UV)的波長為50至300 nm,及紫外光(UV)固化的光源為UV燈、準分子(激態錯合體)雷射或其組合。
準分子雷射可從選自由Ar2 *、Kr2 *、F2 、Xe2 *、ArF、KrF、XeBr、XeCl、XeF、CaF2 、KrCl及Cl2 組成之群組的準分子中至少一者產生,其中準分子雷射的波長介於50至300 nm。另外,可用透鏡或其他為熟習本技術者所熟知的光學擴散裝置,增強及/或擴散紫外光(UV)固化的光。
在一具體實施例中,此圖案化後固化為組合的UV/熱固化。此組合的UV/熱固化在真空或惰性蒙氣(諸如N2 、He、Ar)下的UV/熱固化模組上執行。通常,UV/熱固化溫度為100℃至500℃,以300至450℃的固化溫度較為常見。UV/熱固化的持續時間為0.5分鐘至30分鐘,以1至10分鐘的持續時間較為常見。UV固化模組經設計具有極低的氧含量,以免形成的介電材料降解。如果執行此圖案化後固化,則可使用與圖案化步驟的不同或相同工具群組。
在圖案化及視情況固化第一可圖案化低k材料18後,形成第二可圖案化低k材料22,以提供圖4所示的結構。第二可圖案化低k材料22可包含與第一可圖案化低k材料18相同或不同的材料。按照一般的說法,第一可圖案化低k材料18之上述配方的性質、組成、及方法在此各可用於第二可圖案化低k材料22。上述第一可圖案化低k材料18的沉積製程及厚度在此各亦可用於第二可圖案化低k材料22。通常,及在所示具體實施例中,第一可圖案化低k材料18或第二低k材料22為負色調或正色調材料。
現在參考圖5,圖案化第二可圖案化低k材料22,以包括第二內連線圖案24。利用圖案化第一可圖案化低k介電材料18所使用的相同基本處理設備、步驟及條件,執行第二可圖案化低k介電材料22的圖案化。在所示具體實施例中,第二內連線圖案24通常是線路(溝渠)。亦恢復在第一可圖案化低k材料內形成及其後在形成第二可圖案化低k材料時填充的介層圖案。當第一內連線圖案是線路時,第二內連線圖案24亦可以是介層。
在圖案化第二可圖案化低k材料22後,使結構固化,以提供圖6所示的結構。在圖6,參考數字22'代表固化的第二低k材料。如同第一固化低k材料18',固化第二低k材料22'具有通常小於4.3的相對介電常數。若先前未固化,此固化步驟亦將第一可圖案化低k材料18固化成一固化低k材料18'。上述第一可圖案化低k材料18的固化方法、設備及程序在此各可用於第二可圖案化低k材料22。
仍然參考圖6,執行蝕刻穿過階梯組成頂蓋層14的蝕刻步驟。「開啟」階梯組成頂蓋層14的蝕刻步驟包括任何蝕刻製程,諸如反應性離子蝕刻或氣體團簇離子束蝕刻。
擴散障壁層(襯墊)(未顯示)可包含Ta、TaN、Ti、TiN、Ru、RuTaN、RuTa、W、WN或任何其他可用作障壁的材料以免導電材料從中擴散,其通常係利用沉積製程,諸如原子層沉積(ALD)、化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、物理氣相沉積(PVD)、濺鍍、化學溶液沉積、或電鍍,形成於第一及第二內連線圖案中。在一些具體實施例(未顯示)中,擴散障壁襯墊可包含層的組合。擴散障壁襯墊的厚度可根據實際所使用沉積製程的方式以及使用的層材料及數量而變化。通常,擴散障壁襯墊具有厚度介於4至40 nm,而厚度介於7至20 nm更為常見。
繼形成擴散障壁層(襯墊)後,用導電材料26填充第一及第二內連線圖案的其餘區域,以形成導電特徵。形成導電特徵時使用的導電材料26包括例如:polySi、導電金屬、包含至少一個導電金屬的合金、導電金屬矽化物、導電奈米管或奈米線、石墨烯或其組合。較佳是,形成導電特徵時所使用的導電材料26為導電金屬,諸如Cu、W或Al,其中Cu或Cu合金(諸如AlCu)在本發明中為極佳。利用包括但不限於CVD、PECVD、濺鍍、化學溶液沉積或電鍍的習用沉積製程,在其餘的第一及第二內連線圖案中填充導電材料26。較佳的填充方法是電化學電鍍。
在沉積後,可使用諸如化學機械研磨(CMP)的習用平坦化製程提供結構如下:擴散障壁層及導電材料26各具有大體上與固化第二低k材料22'之上表面共面的上表面。在填充固化第一及第二低k材料中的開口及平坦化後所形成的結構如圖7所示。
在形成至少一個導電材料26及平坦化後,在固化第二低k材料22'的表面上形成另一階梯組成頂蓋14'。包括另一階梯組成頂蓋層14'的結構如圖7所示。此階梯組成頂蓋14'可利用上述方法形成,及階梯組成頂蓋14'可包含與階梯組成頂蓋14的相同或不同組成。另外,階梯組成頂蓋14'可以任何習用的介電頂蓋來取代。
在未圖解的一些具體實施例中,可利用任何習用的沉積製程,包括例如CVD、PECVD及旋塗,在階梯組成(或習用的)頂蓋14'頂部上形成阻擋遮罩。阻擋遮罩可包含標準的光阻材料,包括無機、有機及混成抗蝕劑。阻擋遮罩可另外包含至少一個抗反射塗層及/或至少一個硬遮罩層。抗反射塗層及硬遮罩層的組成可為有機、無機或有機/無機混成材料,只要其組成及層厚度的組合滿足後續氣隙的圖案化及圖案轉印需求即可。在此特定具體實施例中,圖案化階梯組成頂蓋14'及阻擋遮罩,以在其中提供氣隙圖案。圖案化步驟包括光學微影、浸沒微影、EUV、軟微影、接觸印刷、奈米印刷、電子束、無遮罩直寫、掃瞄探針微影、自組裝微影及定向自組裝微影。注意,氣隙圖案的特徵大小小於在低k材料內的介電質間隔。圖案化步驟亦包括蝕刻,諸如反應性離子蝕刻。利用定時蝕刻製程,諸如反應性離子蝕刻,可將氣隙圖案轉印至至少第二圖案化及固化低k材料22'。在將氣隙圖案轉印至第二圖案化及固化低k介電材料22'後,以選擇性移除部分第二圖案化及固化低k介電材料22'的第二圖案化及固化低k介電材料22'形成氣隙。然後利用移除犧牲材料的習用方法,諸如反應性離子蝕刻,自結構移除其餘的阻擋遮罩,且在其餘階梯組成頂蓋14'頂部上停止。在此具體實施例中,轉印的氣隙圖案鄰近於(但未直接鄰接)在至少圖案化及固化第二可圖案化低k材料中形成的導電填充區域。氣隙圖案亦可延伸至第一圖案化及固化的可圖案化低k材料18'中。
在將氣隙圖案轉印至至少圖案化及固化第二低k材料22'後,在物理上、在化學上或二者上改變低k材料直接鄰接氣隙開口的部分,以與其餘低k介電材料相比,具有不同的移除率。在本申請案中,可利用化學處理、暴露於還原或氧化電漿,達成此移除率變化。此材料轉變的一個較佳具體實施例是利用等向性反應性離子蝕刻來達成。等向性離子蝕刻氣體的化學組成係選自以下至少一個:O2 、CO、CO2 、N2 、H2 、NH3 、He、Ar、烴及其類似物。接著利用蝕刻製程,諸如利用稀釋HF蝕刻劑的等向性蝕刻,移除低k介電質直接鄰接氣隙開口之此改變部分。在本發明一些具體實施例中,亦可使用超臨界流體蝕刻製程。這兩個步驟,如,改變圖案化及固化低k介電材料之部分的蝕刻選擇性及蝕刻,在結構內提供氣隙。氣隙可包括空氣或真空。可使用泵浦,從氣隙移除空氣,以形成真空。可在其餘另一頂蓋層14'頂部形成氣隙頂蓋,以密封結構中的氣隙。氣隙頂蓋包括ARC,包括習用的無機ARC。圖9圖解圖8在形成氣隙頂蓋38後的內連線結構。圖9亦顯示在結構頂部的氣隙頂蓋40。
除了上述雙鑲嵌具體實施例,本發明亦考慮單鑲嵌具體實施例。單鑲嵌結構包括上述針對雙鑲嵌製程的相同基本處理步驟,除了在製程中不引入第二可圖案化低k介電質。
以下範例圖解本發明的一些方面。
範例1:如以下表格的摘要,在400℃下,在矽基板中沉積薄膜,高頻RF功率在300-460瓦之間變化,及壓力在3-8.7托之間變化。選擇三甲矽烷作為碳及矽源,選擇氨作為氮源,及使用He作為稀釋劑。
利用FTIR研究變化三甲矽烷、氨流速的效果(未顯示)。如FTIR光譜中所顯示,在薄膜中減少氨將在薄膜中減少SiN及增加Sic、CH及SiH含量。
範例2:使用範例1中層1-5的個別條件,沉積階梯組成層。變化每個層的沉積時間,以達到最佳的薄膜厚度及特性。
雖然已經參考較佳具體實施例詳細說明本發明,但熟習本技術者應明白,可在不脫離本發明的精神及範疇下,進行上述及其他形式及細節的改變。因此,本發明的目的並不限於所說明及圖解的確切形式及細節,而應以隨附申請專利範圍的範疇為主。
10...初始結構
12...基板
14、14'...階梯組成頂蓋層
14A...下方區域
14B...上方區域
14C...中間區域
18...第一可圖案化低k材料
18'...第一固化低k材料
20...第一內連線圖案
22...第二可圖案化低k材料
22'...固化的第二低k材料
24...第二內連線圖案
26...導電材料
38、40...氣隙頂蓋
圖1為圖解在本發明之一具體實施例中採用的初始結構(包括位在基板表面上的階梯組成頂蓋層)的圖式(透過橫截面圖)。
圖2為圖1所示結構在直接在階梯組成頂蓋層的上表面上,形成第一可圖案化低k材料後的圖式(透過橫截面圖)。
圖3為圖2所示結構在第一可圖案化低k材料內,形成介層圖案後的圖式(透過橫截面圖)。
圖4為圖3所示結構在結構的整個表面之上,形成第二可圖案化低k材料後的圖式(透過橫截面圖)。
圖5為圖4所示結構在第二可圖案化低k材料內形成溝渠圖案且恢復在第一可圖案化低k材料內的介層後的圖式(透過橫截面圖)。
圖6為圖5所示結構在固化圖案化之第一及圖案化之第二可圖案化低k材料及開啟階梯組成頂蓋層後的圖式(透過橫截面圖)。
圖7為圖6所示結構在導電填充及平坦化後的圖式(透過橫截面圖)。
圖8為圖7所示結構在其暴露表面頂部形成另一階梯組成頂蓋層後的圖式(透過橫截面圖)。
圖9為圖8所示結構在結構內形成至少一個氣隙的進一步處理後的圖式(透過橫截面圖)。
圖10為可利用圖2所示結構及對此結構進行內連線圖案化、導電填充、及平坦化所形成的單鑲嵌結構的圖式(透過橫截面圖)。
12...基板
14、14'...階梯組成頂蓋層
18'...第一固化低k材料
22'...固化的第二低k材料
26...導電材料
38、40...氣隙頂蓋

Claims (16)

  1. 一種內連線結構,其包含:至少一個圖案化及固化低k材料,直接位在一圖案化階梯組成頂蓋層的一表面上,其中該至少一個圖案化及固化低k材料及該圖案化階梯組成頂蓋層各具有嵌入其中的導電填充區域,其中該圖案化及固化低k材料係一可圖案化組成的一固化物,該可圖案化組成包含一官能基化聚合物、共聚物、或一包括至少兩個具有一或多個光敏/酸敏可成像基團之聚合物及/或共聚物之任何組合的摻合物,及該圖案化階梯組成頂蓋層沿著相對一下伏(underlying)基板之垂直方向具有一逐漸變化的組成,且包括一用作一障壁區域的下方區域及一具有一永久性抗反射塗層之特性的上方區域,其中該下方區域及該上方區域藉由至少一個中間區域分開,該至少一個中間區域包含出現在該下方區域及該上方區域兩者中之原子的一組合。
  2. 如申請專利範圍第1項所述之內連線結構,另外包含至少一個氣隙(airgap)位在該至少一個圖案化及固化低k材料內,鄰近但不直接鄰接該等導電填充區域。
  3. 如申請專利範圍第1項所述之內連線結構,其中該階梯組成頂蓋層的該下方區域包括Si及C的原子;Si及N的原子;Si及O的原子;Si、O及N的原子;Si、C及O的原子;Si、C、O及H的原子;或Si、C、N及H的原子;或Ru、Co、W及P的原子。
  4. 如申請專利範圍第1項所述之內連線結構,其中該階梯組成頂蓋層具有一厚度範圍介於2nm至200nm。
  5. 如申請專利範圍第1項所述之內連線結構,其中該上方區域包含:(1)Si、C、O、N及H的原子;Si及C的原子;Si、O及C的原子;Si、C、O及H的原子;或W、Co、Ru、Ta、Ti、及Ru的原子;或(2)M、C及H的原子,其中M選自以下至少一個原子:Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf及La;或(3)一氣相沉積的M:C:H薄膜,其中M選自以下至少一個原子:Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf及La。
  6. 如申請專利範圍第5項所述之內連線結構,其中包括M、C及H的原子的該上方區域另外包含以下至少一個原子:O、N、S或F。
  7. 如申請專利範圍第5項所述之內連線結構,其中包括氣相沉積的M:C:H薄膜的該上方區域另外包含X,其中是以下至少一個原子:O、N、S或F。
  8. 如申請專利範圍第1項所述之內連線結構,其中該上方區域包含一聚合物具有化學式M-RA 的至少一個單體單位,其中M是以下至少一個元素:Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf及La,及RA 是一發色團。
  9. 如申請專利範圍第8項所述之內連線結構,其中該至少一個單體單位的M鍵結至一選自C及H之元素的有機配位基、一交聯成分、另一發色團及其混合物。
  10. 如申請專利範圍第8項所述之內連線結構,另外包含另一單體單位具有化學式M'-RB ,其中M'是以下至少一個元素:Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf及La,及RB 是一交聯成分。
  11. 如申請專利範圍第8項所述之內連線結構,其中M及M'鍵結至一選自C及H之元素的有機配位基、一交聯成分、另一發色團及其混合物。
  12. 一種內連線結構,其包含:一下方圖案化及固化低k材料,直接位在一圖案化階梯組成頂蓋層上,及一鄰接的上方圖案化及固化低k材料,位在該下方圖案化及固化低k材料上,該下方及上方圖案化及固化低k材料及該圖案化頂蓋層各具有導電填充區域,其中該等圖案化及固化的上方及下方低k材料各是一相同或不同可圖案化組成的固化物,該可圖案化組成包含官能基化聚合物、共聚物、或一包括至少兩個具有一或多個光敏/酸敏可成像基團之聚合物及/或共聚物之任何組合的摻合物,及該圖案化階梯組成頂蓋層沿著相對一下伏(underlying)基板之垂直方向具有一逐漸變化的組成,且包括一用作一障壁 區域的下方區域及一具有一永久性抗反射塗層之特性的上方區域,其中該下方區域及該上方區域藉由至少一個中間區域分開,該至少一個中間區域包含出現在該下方區域及該上方區域兩者中之原子的一組合。
  13. 一種製造一內連線結構的方法,其包含:沉積一階梯組成頂蓋層於一基板的一表面上,該階梯組成頂蓋層包括一用作一障壁區域的下方區域及一具有一永久性抗反射塗層之特性的上方區域,其中該下方區域及該上方區域藉由至少一個中間區域分開,及其中該中間區域得自一第一前驅物與一第二前驅物的混合,該第一前驅物用以形成該下方區域且該第二前驅物用以形成該上方區域;直接在該階梯組成頂蓋層的一表面上提供至少一個可圖案化低k材料,其中該至少一個可圖案化低k材料係一可圖案化組成,其包含一官能基化聚合物、共聚物、或一包括至少兩個具有一或多個光敏/酸敏可成像基團之聚合物及/或共聚物之任何組合的摻合物;形成至少一個內連線圖案於該至少一個可圖案化低k材料及該階梯組成頂蓋層內,在該至少一個可圖案化低k材料內的該至少一個內連線圖案在未利用一另外光阻材料的情況下形成;將該至少一圖案化的可圖案化低k材料固化成介電常數不超過4.3的固化介電材料;及以一導電材料填充該至少一個內連線圖案。
  14. 如申請專利範圍第13項所述之方法,進一步包含: 形成一堆疊,其包含在該固化介電材料頂部的另一階梯組成頂蓋層及一阻擋遮罩;形成至少一個氣隙穿過該堆疊且進入固化介電材料中;及形成一氣隙頂蓋於該堆疊之該另一階梯組成頂蓋頂部。
  15. 一種製造一雙鑲嵌內連線結構的方法,其包含:沉積一階梯組成頂蓋層於一基板的一表面上,該階梯組成頂蓋層包括一用作一障壁區域的下方區域及一具有一永久性抗反射塗層之特性的上方區域,其中該下方區域及該上方區域藉由至少一個中間區域分開,及其中該中間區域得自一第一前驅物與一第二前驅物的混合,該第一前驅物用以形成該下方區域且該第二前驅物用以形成該上方區域;直接在該階梯組成頂蓋層的一表面上提供一第一可圖案化低k材料,其中第一可圖案化低k介電材料係一可圖案化組成,其包含一官能基化聚合物、共聚物、或一包括至少兩個具有一或多個光敏/酸敏可成像基團之聚合物及/或共聚物之任何組合的摻合物;在該第一可圖案化低k材料內形成第一內連線圖案而不用一另外的光阻;提供一第二可圖案化低k材料於包括該第一內連線圖案之該第一圖案化低k材料的頂部上,該第二可圖案化低k材料具有一與該第一可圖案化低k材料相同或不同的組成;在該第二可圖案化低k材料內形成第二內連線圖案而不用一另外的光阻;固化至少該第二圖案化的可圖案化低k材料;開啟該階梯組成頂蓋層的曝光部分;及 以一導電材料填充該第一及第二內連線圖案及在該階梯組成頂蓋層內的該開口。
  16. 如申請專利範圍第15項所述之方法,另外包含:形成一堆疊,其包含位在該固化第二可圖案化低k材料頂部的另一階梯組成頂蓋及一阻擋遮罩;形成至少一個氣隙穿過該堆疊且進入至少該固化第二可圖案化低k材料;及形成一氣隙頂蓋於該堆疊之該另一階梯組成頂蓋頂部。
TW099131567A 2009-09-29 2010-09-17 具階梯組成頂蓋層的可圖案化低介電常數內連線結構及其製造方法 TWI496242B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/569,200 US8202783B2 (en) 2009-09-29 2009-09-29 Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication

Publications (2)

Publication Number Publication Date
TW201131695A TW201131695A (en) 2011-09-16
TWI496242B true TWI496242B (zh) 2015-08-11

Family

ID=42711697

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099131567A TWI496242B (zh) 2009-09-29 2010-09-17 具階梯組成頂蓋層的可圖案化低介電常數內連線結構及其製造方法

Country Status (7)

Country Link
US (2) US8202783B2 (zh)
JP (1) JP5285814B2 (zh)
CN (1) CN102549736B (zh)
DE (1) DE112010003844T5 (zh)
GB (1) GB2486078B (zh)
TW (1) TWI496242B (zh)
WO (1) WO2011038995A1 (zh)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7981483B2 (en) * 2007-09-27 2011-07-19 Tel Epion Inc. Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
US8519540B2 (en) 2009-06-16 2013-08-27 International Business Machines Corporation Self-aligned dual damascene BEOL structures with patternable low- K material and methods of forming same
US8163658B2 (en) 2009-08-24 2012-04-24 International Business Machines Corporation Multiple patterning using improved patternable low-k dielectric materials
US8288271B2 (en) * 2009-11-02 2012-10-16 International Business Machines Corporation Method for reworking antireflective coating over semiconductor substrate
US8367540B2 (en) * 2009-11-19 2013-02-05 International Business Machines Corporation Interconnect structure including a modified photoresist as a permanent interconnect dielectric and method of fabricating same
US8642252B2 (en) 2010-03-10 2014-02-04 International Business Machines Corporation Methods for fabrication of an air gap-containing interconnect structure
US8241992B2 (en) * 2010-05-10 2012-08-14 International Business Machines Corporation Method for air gap interconnect integration using photo-patternable low k material
US8354339B2 (en) 2010-07-20 2013-01-15 International Business Machines Corporation Methods to form self-aligned permanent on-chip interconnect structures
US8232198B2 (en) 2010-08-05 2012-07-31 International Business Machines Corporation Self-aligned permanent on-chip interconnect structure formed by pitch splitting
KR20120030782A (ko) 2010-09-20 2012-03-29 삼성전자주식회사 저유전 물질을 이용한 쓰루 실리콘 비아(tsv) 형성방법
US8890318B2 (en) 2011-04-15 2014-11-18 International Business Machines Corporation Middle of line structures
US20130062732A1 (en) 2011-09-08 2013-03-14 International Business Machines Corporation Interconnect structures with functional components and methods for fabrication
US8492226B2 (en) * 2011-09-21 2013-07-23 Globalfoundries Singapore Pte. Ltd. Trench transistor
US9240552B2 (en) * 2011-12-27 2016-01-19 Intel Corporation Carbon nanotube semiconductor devices and deterministic nanofabrication methods
US9087753B2 (en) 2012-05-10 2015-07-21 International Business Machines Corporation Printed transistor and fabrication method
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
TWI512389B (zh) * 2013-02-22 2015-12-11 Globalfoundries Us Inc 定向自組裝製程/鄰近校正之方法
CN104183536B (zh) * 2013-05-21 2017-10-20 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
CN104299958B (zh) * 2013-07-16 2018-11-16 中芯国际集成电路制造(上海)有限公司 互连结构及互连结构的形成方法
KR20160061966A (ko) * 2013-07-31 2016-06-01 휴렛 팩커드 엔터프라이즈 디벨롭먼트 엘피 멤리스터 및 멤리스터의 제조 방법
KR101580898B1 (ko) * 2014-04-18 2015-12-31 (주)휴넷플러스 폴리실세스퀴옥산 공중합체 및 이를 포함하는 감광성 수지 조성물
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9431235B1 (en) 2015-04-24 2016-08-30 International Business Machines Corporation Multilayer dielectric structures with graded composition for nano-scale semiconductor devices
US10366988B2 (en) 2015-08-14 2019-07-30 International Business Machines Corporation Selective contact etch for unmerged epitaxial source/drain regions
CN107492517B (zh) * 2016-06-12 2020-05-08 中芯国际集成电路制造(上海)有限公司 互连结构及形成方法
CN107680932B (zh) * 2016-08-01 2022-05-13 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
KR102248788B1 (ko) * 2017-03-08 2021-05-06 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US11276767B2 (en) 2017-03-15 2022-03-15 International Business Machines Corporation Additive core subtractive liner for metal cut etch processes
US10770293B2 (en) * 2017-08-29 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device
US10573687B2 (en) 2017-10-31 2020-02-25 International Business Machines Corporation Magnetic random access memory with permanent photo-patternable low-K dielectric
KR102540963B1 (ko) 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
US11289369B2 (en) 2019-06-08 2022-03-29 Applied Materials, Inc. Low-k dielectric with self-forming barrier layer

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW478064B (en) * 1999-07-13 2002-03-01 Ibm Method of plasma etching a polysilicon layer through a patterned SiO2 layer
US20080150091A1 (en) * 2004-04-08 2008-06-26 International Business Machines Corporation MULTIPLE PATTERNING USING PATTERNABLE LOW-k DIELECTRIC MATERIALS
CN101483171A (zh) * 2008-01-09 2009-07-15 国际商业机器公司 具有能图案化低k材料的含空气隙的互连结构体及其制法

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4371605A (en) * 1980-12-09 1983-02-01 E. I. Du Pont De Nemours And Company Photopolymerizable compositions containing N-hydroxyamide and N-hydroxyimide sulfonates
EP0058638B1 (de) 1981-02-13 1985-08-28 Ciba-Geigy Ag Härtbare Zusammensetzung auf Basis eines säurehärtbaren Harzes und Verfahren zu dessen Härtung
JPH01293339A (ja) 1988-05-23 1989-11-27 Tosoh Corp フォトレジスト組成物
JP3460417B2 (ja) * 1995-11-25 2003-10-27 ソニー株式会社 半導体装置の製造方法
US5886102A (en) * 1996-06-11 1999-03-23 Shipley Company, L.L.C. Antireflective coating compositions
US5939236A (en) * 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6492732B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Interconnect structure with air gap compatible with unlanded vias
US6025260A (en) * 1998-02-05 2000-02-15 Integrated Device Technology, Inc. Method for fabricating air gap with borderless contact
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6100559A (en) * 1998-08-14 2000-08-08 Advanced Micro Devices, Inc. Multipurpose graded silicon oxynitride cap layer
US6087064A (en) * 1998-09-03 2000-07-11 International Business Machines Corporation Silsesquioxane polymers, method of synthesis, photoresist composition, and multilayer lithographic method
US6214719B1 (en) * 1999-09-30 2001-04-10 Novellus Systems, Inc. Method of implementing air-gap technology for low capacitance ILD in the damascene scheme
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6455416B1 (en) * 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
WO2002075449A1 (fr) * 2001-03-16 2002-09-26 Xianfeng Zhou Systeme d'imagerie pour produire des images composites a double exposition et son application
US6566019B2 (en) * 2001-04-03 2003-05-20 Numerical Technologies, Inc. Using double exposure effects during phase shifting to control line end shortening
KR100436220B1 (ko) * 2001-08-30 2004-06-12 주식회사 네패스 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물
US6787469B2 (en) * 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7064080B2 (en) * 2002-02-08 2006-06-20 Micron Technology, Inc. Semiconductor processing method using photoresist and an antireflective coating
US6730454B2 (en) * 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US6861180B2 (en) * 2002-09-10 2005-03-01 Taiwan Semiconductor Manufacturing Co., Ltd Contact printing as second exposure of double exposure attenuated phase shift mask process
US20040048194A1 (en) * 2002-09-11 2004-03-11 International Business Machines Corporation Mehod for forming a tunable deep-ultraviolet dielectric antireflection layer for image transfer processing
US6805109B2 (en) * 2002-09-18 2004-10-19 Thomas L. Cowan Igniter circuit with an air gap
US7449407B2 (en) * 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
US7138329B2 (en) * 2002-11-15 2006-11-21 United Microelectronics Corporation Air gap for tungsten/aluminum plug applications
US6917109B2 (en) * 2002-11-15 2005-07-12 United Micorelectronics, Corp. Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US7041748B2 (en) * 2003-01-08 2006-05-09 International Business Machines Corporation Patternable low dielectric constant materials and their use in ULSI interconnection
US7071532B2 (en) * 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
US7056840B2 (en) * 2003-09-30 2006-06-06 International Business Machines Corp. Direct photo-patterning of nanoporous organosilicates, and method of use
US7012022B2 (en) * 2003-10-30 2006-03-14 Chartered Semiconductor Manufacturing Ltd. Self-patterning of photo-active dielectric materials for interconnect isolation
JP4676694B2 (ja) * 2003-12-15 2011-04-27 ルネサスエレクトロニクス株式会社 積層構造体並びに半導体装置及びその製造方法
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
CN100358140C (zh) * 2004-04-22 2007-12-26 台湾积体电路制造股份有限公司 半导体内连线结构与避免其覆盖层和介电层间脱层的方法
KR100674967B1 (ko) * 2005-04-06 2007-01-26 삼성전자주식회사 더블 패터닝 방식을 이용한 미세 피치를 갖는 포토레지스트패턴 형성방법
KR100613461B1 (ko) * 2005-06-29 2006-08-17 주식회사 하이닉스반도체 이중노광기술을 이용한 이중노광방법과 이를 위한포토마스크
KR100640657B1 (ko) * 2005-07-25 2006-11-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR100687883B1 (ko) * 2005-09-03 2007-02-27 주식회사 하이닉스반도체 이중 노광용 포토마스크 및 이를 이용한 이중 노광 방법
KR100714305B1 (ko) * 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
US20070212649A1 (en) * 2006-03-07 2007-09-13 Asml Netherlands B.V. Method and system for enhanced lithographic patterning
KR100721205B1 (ko) * 2006-04-21 2007-05-23 주식회사 하이닉스반도체 이중 노광을 위한 패턴 분할 및 광 근접 효과 보정 방법
US8017522B2 (en) * 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7867689B2 (en) * 2007-05-18 2011-01-11 International Business Machines Corporation Method of use for photopatternable dielectric materials for BEOL applications
US8618663B2 (en) * 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US7709370B2 (en) * 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US8029971B2 (en) * 2008-03-13 2011-10-04 International Business Machines Corporation Photopatternable dielectric materials for BEOL applications and methods for use
US7919225B2 (en) 2008-05-23 2011-04-05 International Business Machines Corporation Photopatternable dielectric materials for BEOL applications and methods for use

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW478064B (en) * 1999-07-13 2002-03-01 Ibm Method of plasma etching a polysilicon layer through a patterned SiO2 layer
US20080150091A1 (en) * 2004-04-08 2008-06-26 International Business Machines Corporation MULTIPLE PATTERNING USING PATTERNABLE LOW-k DIELECTRIC MATERIALS
CN101483171A (zh) * 2008-01-09 2009-07-15 国际商业机器公司 具有能图案化低k材料的含空气隙的互连结构体及其制法

Also Published As

Publication number Publication date
US8202783B2 (en) 2012-06-19
GB2486078B (en) 2014-05-07
US20120252204A1 (en) 2012-10-04
DE112010003844T5 (de) 2012-09-06
WO2011038995A1 (en) 2011-04-07
JP5285814B2 (ja) 2013-09-11
GB201200146D0 (en) 2012-02-15
TW201131695A (en) 2011-09-16
CN102549736A (zh) 2012-07-04
GB2486078A (en) 2012-06-06
JP2013502714A (ja) 2013-01-24
CN102549736B (zh) 2014-09-10
US8461039B2 (en) 2013-06-11
US20110074044A1 (en) 2011-03-31

Similar Documents

Publication Publication Date Title
TWI496242B (zh) 具階梯組成頂蓋層的可圖案化低介電常數內連線結構及其製造方法
US8241992B2 (en) Method for air gap interconnect integration using photo-patternable low k material
US8642252B2 (en) Methods for fabrication of an air gap-containing interconnect structure
US8637395B2 (en) Methods for photo-patternable low-k (PPLK) integration with curing after pattern transfer
US8896120B2 (en) Structures and methods for air gap integration
US9431295B2 (en) Interconnect structure including a modified photoresist as a permanent interconnect dielectric and method of fabricating same
US9196523B2 (en) Self-aligned permanent on-chip interconnect structures
US8659115B2 (en) Airgap-containing interconnect structure with improved patternable low-K material and method of fabricating
US7944055B2 (en) Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8373271B2 (en) Interconnect structure with an oxygen-doped SiC antireflective coating and method of fabrication
US8163658B2 (en) Multiple patterning using improved patternable low-k dielectric materials
US8795556B2 (en) Self-aligned permanent on-chip interconnect structure formed by pitch splitting

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees