CN102549736A - 具有渐变帽盖层的能图案化低k电介质互连结构体和制造方法 - Google Patents

具有渐变帽盖层的能图案化低k电介质互连结构体和制造方法 Download PDF

Info

Publication number
CN102549736A
CN102549736A CN2010800424352A CN201080042435A CN102549736A CN 102549736 A CN102549736 A CN 102549736A CN 2010800424352 A CN2010800424352 A CN 2010800424352A CN 201080042435 A CN201080042435 A CN 201080042435A CN 102549736 A CN102549736 A CN 102549736A
Authority
CN
China
Prior art keywords
patterning
materials
low
gradual change
cap layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800424352A
Other languages
English (en)
Other versions
CN102549736B (zh
Inventor
林庆煌
D.A.诺伊迈耶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN102549736A publication Critical patent/CN102549736A/zh
Application granted granted Critical
Publication of CN102549736B publication Critical patent/CN102549736B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric

Abstract

提供了互连结构体,其包括至少一种位于图案化渐变帽盖层(14)的表面上的图案化并固化的低k材料(18’,22’)。该至少一种固化并图案化的低k材料和该图案化渐变帽盖层各自具有嵌入其中的导电填充区域(26)。该图案化并固化的低k材料为以下物质的固化产物:官能化聚合物、共聚物、或者包括具有一个或多个酸敏能成像基团的聚合物和/或共聚物的任意组合的至少两种的共混物,且该渐变帽盖层包括用作阻挡区域的下部区域和具有永久性抗反射涂层的抗反射性质的上部区域。

Description

具有渐变帽盖层的能图案化低K电介质互连结构体和制造方法
技术领域
本公开内容总体涉及互连结构体和其制造方法。具体而言,本公开内容提供单镶嵌和双镶嵌低k互连结构体(均包括位于渐变帽盖层上的能图案化低k电介质的至少一种固化产物)和其制造方法。
背景技术
众所周知,由于零部件(feature)尺寸减小以及单位面积的器件数和互连级数增加,互连信号的传播速度是控制整个电路速度的最重要的因素之一。在整个半导体工业中,已有强烈的驱动力要求提高纵横比(即,高度与宽度之比),和降低用于电绝缘金属导线的层间介电(ILD)材料的介电常数k。结果,由于电阻-电容(RC)延迟减少,互连信号在导体中传播得更快。
现有的半导体芯片使用铜(Cu)作为电导体和无机有机硅酸盐作为低介电常数(低k)电介质,且其具有至多十二级的Cu/低k互连层。这些Cu/低k互连层用包括数个处理步骤的称为双镶嵌的反复添加工艺制造,这在下面的段落中更详细地描述。
当在多层方案内制造集成电路布线时,通常使用光图案化和等离子体蚀刻技术,如光刻法随后通过等离子体法蚀刻,将绝缘或介电材料如氧化硅或低k绝缘体图案化成具有数千个开口,以生成导线开口和/或通孔开口(viaopening)。
不幸的是,由于引入的低k材料的新材料化学性质,难以实施将低k材料(通常是介电常数低于氧化硅的介电常数的电介质)引入到先进互连中的策略。而且,低k电介质基本上呈现出比氧化硅弱的电性质和力学性质。而且,低k电介质替代物在多种互连处理步骤期间通常容易受损。低k介电材料中观察到的损坏通过介电常数提高和吸水性增加显现,这可能导致性能和器件可靠性降低。
克服低k材料的集成问题的一个方法是通过在低k介电材料的表面上增加至少一个牺牲性硬掩膜层来保护这些低k介电材料。虽然硬掩膜层用于保护低k材料,但牺牲性硬掩模层的存在大幅增加了工艺复杂性,因为需要更多的膜沉积、图案转印蚀刻、和硬掩模层的除去。
现有的后段(back-end-of-the-line,BEOL)集成工艺称为低温氧化物(LTO)工艺,其使用至多八层牺牲性掩模材料来制造两层双镶嵌互连结构体。例如,用于形成双镶嵌互连的先形成通孔(via-first)的LTO集成包括以下步骤:在包括图案化导体的基板上沉积介电材料;在该介电材料中形成至少一个通孔,使得通孔中的至少一个位于图案化导体之上;在介电材料上和通孔中沉积平坦化材料层;在该平坦化材料层上沉积阻挡材料层;在该阻挡材料层上沉积至少一层成像材料;在成像材料、阻挡材料和平坦化材料中形成至少一个沟道,使得该至少一个沟道位于通孔之上;在平坦化材料中形成沟道之后或同时,除去成像材料;将至少一个沟道转印至介电材料,使得沟道中的至少一个位于通孔之上;在将至少一个沟道转印至介电材料之后或同时,除去阻挡材料;和除去平坦化材料。用于形成双镶嵌互连结构体的先形成线路(line-first)的LTO集成包括以下步骤:在包括图案化导体的基板上沉积介电材料;在介电材料中形成至少一个沟道,使得至少一个沟道位于图案化导体之上;在介电材料上和沟道中沉积平坦化材料层;在该平坦化材料层上沉积阻挡材料层;在该阻挡材料层上沉积至少一层成像材料;在成像材料、阻挡材料和平坦化材料中形成至少一个通孔,使得通孔中的至少一个位于沟道和图案化导体之上;在平坦化材料中形成通孔之后或同时,除去成像材料;将至少一个通孔转印至介电材料,使得通孔中的至少一个位于沟道和图案化导体之上;在将至少一个通孔转印至介电材料之后或同时,除去阻挡材料;和除去平坦化材料。
集成方案,如上所述的LTO集成方案非常复杂、低效且昂贵。例如,先形成通孔的LTO集成方案需要十层膜和二十一个工艺步骤才能形成两层双镶嵌介电结构体。换句话说,80%的膜在最终的互连结构体中是不需要的。
虽然上述现有技术的双镶嵌集成方案在半导体制造中极受欢迎,但其有数个缺点,包括:第一,由于现有的芯片需要许多层(最多达十二层)来连接芯片内的微小晶体管和连接印刷电路板,因而双镶嵌集成方案构成先进半导体芯片制造成本的一大部分。第二,由于形成互连所需的许多层膜产生引入缺陷的机会,进而降低制造产率,因而双镶嵌集成方案是降低产率的主要原因。第三,双镶嵌集成方案非常低效且造成巨大的复杂性。目前的双镶嵌集成方案需要许多牺牲性膜(为膜叠层(stack)的80%)来图案化脆弱的层间介电膜和保护其在处理期间免于受损。这些牺牲性的图案化和保护性膜在图案化和镀铜后必须除去。第四,由引入新的k较低的材料所带来的性能增益常常由于需要k较高的非牺牲性材料(如帽盖层、硬掩模层、或较厚的铜阻挡层)而抵销。第五,现有技术的复杂的双镶嵌工艺延长了制造周转时间和R&D开发周期。第六,等离子体蚀刻工艺是昂贵的且经常不可靠的工艺,并需要预先支付大笔的资本投资。
鉴于以上,需要简化包括低k电介质的互连(单镶嵌和双镶嵌)的形成,以节省成本和提高制造效率。
发明内容
制造互连(单镶嵌和双镶嵌)结构体的现有技术工艺中的上述问题通过使用本发明的显著简化的集成方法来解决。因此本发明涉及形成互连结构体的方法,该互连结构体为集成电路和微电子器件的永久性部分,其具有与渐变帽盖层(graded cap layer)组合的能图案化低k电介质。渐变帽盖层存在于基板和上面的能图案化低k介电材料之间,其包括用作阻挡层的下部区域和具有永久性抗反射涂层性质的上部区域。至少一个中间区域位于渐变帽盖层的上部和下部区域之间。本文所述的渐变帽盖层代替在集成能图案化低k材料的现有工艺中采用的、由介电帽盖(dielectric cap)和抗反射涂层(ARC)构成的多层叠层。本文所述的渐变帽盖层提供更简单的膜叠层,因此解决了在现有技术工艺中采用的多层叠层中,在ARC/帽盖形成开口过程期间的轮廓劣化(profile degradation)问题。而且,本文所述的较薄的渐变帽盖层减少用于使帽盖层形成开口的等离子体蚀刻过程时间,因此减少等离子体对能图案化低k材料的潜在损坏。
本文所述的发明还通过将光刻胶和介电材料的功能结合至一种单一材料中而回避了传统BEOL集成的现有技术的缺点。这一种材料称为能光图案化的低k电介质(或简称为能图案化低k材料),其在平版印刷图案化过程期间充当光刻胶,因此不需要单独的光刻胶。在平版印刷图案化后,能图案化低k电介质随后在图案化后固化期间转化成低k材料。这样,本发明方法避免了低k介电材料和复杂的牺牲性膜叠层的等离子体蚀刻以及低k介电材料的图案化所需的过程。
在本发明的一种实施方式中,提供互连结构体,其包括直接位于图案化渐变帽盖层的表面上的至少一种图案化并固化的低k材料。所述至少一种图案化并固化的低k材料和所述图案化渐变帽盖层各自具有嵌入其中的导电填充区域。所述图案化并固化的低k材料包含能图案化组合物的固化产物,该能图案化组合物包含官能化聚合物、共聚物、或包括具有一个或多个光敏/酸敏能成像基团的聚合物和/或共聚物的任意组合的至少两种的共混物。所述渐变帽盖层包括用作阻挡层的下部区域和具有永久性抗反射涂层的性质的上部区域。至少一个中间区域位于所述上部和下部区域之间。所述至少一个中间区域由形成渐变帽盖层的上部和下部区域中使用的前体的组合形成。
在本发明的另一种实施方式中,提供双镶嵌互连结构体,其包括:直接位于图案化渐变帽盖层上的下部图案化并固化的低k材料,和位于所述下部图案化并固化的低k材料上的邻接的上部图案化并固化的低k材料。所述下部和上部图案化并固化的低k材料以及所述图案化帽盖层各自具有嵌入其中的导电填充区域。所述图案化并固化的上部和下部低k材料是相同的或不同的能图案化组合物的固化产物,该能图案化组合物包含官能化聚合物、共聚物、或包括具有一个或多个光敏/酸敏能成像基团的聚合物和/或共聚物的任意组合的至少两种的共混物。所述渐变帽盖层包括用作阻挡层的下部区域和具有永久性抗反射涂层的性质的上部区域。至少一个中间区域位于所述渐变帽盖层的上部和下部区域之间。
在本发明的另一种实施方式中,提供含有空气隙的双镶嵌互连结构体,其包括至少一个空气隙,该空气隙位于至少一种图案化并固化的能图案化低k材料内,邻近但不直接邻接亦位于所述至少一种图案化并固化的能图案化低k材料内的导电填充区域。
在本发明的另一种实施方式中,提供制造互连结构体的方法,其包括直接在渐变帽盖层的表面上提供至少一种能图案化低k材料。该至少一种能图案化低k材料为能图案化组合物,其包含官能化聚合物、共聚物、或包括具有一个或多个光敏/酸敏能成像基团的聚合物和/或共聚物的任意组合的至少两种的共混物,且该渐变帽盖层包括用作阻挡层的下部区域和具有永久性抗反射涂层的性质的上部区域。至少一个中间区域位于渐变帽盖层的上部和下部区域之间。在至少一种能图案化低k材料和渐变帽盖层内形成至少一个互连图案。至少一个互连图案在未采用单独的光刻胶材料的情况下形成。至少一种图案化的能图案化低k材料固化成具有不大于4.3的介电常数的固化的介电材料。至少一个互连图案填充有导电材料。
在本发明的又一种实施方式中,提供制造双镶嵌互连结构体的方法,其包括直接在渐变帽盖层的表面上提供第一能图案化低k材料。该第一能图案化低k材料为能图案化组合物,其包含官能化聚合物、共聚物、或包括具有一个或多个光敏/酸敏能成像基团的聚合物和/或共聚物的任意组合的至少两种的共混物,且该渐变帽盖层包括用作阻挡层的下部区域和具有永久性抗反射涂层的性质的上部区域。至少一个中间区域位于渐变帽盖层的上部和下部区域之间。在无单独的光刻胶的情况下,在第一能图案化低k材料内形成第一互连图案。在具有第一互连图案的第一图案化低k材料之上提供第二能图案化低k材料。第二能图案化低k材料具有与第一能图案化低k材料相同或不同的能图案化组合物。在无单独的光刻胶的情况下,在第二能图案化低k材料内形成第二互连图案。对第一和第二图案化的能图案化低k材料施加图案化后的固化,以将它们转化成固化的低k介电材料。在渐变帽盖层的暴露部分中提供至少一个开口,并用导电材料填充第一和第二互连图案以及渐变帽盖层内的开口。
在本发明的又一种实施方式中,提供制造双镶嵌互连结构体的方法,其与以上相同,除了在渐变帽盖层的暴露部分、及第一和第二互连图案中提供至少一个开口之后,以及在用导电材料填充第一和第二能图案化低k材料及渐变帽盖层的开口之前,施加图案化后的固化。
在本发明的又一种实施方式中,提供在形成标准金属填充的双镶嵌互连结构体后,制造含有空气隙的双镶嵌互连结构体的方法。
观察到:本发明中使用的能图案化低k材料在实施固化步骤后,变成互连结构体的永久性元件。还观察到:本发明中使用的渐变帽盖层也变成互连结构体的永久性元件。
附图说明
图1为示出在本发明的一种实施方式中采用的初始结构体(包括位于基板表面上的渐变帽盖层)的图式(通过横截面图)。
图2为直接在渐变帽盖层的上表面上形成第一能图案化低k材料后图1所示结构体的图式(通过横截面图)。
图3为在第一能图案化低k材料内形成通孔图案后图2所示结构体的图式(通过横截面图)。
图4为在图3所示结构体的整个表面之上形成第二能图案化低k材料后所述结构体的图式(通过横截面图)。
图5为在第二能图案化低k材料内形成沟道图案并恢复第一能图案化低k材料内的通孔后图4所示结构体的图式(通过横截面图)。
图6为在使图案化的第一和图案化的第二能图案化低k材料固化并使渐变帽盖层形成开口后图5所示结构体的图式(通过横截面图)。
图7为在导电填充和平坦化后图6所示结构体的图式(通过横截面图)。
图8为在结构体的暴露表面的顶上形成另一渐变帽盖层后图8所示结构体的图式(通过横截面图)。
图9为在结构体内形成至少一个空气隙的进一步处理后图9所示结构体的图式(通过横截面图)。
图10为可利用图2所示结构体并对此结构体进行互连图案化、导电填充、和平坦化形成的单镶嵌结构体的图式(通过横截面图)。
具体实施方式
本发明提供包括能图案化低k材料和渐变帽盖层(用作介电帽盖和抗反射涂层(ARC)两者)的互连结构体和制造所述互连结构体的方法,现将参考以下讨论和本申请的附图来更详细地描述本发明。注意,本申请的附图仅出于图示的目的而提供,因此,这些附图未按比例绘制。
本文公开的发明通过利用渐变帽盖层,而非包括介电帽盖和上面的ARC的单独的多层叠层,回避了由能图案化低k电介质形成的现有技术互连结构体的轮廓劣化和等离子体损坏问题。另外,本发明通过使用将光刻胶和介电材料的功能组合至一种材料中的能图案化低k材料,回避了传统互连集成的现有技术的缺点。这种能图案化低k材料在平版印刷图案化过程期间充当光刻胶,因此不需要或未使用单独的光刻胶。注意,采用的能图案化低k材料为拥有两种功能的任何材料;它们在图案化过程期间充当光刻胶,和随后在图案化后的固化过程期间转化成低k电介质。因此,能图案化低k材料的固化产物可用作芯片上永久性介电绝缘体。能图案化低k材料可由液相或气相沉积。术语“固化”或“固化的”可互换使用,其是指选自以下的工艺之一:热固化、电子束固化、紫外线(UV)固化、离子束固化、等离子体固化、微波固化或它们的组合。能图案化低k材料的“固化”产物是能图案化低k材料在其经历前述固化工艺之一后的产物。能图案化低k材料的“固化”产物与能图案化低k材料在化学性质和物理、力学和电性质上是不同的。
现将参考图1-8描述本发明的一种实施方式,图1-8示出其中形成双镶嵌互连结构体的优选实施方式,该双镶嵌互连结构体包括固化的能图案化低k材料和渐变帽盖层。尽管描述和图示了这种优选实施方式,但该方法也可用于形成单镶嵌互连结构体,参见图10。
图1示出了所利用的初始结构体10,其包括基板12和位于基板12表面上的渐变帽盖层14。基板12可包含半导体材料、电绝缘材料、导电材料、由这些材料制成的器件或结构体或它们的任何组合(例如,较低级的互连结构体)。当基板12由半导体材料构成时,可使用任何半导体,如Si、SiGe、SiGeC、SiC、Ge合金、GaAs、InAs、InP和其它III/V或II/VI化合物半导体、或者有机半导体。基板12也可为柔性基板,其含有适于高速卷对卷式(roll-to-roll)处理的器件。除了这些所列类型的半导体材料外,基板12还可为层状半导体,如Si/SiGe、Si/SiC、绝缘体上的硅(SOI)或绝缘体上的硅锗(SGOI)。这些半导体材料可形成一个器件、或者多个器件或结构体,其可为离散的或互连的。这些器件和器件结构体可用于计算、传输、储存或显示信息,如逻辑器件、存储器件、开关或显示器件。
当基板12为电绝缘材料时,该绝缘材料可为有机绝缘体、无机绝缘体或它们的组合(包括多层)。基板12还可包括能图案化低k介电材料。这些电绝缘材料可为一个器件、或者多个器件或结构体的一部分,其可为离散的或互连的。这些器件和结构体可用于逻辑应用或存储应用。
当基板12为导电材料时,该基板可包括,例如,多晶硅(polySi)、元素金属、包括至少一种元素金属的合金、金属硅化物、金属氮化物或它们的组合(包括多层)。当基板12包含半导体材料时,可在其上制造一个或多个半导体器件,例如,互补金属氧化物半导体(CMOS)器件、应变硅器件、基于碳(例如,碳纳米管和/或石墨烯)的器件、相变存储器件、磁性存储器件、磁性自旋开关器件、单一电子晶体管、量子器件、基于分子的开关和其它开关或存储器件,其可为集成电路的一部分。
直接在基板12表面上形成的渐变帽盖层14包括下部区域14A和上部区域14B;在上部与下部区域之间,可存在至少一个中间(即,过渡)区域14C。这种至少一个中间区域14C通过使用与形成下部区域14A和上部区域14B中使用的不同比例的前体形成。因此,在渐变帽盖层内组成的渐变是沿着相对于基板12表面的垂直方向。
本发明渐变帽盖层14实施和增强集成能图案化低k材料的两个必要功能:上部区域14B的抗反射涂层(ARC)功能,和下部区域14A的Cu阻挡(帽盖)层功能。由于将这两个功能赋予渐变帽盖层14,渐变帽盖层14的厚度可以小于两个单独的ARC和帽盖层的组合厚度。而且,这种渐变帽盖层14消除了两层结构的界面。因此可避免两层结构中潜在的粘附问题。
渐变帽盖层的上部区域14B应具有以下一般的ARC特性:(i)其在平版印刷图案化过程期间充当抗反射涂层(ARC);(ii)其能经受高温BEOL集成处理(最高达500℃);(iii)其防止基板引起的抗蚀剂(如,能图案化低k材料)中毒;(iv)其提供竖直壁轮廓以及能图案化低k材料与ARC层之间充分的蚀刻选择性;(v)其在芯片中用作永久性介电层(低介电常数,优选k<5,更优选k<3.6);和(vi)其与常规BEOL集成相容并制造可靠的硬件。
渐变帽盖层的下部区域14A应具有以下一般的帽盖特性。也就是说,渐变帽盖层14的下部区域14A具有介电帽盖的性质:(1)其充当有效的Cu扩散阻挡物,以防止任何化学品的扩散使导体(如Cu或Cu合金)的导电性和可靠性劣化的任何不利影响;(2)其增强所得互连结构体或器件的电迁移可靠性性能;(3)其在制造过程和可靠性测试期间应至少提供与其相邻层的足够粘附。渐变帽盖层14的下部区域14A可包含任何介电帽盖材料的原子,包括:Si和C;Si和N;Si和O;Si、O和N的原子;Si、C和O;Si、C、O和H;和Si、C、N和H的原子。另外,渐变帽盖14的下部区域14A可包括Ru、Co、W和P的原子。
渐变帽盖层14是利用常规的气相沉积工艺形成的,所述气相沉积工艺例如,化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、和原子层沉积(ALD)。在一些实施方式中,在形成渐变帽盖层14的一部分中,可使用液相沉积工艺。在形成渐变帽盖层14中,在形成渐变帽盖层的下部区域中,使用第一前体,即,介电帽盖前体,而在形成渐变帽盖层的上部区域中,使用第二前体,即,ARC前体。在一种实施方式中,通过至少三个离散层形成渐变帽盖层:使用帽盖前体的底层、和使用ARC前体的顶层、和采用两种前体的混合物的中间层。在另一种实施方式中,渐变帽盖层为具有沿着竖直方向逐渐变化的组成的连续层。这种类型的渐变帽盖层是通过同时使用两种前体形成的,且各个区域通过逐渐改变两种前体的比例形成。
渐变帽盖层14典型地具有2nm至200nm的厚度,其中10nm至100nm的厚度是更典型的。
渐变帽盖层14的上部区域14B具有如上所述的抗反射涂层性质。现针对渐变帽盖14的上部区域14B的特性(i)-(v),提供进一步的讨论。
特性(i),即,渐变帽盖层14的上部区域14B在平版印刷图案化过程期间充当抗反射涂层(ARC):渐变帽盖层14的上部区域14B可设计成控制传输通过能图案化低k材料(将在其后形成)、从基板反射、和回到能图案化低k材料的光的反射,其中上部区域14B可干涉入射光和导致能图案化低k材料不平均曝光(沿着竖直方向)。渐变帽盖层14的上部区域14B的光学常数在此定义为折射率n和消光系数k。通常,可对渐变帽盖层14的上部区域14B建模,以找出ARC的最佳光学参数(n和k值)以及最佳厚度。在波长为365、248、193和157、126nm以及远紫外线(13.4nm)辐射下,渐变帽盖层14的上部区域14B的优选光学常数在n=1.2至n=3.0和k=0.01至k=0.9的范围中,优选在n=1.4至n=2.6和k=0.02至k=0.78的范围中。优化渐变帽盖层14的上部区域14B的光学性质和厚度,以在后续图案化步骤期间获得能图案化低k材料的最佳分辨率和轮廓控制,其是本领域技术人员熟知的。
特性(ii),即,渐变帽盖层14的上部区域14B可经受高温BEOL集成处理(最高达500℃):渐变帽盖层14,尤其是上部区域14B,必须经受住在BEOL集成期间严酷的处理条件。这些包括高温和强烈的UV固化。工艺温度可高达450℃。UV固化工艺中使用的光的强度可高达数十J/cm2
特性(iii),即,渐变帽盖层14的上部区域14B防止基板引起的抗蚀剂(如,能图案化低k材料)中毒:采用的能图案化低k材料优选化学增强的抗蚀剂。它们可通过来自下面的基板12或来自渐变帽盖层的下部区域14A的任何碱性污染物而中毒。因此,渐变帽盖层14的上部区域14B必须用作额外的阻挡层,以防止来自下面的基板的碱性污染物扩散至能图案化低k材料中,而使化学增强的能图案化低k材料中毒。
特性(iv),即,渐变帽盖层14的上部区域14B提供竖直壁轮廓以及能图案化低k材料和渐变帽盖层14之间充分的蚀刻选择性:渐变帽盖层14的上部区域14B应提供充分的反射率控制(其中下面的基板在特定的平版印刷波长下的反射率小于8%,优选小于5%,更优选小于2%)且产生竖直侧晶圆轮廓。渐变帽盖层14的上部区域14B还应产生没有底脚(footing)的无残余物的图案。而且,能图案化低k材料的粘附应足以防止图案在图案化和随后的UV固化期间塌陷。渐变帽盖层14的上部区域14B还应设计成使得在渐变帽盖形成开口的过程期间,蚀刻选择性充分高,使得渐变帽盖叠层的开口不侵蚀大部分的能图案化低k材料和使其图案轮廓明显劣化。蚀刻选择性(渐变帽盖对能图案化低k材料的蚀刻速率之比)大于1,优选大于3,更优选大于5。
特性(v),即,渐变帽盖层14的上部区域14B用作芯片中的永久性介电层:包括上部区域14B和下部区域14A的渐变帽盖层14在能图案化低k材料的图案化和固化后保留。其用作芯片中的永久性介电层。因此,包括上部区域14B的渐变帽盖层14(在固化后)必须符合芯片上介电绝缘体的要求,包括电性质(低介电常数:优选k小于5,更优选k小于3.6;电介质击穿场:大于2MV/cm,优选大于4MV/cm,更优选大于6MV/cm;漏电:小于10-5A/cm2,优选小于10-7A/cm2,更优选小于10-9A/cm2);力学性质(粘附能等于或大于集成膜叠层的最弱层的内聚能);必须通过电和力学可靠性测试。
渐变帽盖层14的上部区域14B可包括与无机抗反射涂层有关的原子,例如:Si、C、O、N和H的原子;Si和C的原子;Si、O和C的原子;Si、C、O和H的原子;W、Co、Ru、Ta、Ti、和Ru的原子等。
在一种实施方式中,渐变帽盖层14的上部区域14B为包括M、C(碳)和H(氢)的原子的无机组合物,其中M选自以下中的至少一种原子:Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf和La。这种无机组合物可任选包括O、N、S、F的原子或它们的混合。在一些实施方式中,M优选Si。在一些实施方式中,这种无机组合物也可称为气相沉积的M:C:H:任选的X材料,其中M如上定义,且X为O、N、S和F中的至少一种元素。
在另一种实施方式中,渐变帽盖层为通过PECVD方法沉积的渐变氮碳化硅膜。为了合成渐变氮碳化硅膜,需要以下反应物气体的组合:硅源、碳源、和氮源。另外,反应物气体必须以变化的化学计量引入,以实现需要的性质。气体混合物还可包含惰性载气,如氦或氩。
在本发明内,无机组合物的含硅前体包含:任何包括选自以下的分子的含Si化合物,具有分子式SiR4的硅烷(SiH4)衍生物,含Si的环状化合物包括环碳硅烷,其中取代基R可相同或不同,且选自H、烷基、苯基、乙烯基、烯丙基、烯基或炔基(可为直链、支链、环状、多环状的,且可用含氮取代基官能化);任何含Si的环状化合物包括环硅烷、环碳硅烷。
优选的硅前体包括但不限于:硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、四甲基硅烷、乙基硅烷、二乙基硅烷、三乙基硅烷、四乙基硅烷、乙基甲基硅烷、三乙基甲基硅烷、乙基二甲基硅烷、乙基三甲基硅烷、二乙基二甲基硅烷、1,1,3,3,-四氢化-1,3-二硅杂环丁烷;1,3-二硅杂环丁烷、1,3-二甲基-1,3-二氢化-1,3-二硅(disilyl)环丁烷;1,1,3,3,-四甲基-1,3-二硅杂环丁烷、1,1,3,3,5,5-六氢化-1,3,5-三硅烷、1,1,3,3,5,5-六甲基-1,3,5-三硅烷、1,1,1,4,4,4,-六氢化-1,4-二硅杂丁烷、和1,4-双-三氢甲硅基苯。还有对应的间位取代的异构体,如二甲基-1-丙基-3-硅杂丁烷;2-硅杂丙烷、1,3-二硅杂环丁烷、1,3-二硅杂丙烷、1,5-二硅杂戊烷、或1,4-双-三氢甲硅基苯。
单一前体,如硅烷胺Si(Net)4,可用作硅、碳和氮源。另一优选方法为这样的前体的混合物:含硅源,如硅烷、二硅烷、或烷基硅烷(如四甲基硅烷、或三甲基硅烷);和含氮源,如氨、胺、腈、氨基类、叠氮类、偶氮类、氢偶氮类(肼类,hydrizo)。还可需要额外的碳源和/或含碳和氮源,其由直链、支链、环状或多环状烃骨架-[CH2]n-(其中n大于或等于1)构成,且可被选自烯(-C=C-)、炔(-C≡C-)、胺(-C-N-)、腈(-C≡N)、氨基(-NH2)、叠氮基(-N=N=N-)和偶氮(-N=N-)的官能团取代。在本发明内,烃骨架可为直链、支链、或环状的,且可包括直链、支链和环状烃部分的混合。这些有机基团是熟知的,且具有本领域中同样熟知的标准定义。这些有机基团可存在于任何有机化合物中。
本发明方法可进一步包含提供平行板反应器的步骤,该平行板反应器具有:约85cm2至约750cm2的基板卡盘的面积;和在基板与顶部电极之间约1cm至约12cm的间隙。向电极之一施加约0.45MHz至约200MHz频率的高频RF功率。任选地,可对电极之一施加频率低于第一RF功率的额外RF功率。将提供硅、碳和氮源的单一来源前体或前体混合物引入反应器中。
沉积步骤使用的条件可根据渐变SiCN(H)膜的期望的最终性质而变化。大体上,用于提供包含元素Si、C、N、H的SiCN(H)的条件包括:将基板温度设定在从约100℃至约700℃的范围内;将高频RF功率密度设定在从约0.1W/cm2至约2.0W/cm2的范围内;将气体流速设定在从约5sccm至约10,000sccm的范围内,将惰性载气、如氦(或/和氩)流速设定在从约10sccm至约10,000sccm的范围内;将反应器压力设定在从约1托至约10托的范围内;和将高频RF功率设定在从约10W至约1,000W的范围内。任选地,可将在从约10W至约600W的范围内的较低频率的功率加入等离子体。当基板卡盘的导电面积改变X倍时,施加于基板卡盘的RF功率也改变X倍。硅烷、碳和/或氮气体前体的气流以在从约10sccm至约1,000sccm的范围内的流速流入反应器中。
虽然在上述实例中使用气体前体,但也可使用液体前体进行沉积。
现将描述本发明的第一方法的实例以制作SiCNH材料:将200mm基板放入PECVD反应器中200°-600℃的加热晶圆卡盘上。在本发明内可使用任何PECVD沉积反应器。然后,稳定气体和液体前体流,以达到在1-10托范围中的压力,和对反应器莲蓬头施加RF辐射约5至约500秒的时间。
在一种优选实施方式中,渐变帽盖层14的上部区域14B包括Si:C:H:X的原子。这些含Si材料由至少一种含Si前体沉积。更特别的是,在添加或未添加含氮和/或氧和/或氟和/或硫前体的情况下,由至少一种含Si前体沉积Si:C:H:X材料。采用的含硅前体可包含:任何包括选自以下的分子的含Si化合物,具有分子式SiR4的硅烷(SiH4)衍生物,含Si的环状化合物包括环碳硅烷,其中取代基R可相同或不同,且选自H、烷基、苯基、乙烯基、烯丙基、烯基或炔基(可为直链、支链、环状、多环状的,且可用含氮取代基官能化);任何含Si的环状化合物包括环硅烷、和环碳硅烷。优选的硅前体包括但不限于:硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、四甲基硅烷、乙基硅烷、二乙基硅烷、三乙基硅烷、四乙基硅烷、乙基甲基硅烷、三乙基甲基硅烷、乙基二甲基硅烷、乙基三甲基硅烷、二乙基二甲基硅烷、1,1,3,3,-四氢化-1,3-二硅杂环丁烷;1,3-二硅杂环丁烷、1,3-二甲基-1,3-二氢化-1,3-二硅环丁烷;1,1,3,3,-四甲基-1,3-二硅杂环丁烷、1,1,3,3,5,5-六氢化-1,3,5-三硅烷、1,1,3,3,5,5-六甲基-1,3,5-三硅烷、1,1,1,4,4,4,-六氢化-1,4-二硅杂丁烷、和1,4-双-三氢甲硅基苯。还可采用对应的间位取代的异构体,如二甲基-1-丙基-3-硅杂丁烷;2-硅杂丙烷、1,3-二硅杂环丁烷、1,3-二硅杂丙烷、1,5-二硅杂戊烷、或1,4-双-三氢甲硅基苯。
单一前体,如硅烷胺Si(Net)4,可用作硅、碳和氮源。另一优选方法为这样的前体的混合物:含硅源,如硅烷、二硅烷、或烷基硅烷(如四甲基硅烷、或三甲基硅烷);和含氮源,如氨、胺、腈、氨基类、叠氮类、偶氮类、氢偶氮类(肼类)。还可需要额外的碳源和/或含碳和氮源,其由直链、支链、环状或多环状烃骨架-[CH2]n-(其中n大于或等于1)构成,且可被选自烯(-C=C-)、炔(-C≡C-)、胺(-C-N-)、腈(-C≡N)、氨基(-NH2)、叠氮基(-N=N=N-)和偶氮(-N=N-)的官能团取代。烃骨架可为直链、支链、或环状的,且可包括直链、支链和环状烃部分的混合。这些有机基团是熟知的,且具有本领域中同样熟知的标准定义。这些有机基团可存在于任何有机化合物中。
这样的材料中M的原子%范围如下:优选0.1原子%至95原子%,更优选0.5原子%至95原子%,最优选1原子%至60原子%,和最高度优选5原子%至50原子%。上部区域14B中C的原子%范围如下:优选0.1原子%至95原子%,更优选0.5原子%至95原子%,最优选1原子%至60原子%,和最高度优选5原子%至50原子%。上部区域14B中H的原子%范围如下:优选0.1原子%至50原子%,更优选0.5原子%至50原子%,最优选1原子%至40原子%,和最高度优选5原子%至30原子%。上部区域14B中X的原子%范围如下:优选0原子%至70原子%,更优选0.5原子%至70原子%,最优选1原子%至40原子%,和最高度优选5原子%至30原子%。
包括M、C和H的原子的渐变帽盖层14的上部区域14B具有可调节的折射率和消光系数,其可任选沿着膜厚度渐变,以匹配基板和能图案化低k材料的光学性质。渐变帽盖层14的上部区域14B的光学性质和平版印刷特征大幅优于通过现有技术获得的光学性质和平版印刷特征。
注意,通过改变工艺参数,如偏压、气体混合物、气流、压力和沉积温度,可改变渐变帽盖层14的上部区域14B的光学常数。另外,起始前体的组成以及含氧、氮、氟、和硫前体的引入也允许对这些膜进行调节。渐变帽盖层14的上部区域14B的光学常数在此定义为折射率n和消光系数k。
在另一种实施方式中,渐变帽盖层14的上部区域14B通过液体沉积工艺形成,该液体沉积工艺包括例如,旋涂、喷涂、浸涂、刷涂、蒸发或化学溶液沉积。这种液体沉积的上部区域14B通过以下形成:在渐变层的下部区域14A上,沉积具有ARC性质的膜;或通过相位分离,用包含类ARC组分的混合物形成渐变帽盖层。通过液体沉积形成的渐变帽盖层14的这种上部区域14B包含具有至少一个包含式M-RA的单体单元的聚合物,其中M为以下元素中的至少一种:Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf和La,且RA为发色团。这样的ARC描述在美国专利公开第2009/0081418号中,其整体内容在此以引用的方式并入本文中。在一些实施方式中,单体单元内的M还可键合至包括元素C和H的有机配体、交联组分、另一发色团或它们的混合物。有机配体可进一步包括元素O、N、S和F中之一。当有机配体键合至M时,其通过C、O、N、S、或F键合至M’。
在其它实施方式中,通过液体沉积形成的渐变帽盖层14的上部区域14B除了由式M-RA表示的至少一种单体单元外,还可包括至少一种第二单体单元。当存在至少一种第二单体单元时,其具有式M’-RB,其中M’为以下元素中至少之一:Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf和La,且RB为交联剂。M和M’可为相同或不同的元素。在这两个式中,单体单元内的M和M’还可键合至包括C和H的原子的有机配体、交联组分、发色团或它们的混合物。有机配体可进一步包括元素O、N、S和F中之一。当有机配体键合至M和M’时,其通过C、O、N、S、或F键合至M或M’。
包含M-RA或M-RA和M’-RB的液体组合物还可包含至少一种额外组分,其包括单独的交联剂、酸产生剂或溶剂。
当采用液体沉积时,通过包括无机前体的液体组合物的液相沉积,形成渐变帽盖层14的上部区域14B,所述无机前体包括元素M、C和H,其中M为以下元素中至少之一:Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf和La。形成上部区域14B所使用的无机前体可任选包括元素O、N、S、F或它们的混合。在一些实施方式中,M优选Si。液体组合物除了无机前体以外,还包括发色团、交联组分、酸产生剂和溶剂。
在液体沉积实施方式中使用的无机组合物的一种实施方式包含M-RA和M’-RB单元,其中M和M’为以下元素中至少之一:Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf和La,或选自第IIIB族至第VIB族、第IIIA族、和第IVA族。形成上部区域14B所使用的无机前体可任选包括元素O、N、S、F或它们的混合。上部区域14B组合物的一种实施方式包含MOy单元,其可为许多不同金属氧化物形式中的任一个。对于特定金属的这样的金属氧化物形式的示例性列表如下:MO3,其中M为Sc、Y、镧系元素、和第IIIA族(B、Al、Ga或In);MO4,其中M为第IVB族(Ti、Zr或Hf)、和第IVA族(Sn或Ge);MO5,其中M为第VB族(V、Nb或Ta)、或P。还已知第VB族金属形成稳定的金属氧合形式:LMO3,其中L为氧合键(oxo);LMO;许多所列金属形成稳定的乙酰乙酸金属络合物;LMO;许多所列金属形成稳定的环戊二烯基金属络合物;LMO;其中L为烷氧基配体;M为Sc、Y、或镧系元素、第IVB族、和第VB族;或LMO;其中L为烷基或苯基配体;M为第IIIA族或第IVA族。
参考本发明的以下优选实施方式,更详细地定义液体沉积的上部区域14B中可使用的发色团、交联组分和酸产生剂。在优选的实施方式中,渐变帽盖层14的上部区域14B通过液体沉积形成,其特征为存在具有选自以下的单元的含硅聚合物:硅氧烷、硅烷、碳硅烷、氧碳硅烷、倍半硅氧烷、烷基三烷氧基硅烷、四烷氧基硅烷、或含硅和悬垂的发色团部分。含有这些单元的聚合物可为在聚合物骨架中和/或悬垂基团中含有这些单元的聚合物。优选地,聚合物在其骨架中含有优选的单元。聚合物优选聚合物、共聚物、包括聚合物和/或共聚物的任意组合的至少两种的共混物,其中所述聚合物包括一种单体,和共聚物包括至少两种单体,且其中聚合物的单体和共聚物的单体选自:硅氧烷、硅烷、碳硅烷、氧碳硅烷、倍半硅氧烷、烷基三烷氧基硅烷、四烷氧基硅烷、不饱和的烷基取代的倍半硅氧烷、不饱和的烷基取代的硅氧烷、不饱和的烷基取代的硅烷、不饱和的烷基取代的碳硅烷、不饱和的烷基取代的氧碳硅烷、碳硅烷取代的倍半硅氧烷、碳硅烷取代的硅氧烷、碳硅烷取代的硅烷、碳硅烷取代的碳硅烷、碳硅烷取代的氧碳硅烷、氧碳硅烷取代的倍半硅氧烷、氧碳硅烷取代的硅氧烷、氧碳硅烷取代的硅烷、氧碳硅烷取代的碳硅烷、和氧碳硅烷取代的氧碳硅烷。
聚合物应具有有益于通过常规旋涂形成ARC型层的溶解和膜形成特性。除了以下讨论的发色团部分,含硅聚合物还优选含有沿着聚合物分布的多个反应性位点以与交联组分反应。
合适的聚合物的实例包括具有倍半硅氧烷结构的聚合物(梯形、笼式、或网状)。这样的聚合物优选含有具有以下结构(I)和(II)的单体:
Figure BDA0000146270840000151
Figure BDA0000146270840000161
其中RC包含发色团且RD包含与交联组分反应的反应性位点。
或者,还可使用含有单体(I)和(II)的一般直链有机硅氧烷聚合物。在一些例子中,聚合物含有包括直链结构的单体(I)和(II)的各种组合,使得含有RC的单体的平均结构可表示为以下结构(III),且含有RD的单体的平均结构可表示为以下结构(IV):
Figure BDA0000146270840000162
其中x为从1至1.5。理论上,x可大于1.5,但这样的组成通常不具有适于旋涂工艺的特性(例如,它们形成不期望的凝胶或沉淀物相)。
通常,优选倍半硅氧烷聚合物。如果使用一般的有机硅氧烷聚合物(例如,直链结构(I)和(III)的单体),则优选地,与基于倍半硅氧烷的配方相比,交联度增加。
含有发色团的基团RC(或以上一般描述中的RA)可含有任何合适的发色团,该发色团(i)可接枝到含硅聚合物(或以上定义的一般单体的M部分)上;(ii)在成像波长下,具有合适的辐射吸收特性;和(iii)不会不利地影响层或任何上面的层的性能。
优选的发色团部分包括:苯和其衍生物、稠二萘、芘、萤蒽、蒽酮、二苯甲酮、噻吨酮、和蒽。也可使用蒽衍生物,如美国专利第4,371,605号中所述的蒽衍生物;此专利的公开内容在此以引用的方式并入本文中。在一种实施方式中,酚、羟基苯乙烯、和9-蒽甲醇为优选的发色团。发色团部分优选没有氮,除了可能失活的氨基氮,如酚噻嗪中的。
发色团部分可通过酸催化的O-烷基化或C-烷基化(如通过Friedel-Crafts烷基化)而化学附着。发色团部分还可通过母体聚合物上SiH键的氢化硅烷化而化学附着。或者,发色团部分可通过酯化机理而附着。用于Friedel-Crafts催化的优选酸为HCl。
优选地,官能团的15至40%含有发色团部分。在一些例子中,可在形成含硅聚合物前,将发色团键合至单体。附着发色团的位点优选芳族基团,如羟苄基或羟甲基苄基。或者,发色团可通过与其它部分(如环己醇或其它醇)的反应而附着。附着发色团的反应优选醇OH基的酯化。
RD(或在以上一般描述中的RB)包含与交联组分反应的反应性位点。RD中含有的优选反应性部分为醇,更优选芳族醇(如,羟苄基、酚、羟甲基苄基等)或环脂族醇(如,环己醇(cyclohexanoyl))。或者,可使用非环状醇,如氟碳醇、脂族醇、氨基、乙烯醚、和环氧化物。
优选地,液体沉积的上部区域14B的含硅聚合物(在附着发色团前)为聚(4-羟苄基倍半硅氧烷)。其它倍半硅氧烷聚合物的实例包括:聚(对羟苯基乙基倍半硅氧烷)、聚(对羟苯基乙基倍半硅氧烷-共聚-对羟基-α-甲基苄基倍半硅氧烷)、聚(对羟苯基乙基倍半硅氧烷-共聚-甲氧基苄基倍半硅氧烷)、聚(对羟苯基乙基倍半硅氧烷-共聚-叔丁基倍半硅氧烷)、聚(对羟苯基乙基倍半硅氧烷-共聚-环己基倍半硅氧烷)、聚(对羟苯基乙基倍半硅氧烷-共聚-苯基倍半硅氧烷)、聚(对羟苯基乙基倍半硅氧烷-共聚-双环庚基倍半硅氧烷)、聚(对羟基-α-甲基苄基倍半硅氧烷)、聚(对羟基-α-甲基苄基倍半硅氧烷-共聚-对羟基苄基倍半硅氧烷)、聚(对羟基-α-甲基苄基倍半硅氧烷-共聚-甲氧基苄基倍半硅氧烷)、聚(对羟基-α-甲基苄基倍半硅氧烷-共聚-叔丁基倍半硅氧烷)、聚(对羟基-α-甲基苄基倍半硅氧烷-共聚-环己基倍半硅氧烷)、聚(对羟基-α-甲基苄基倍半硅氧烷-共聚-苯基倍半硅氧烷)、聚(对羟基-α-甲基苄基倍半硅氧烷-共聚-双环庚基倍半硅氧烷)、聚(对羟基苄基倍半硅氧烷-共聚-对羟苯基乙基倍半硅氧烷)、和聚(对羟基-α-甲基苄基倍半硅氧烷-共聚-α-甲基苄基倍半硅氧烷)。
在形成渐变帽盖层14的液体沉积的上部区域14B中可使用的含Si聚合物在与交联组分反应前,优选具有至少1000的重均分子量,更优选1000-10000的重均分子量。
渐变帽盖层14的液体沉积的上部区域14B的交联组分优选为可与含有SiO的聚合物以通过产生的酸和/或通过加热催化的方式反应的交联剂。这种交联组分在性质上可为无机的或有机的。其可为小的化合物(与聚合物或共聚物相比)或聚合物、共聚物、或包括聚合物和/或共聚物的任意组合的至少两种的共混物,其中聚合物包括一种单体,且共聚物包括至少两种单体。通常,液体沉积的抗反射组合物中使用的交联组分可为负光刻胶领域中已知的任何合适的交联剂,其另外与组合物的其它选择的组分相容。交联剂优选在产生的酸的存在下,发挥使聚合物组分交联的作用。优选的交联剂为甘脲化合物,如四甲氧基甲基甘脲、甲基丙基四甲氧基甲基甘脲、和甲基苯基四甲氧基甲基甘脲,其可从American Cyanamid Company以商标POWDERLINK购得。其它可能的交联剂包括:2,6-双(羟甲基)-对甲酚,具有以下结构的化合物:
Figure BDA0000146270840000191
包括它们的类似物和衍生物,如在日本特许公开专利申请(Kokai)第1-293339号中所述的那些,以及醚化氨基树脂,例如甲基化或丁基化的三聚氰胺树脂(分别为N-甲氧基甲基-三聚氰胺或N-丁氧基甲基-三聚氰胺)或甲基化/丁基化甘脲,例如在加拿大专利第1204547号中所述的。还可使用其它交联剂,如双环氧化物或双酚(例如,双酚-A)。可使用交联剂的组合。交联组分可化学键合至含Si的聚合物骨架。
在另一种实施方式中,交联组分是含硅聚合物,其具有至少一种选自以下的单元:硅氧烷、硅烷、碳硅烷、氧碳硅烷、倍半硅氧烷、烷基三烷氧基硅烷、和四烷氧基硅烷。聚合物优选聚合物、共聚物、包括聚合物和/或共聚物的任意组合的至少两种的共混物,其中聚合物包括一种单体,和共聚物包括至少两种单体,且其中聚合物的单体和共聚物的单体选自:硅氧烷、硅烷、碳硅烷、氧碳硅烷、倍半硅氧烷、烷基三烷氧基硅烷、四烷氧基硅烷、不饱和的烷基取代的倍半硅氧烷、不饱和的烷基取代的硅氧烷、不饱和的烷基取代的硅烷、不饱和的烷基取代的碳硅烷、不饱和的烷基取代的氧碳硅烷、碳硅烷取代的倍半硅氧烷、碳硅烷取代的硅氧烷、碳硅烷取代的硅烷、碳硅烷取代的碳硅烷、碳硅烷取代的氧碳硅烷、氧碳硅烷取代的倍半硅氧烷、氧碳硅烷取代的硅氧烷、氧碳硅烷取代的硅烷、氧碳硅烷取代的碳硅烷、和氧碳硅烷取代的氧碳硅烷。
液体沉积的组合物中使用的酸产生剂优选在热处理时释放酸的酸产生剂化合物。多种已知的热酸产生剂均适合采用,如:2,4,4,6-四溴环己二烯酮、甲苯磺酸安息香、甲苯磺酸2-硝基苄基酯和有机磺酸的其它烷基酯、嵌段烷基磷酸、嵌段全氟烷基磺酸、烷基磷酸/胺络合物、全氟烷基酸季铵化合物(quat),其中可通过共价键、胺和季铵封端(blocking)。在活化时产生磺酸的化合物通常是合适的。其它合适的热活化的酸产生剂描述在美国专利第5,886,102号和第5,939,236号中;这两份专利的公开内容在此以引用的方式并入本文中。如果需要,可采用辐射敏感的酸产生剂作为热活化的酸产生剂的替代物,或与热活化的酸产生剂组合。合适的辐射敏感的酸产生剂的实例描述于美国专利第5,886,102号和第5,939,236号中。还可使用在抗蚀剂领域中已知的其它辐射敏感的酸产生剂,只要它们与抗反射组合物的其它组分相容。在使用辐射敏感的酸产生剂时,可通过施加适当辐射以引起酸产生,进而催化交联反应,降低组合物的固化(交联)温度。即使使用辐射敏感的酸产生剂,优选热处理该组合物,以加速交联过程(例如,对于生产线中的晶圆)。
液体沉积工艺中使用的组合物优选含有(基于固体):(i)10wt%至98wt.%,更优选70wt.%至80wt.%的包括M的聚合物;(ii)1wt%至80wt.%,更优选3wt.%至25%,最优选5wt.%至25wt.%的交联组分;和(iii)1wt.%至20wt.%,更优选1wt.%至15wt.%的酸产生剂。
当渐变帽盖层14的上部区域14B通过液体沉积工艺形成时,可使用任何液体沉积工艺,包括例如:旋涂、喷涂、浸涂、刷涂、蒸发或化学溶液沉积。在液体沉积上部区域14B后,典型的是(但未必总是)使用沉积后烘焙步骤,以除去不想要的组分(如溶剂),和实现交联。在进行时,烘焙步骤在60℃至400℃的温度下进行,其中80℃至300℃的烘焙温度是进一步更优选的。烘焙步骤的持续时间是变化的,且对于本发明的实施不关键。已烘焙且先前液体沉积的ARC 16可进一步经历固化过程。在本发明中,通过热固化、电子束固化、紫外线(UV)固化、离子束固化、等离子体固化、微波固化或其任何组合进行固化。
渐变帽盖层14的下部区域14A可包含任何介电帽盖材料的原子,包括以下原子:Si和C;Si和N;Si和O;Si、O和N;Si、C、O和H;和Si、C、N和H。另外,渐变帽盖层14的下部区域14A可包括Ru、Co、W和P的原子。
渐变帽盖层14的下部区域14A(在上部区域14B之前形成)可利用常规的沉积工艺形成,所述常规的沉积工艺,例如,化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、化学溶液沉积、或蒸发。用于形成渐变帽盖层14的下部区域14A的前体包括可用于形成离散电介质帽盖层的任何常规前体。
如上所述,渐变帽盖层14可由两种一般的方法形成:(1)形成至少三个离散层,其中顶层为用ARC前体或ARC前体的组合沉积的类ARC层,底层为用帽盖前体或帽盖前体的组合沉积的帽盖层,和使用ARC前体和帽盖前体的组合的至少一个中间层;(2)形成具有沿着竖直方向逐渐变化的组成的连续层。形成至少三个离散层可通过在一次沉积中沉积这些层或针对各层使用单独的工具来实现。形成连续渐变帽盖层可通过在单一工具中逐渐改变帽盖前体和ARC前体之比来实现,其中下部区域14A主要包含帽盖前体,且上部区域14B主要包含ARC前体。
在一些实施方式中,可对已沉积的渐变帽盖层14进行沉积后处理,以改善渐变帽盖层14的整个层或表面的性质。这种沉积后处理可选自热处理、电磁波(如紫外光)辐照、粒子束(如电子束、或离子束)、等离子体处理、通过气相或液相进行的化学处理(如施加单层表面改性剂)或它们的任何组合。这种沉积后处理可为毯覆式或图案式。沉积后处理增强渐变帽盖层14和/或含有渐变帽盖层14的膜叠层的化学、物理、电、和/或力学性质,如粘附强度。化学性质包括表面官能团的性质和/或位置、以及亲水性。物理性质包括密度、吸水性、和导热性。力学性质包括模量、硬度、内聚强度、韧性、对破裂的抗性和与其相邻层的粘附强度。电性质包括介电常数、击穿电场、和漏电流。
热处理不应超过下面的基板可承受的温度,通常为500℃。这种热处理可在惰性环境中或化学环境内在气相或液相中进行。这种处理步骤可在与用于形成渐变帽盖层14的相同工具中进行,也可不在其中进行。
通过电磁波辐照进行的沉积后处理可以利用紫外(UV)光、微波等。UV光可为波长范围从100nm至1,000nm的宽带。其还可为准分子激光或其它UV光源产生的UV光。UV处理剂量可为数mJ/cm2至数千J/cm2。这种辐照处理可在环境温度或在不高于500℃的升高的温度下进行。这种辐照处理可在惰性环境中或化学环境内在气相或液相中进行。在一种实施方式中,可采用以下条件:辐射时间从10秒至30分钟,温度从室温至500℃,且环境包括真空或气体,如惰性气体、N2、H2、O2、NH3、烃、和SiH4。这种处理步骤可在与用于形成渐变帽盖层14的相同工具中进行,也可不在其中进行。
通过等离子体处理的沉积后处理可选自氧化等离子体、还原等离子体或中性等离子体。氧化等离子体包括例如O2、CO、和CO2。还原等离子体包括例如H2、N2、NH3、和SiH4。中性等离子体包括例如Ar和He。可采用从1秒至10分钟的等离子体处理时间和从室温至400℃的等离子体处理温度。这种处理步骤可在与用于形成渐变帽盖层14的相同工具中进行,也可不在其中进行。
沉积后化学处理可在气相或液相中进行。在一种实施方式中,可采用以下条件:处理时间从1秒至30分钟,温度从室温至500℃。适于这种化学处理的化学品可选自改善渐变帽盖层14的化学、物理、电、和/或力学性质(如粘附强度)的任何化学品。这种化学处理可穿透整个渐变帽盖层14,或仅限于渐变帽盖层14的表面。示例性化学品包括粘附促进剂,如硅烷、硅氧烷、和甲硅烷基化试剂。这种处理步骤可在与用于形成渐变帽盖层14的相同工具中进行,也可不在其中进行。
这样的沉积后处理的实例公开于例如美国专利申请公开第2008/0173984号中,其全文在此以引用的方式并入本文中。
参考图2,将光刻胶和低k电介质的功能组合到单一材料中的第一能图案化低k材料18直接提供在渐变帽盖层14的表面上。利用包括例如旋涂、浸涂、刷涂、刮涂、和喷墨分配的沉积工艺,提供(即,形成)第一能图案化低k材料18。在施加第一能图案化低k材料18后,典型的是(但未必总是)需要沉积后烘焙步骤,以除去不想要的组分,如溶剂。在进行时,烘焙步骤可在40℃至200℃的温度下进行,其中进一步更优选60℃至140℃的烘焙温度。烘焙步骤的持续时间在10秒至600秒之间变化,并且其在本文中不关键。
第一能图案化低k材料18的厚度可根据芯片的需求和用于形成其的技术以及层的材料组成而变化。典型地,第一能图案化低k材料18具有1nm至50,000nm的厚度,且20nm至5,000nm的厚度更典型。
如上所述,第一能图案化低k材料18用作光刻胶,且在图案化后处理期间通过热、UV光、电子束、离子束、微波、等离子体固化、热固化或它们的组合转化为低k材料。例如,第一能图案化低k材料18可为包含以下的能图案化组合物:官能化聚合物、共聚物、或包括具有一个或多个酸敏能成像基团的聚合物和/或共聚物的任意组合的至少两种的共混物。在后续处理后,这种能图案化组合物可转化成低k材料。注意,当能图案化低k材料18由聚合物构成时,该聚合物包括至少一种单体(其详细描述如下)。当能图案化低k材料18由共聚物构成时,该共聚物包括至少两种单体(其详细描述如下)。聚合物和/或共聚物的共混物包括下述聚合物和/或共聚物的任意组合的至少两种。
一般地说,可用作层18的能图案化低k材料是包含以下的能图案化组合物:聚合物、共聚物、或包括聚合物和/或共聚物的任意组合的至少两种的共混物,其中聚合物包括一种单体,和共聚物包括至少两种单体,且其中聚合物的单体和共聚物的单体选自:硅氧烷、硅烷、碳硅烷、氧碳硅烷、倍半硅氧烷、烷基三烷氧基硅烷、四烷氧基硅烷、不饱和的烷基取代的倍半硅氧烷、不饱和的烷基取代的硅氧烷、不饱和的烷基取代的硅烷、不饱和的烷基取代的碳硅烷、不饱和的烷基取代的氧碳硅烷、碳硅烷取代的倍半硅氧烷、碳硅烷取代的硅氧烷、碳硅烷取代的硅烷、碳硅烷取代的碳硅烷、碳硅烷取代的氧碳硅烷、氧碳硅烷取代的倍半硅氧烷、氧碳硅烷取代的硅氧烷、氧碳硅烷取代的硅烷、氧碳硅烷取代的碳硅烷、和氧碳硅烷取代的氧碳硅烷。
更具体地说,第一能图案化低k材料18是包含一种单体的光敏/酸敏聚合物或至少两种单体的共聚物的能图案化组合物,所述单体选自:硅氧烷、硅烷、碳硅烷、氧碳硅烷、有机硅酸盐、倍半硅氧烷和其类似物。第一能图案化低k材料18也可为包含一种单体的聚合物、或至少两种单体的共聚物的能图案化组合物,所述单体选自:烷基三烷氧基硅烷、四烷氧基硅烷、不饱和的烷基(如乙烯基)取代的倍半硅氧烷、不饱和的烷基取代的硅氧烷、不饱和的烷基取代的硅烷、不饱和的烷基取代的碳硅烷、不饱和的烷基取代的氧碳硅烷、碳硅烷取代的倍半硅氧烷、碳硅烷取代的硅氧烷、碳硅烷取代的硅烷、碳硅烷取代的碳硅烷、碳硅烷取代的氧碳硅烷、氧碳硅烷取代的倍半硅氧烷、氧碳硅烷取代的硅氧烷、氧碳硅烷取代的硅烷、氧碳硅烷取代的碳硅烷、和氧碳硅烷取代的氧碳硅烷。另外,能图案化低k介电材料18可包含包括聚合物和/或共聚物的任意组合的至少两种的共混物,其中聚合物包括一种单体,和共聚物包括至少两种单体,且其中聚合物的单体和共聚物的单体选自:硅氧烷、硅烷、碳硅烷、氧碳硅烷、倍半硅氧烷、烷基三烷氧基硅烷、四烷氧基硅烷、不饱和的烷基取代的倍半硅氧烷、不饱和的烷基取代的硅氧烷、不饱和的烷基取代的硅烷、不饱和的烷基取代的碳硅烷、不饱和的烷基取代的氧碳硅烷、碳硅烷取代的倍半硅氧烷、碳硅烷取代的硅氧烷、碳硅烷取代的硅烷、碳硅烷取代的碳硅烷、碳硅烷取代的氧碳硅烷、氧碳硅烷取代的倍半硅氧烷、氧碳硅烷取代的硅氧烷、氧碳硅烷取代的硅烷、氧碳硅烷取代的碳硅烷、和氧碳硅烷取代的氧碳硅烷。
任选地,第一能图案化低k材料18可为进一步包含至少一种微观孔产生剂(致孔剂)的能图案化组合物。孔产生剂可为或可不为光敏/酸敏的。“光敏/酸敏”的意思是,这种致孔剂对光和/或酸敏感,使得致孔剂本身为能图案化的或增强能图案化低k材料的分辨率和/或图案品质。这种孔产生剂具有这些属性:(1)与能图案化低k组合物的其它组分相容,即,在涂布和其它处理后无相分离;(2)可用标准平版印刷技术图案化为能图案化低k组合物的一部分;和(3)可在图案化后固化工艺期间除去以产生微观孔,因此降低固化的能图案化低k材料的介电常数。孔大小(直径)应小于10nm,优选小于5nm,和更优选小于2nm。
能图案化低k材料18的说明性聚合物包括但不限于:硅氧烷、硅烷、碳硅烷、氧碳硅烷、倍半硅氧烷型聚合物(包括笼式、直链、支链)、或它们的组合。在一种实施方式中,第一能图案化低k材料18为包含这些光敏/酸敏聚合物的共混物的能图案化组合物。可与本公开内容一起使用的能图案化低k材料的实例公开于美国专利第7,041,748号、第7,056,840号、和第6,087,064号,以及美国专利申请公开第2008/0286467号、美国专利申请公开案第2009/0233226号、和2008年5月23日申请的美国专利申请第12/126,287号,所有这些专利全文在此以引用的方式并入本文中。能图案化低k材料18在固化后的介电常数一般不超过4.3。介电常数可大于1和最高达4.3,更优选1至3.6,进一步更优选1至3.0,又更优选1至2.5,最优选1至2.0。
第一能图案化低k材料18由包括以下中至少之一的能图案化组合物形成:上述聚合物、共聚物或共混物、在光刻胶中典型使用的溶剂、光酸产生剂、和碱添加剂。当第一能图案化低k材料18为负色调能图案化低k材料时,可由任选包括额外交联剂的能图案化组合物形成。这种额外的交联剂可为小的化合物(与聚合物或共聚物相比)或聚合物、共聚物、或包括聚合物和/或共聚物的任意组合的至少两种的共混物,其中聚合物包括一种单体,和共聚物包括至少两种单体,且其中聚合物的单体和共聚物的单体选自:硅氧烷、硅烷、碳硅烷、氧碳硅烷、倍半硅氧烷、烷基三烷氧基硅烷、四烷氧基硅烷、不饱和的烷基取代的倍半硅氧烷、不饱和的烷基取代的硅氧烷、不饱和的烷基取代的硅烷、不饱和的烷基取代的碳硅烷、不饱和的烷基取代的氧碳硅烷、碳硅烷取代的倍半硅氧烷、碳硅烷取代的硅氧烷、碳硅烷取代的硅烷、碳硅烷取代的碳硅烷、碳硅烷取代的氧碳硅烷、氧碳硅烷取代的倍半硅氧烷、氧碳硅烷取代的硅氧烷、氧碳硅烷取代的硅烷、氧碳硅烷取代的碳硅烷、和氧碳硅烷取代的氧碳硅烷。
当第一能图案化低k材料18为正色调能图案化低k材料时,可由包括以下中至少之一的能图案化组合物形成:上述聚合物、共聚物或共混物、在光刻胶中典型使用的溶剂、光酸产生剂和碱添加剂。光酸产生剂、碱添加剂和溶剂是本领域技术人员熟知的,因此,不再详述有关这些组分的细节。
在优选实施方式中,第一能图案化低k材料18为化学增强的正色调或负色调能图案化低k材料,其包含倍半硅氧烷聚合物或共聚物、或聚合物和/或共聚物的任意组合的至少两种的共混物。这种光敏/酸敏倍半硅氧烷聚合物或共聚物可进行光/酸催化的化学转变,以在平版印刷图案化后形成电路图案。当第一能图案化低k材料18为化学增强的正色调能图案化低k材料时,其典型地进行去保护反应,使曝光区域能在显影剂中溶解;当第一能图案化低k材料18为化学增强的负色调能图案化低k材料时,其通常进行交联反应(对本身或通过额外交联剂),使其在平版印刷处理期间,不溶于曝光区域的显影剂中。因此,可在标准半导体平版印刷工艺期间产生集成电路图案。此外,这些集成电路图案在图案化后固化工艺期间保持它们的图案完整性,将能图案化低k材料从抗蚀剂转化成低k材料。这种光敏/酸敏倍半硅氧烷聚合物或共聚物的实例包括:聚(甲基倍半硅氧烷)(PMS)、聚(对羟苄基倍半硅氧烷)(PHBS)、聚(对羟苯基乙基倍半硅氧烷)(PHPES)、聚(对羟苯基乙基倍半硅氧烷-共聚-对羟基-α-甲基苄基倍半硅氧烷)(PHPE/HMBS)、聚(对羟苯基乙基倍半硅氧烷-共聚-甲氧基苄基倍半硅氧烷)(PHPE/MBS)、聚(对羟苯基乙基倍半硅氧烷-共聚-叔丁基倍半硅氧烷)(PHPE/BS)、聚(对羟苯基乙基倍半硅氧烷-共聚-环己基倍半硅氧烷)(PHPE/CHS)、聚(对羟苯基乙基倍半硅氧烷-共聚-苯基倍半硅氧烷)(PHPE/PS)、聚(对羟苯基乙基倍半硅氧烷-共聚-双环庚基倍半硅氧烷)(PHPE/BHS)、聚(对羟基-α-甲基苄基倍半硅氧烷)(PHMB S)、聚(对羟基-α-甲基苄基倍半硅氧烷-共聚-对羟苄基倍半硅氧烷)(PHMB/HBS)、聚(对羟基-α-甲基苄基倍半硅氧烷-共聚-甲氧基苄基倍半硅氧烷)(PHMB/MBS)、聚(对羟基-α-甲基苄基倍半硅氧烷-共聚-叔丁基倍半硅氧烷)(PHMB/BS)、聚(对羟基-α-甲基苄基倍半硅氧烷-共聚-环己基倍半硅氧烷)(PHMB/CHS)、聚(对羟基-α-甲基苄基倍半硅氧烷-共聚-苯基倍半硅氧烷)(PHMB/PS)、聚(对羟基-α-甲基苄基倍半硅氧烷-共聚-双环庚基倍半硅氧烷)(PHMB/BHS)、聚(对羟苄基倍半硅氧烷-共聚-对羟苯基乙基倍半硅氧烷)(PHB/HPES)、和聚(对羟基-α-甲基苄基倍半硅氧烷-共聚-对-α-甲基苄基倍半硅氧烷)(PHMB/MBS)。在一种实施方式中,能图案化低k介电材料18为选自烷基三烷氧基硅烷和/或四烷氧基硅烷的至少两种单体的共聚物。优选的共聚物得自至少两种单体,其选自:作为烷基三烷氧基硅烷单体的甲基三甲氧基硅烷、甲基三乙氧基硅烷、乙基三甲氧基硅烷、或乙基三乙氧基硅烷;和作为四烷氧基硅烷单体的四-甲氧基硅烷或四-乙氧基硅烷,。
在另一种实施方式中,第一能图案化低k材料18为包含一种单体的聚合物、或至少两种单体的共聚物的能图案化组合物,所述单体选自:烷基三烷氧基硅烷、四烷氧基硅烷、不饱和的烷基(如乙烯基)取代的倍半硅氧烷、不饱和的烷基取代的硅氧烷、不饱和的烷基取代的硅烷、不饱和的烷基取代的碳硅烷、不饱和的烷基取代的氧碳硅烷、碳硅烷取代的倍半硅氧烷、碳硅烷取代的硅氧烷、碳硅烷取代的硅烷、碳硅烷取代的碳硅烷、碳硅烷取代的氧碳硅烷、氧碳硅烷取代的倍半硅氧烷、氧碳硅烷取代的硅氧烷、氧碳硅烷取代的硅烷、氧碳硅烷取代的碳硅烷、和氧碳硅烷取代的氧碳硅烷。
在一种实施方式中,第一能图案化低k材料18为包含倍半硅氧烷聚合物的能图案化组合物。其可为具有以下一般结构式的直链、支链、笼式化合物或它们的组合:
Figure BDA0000146270840000261
其中,m和n代表重复单元的数目,R1代表可包含一个或多个官能团(其可提供在含水碱中的聚合物溶解性和提供用于交联的官能团)的基团,和R2代表可包含碳官能性(其可控制聚合物在含水碱中的溶解速率和/或正色调或负色调图案化的成像功能)的基团。下标m和n可为在0至50,000范围中的整数,如1至5,000。R1可与R2不同。
R1不限于任何特定的官能团,且可包含被-OH基、-C(O)OH基、-F、或它们的组合取代的官能团。R1可包含直链或支链烷基、环烷基、芳族化合物、芳烃、或丙烯酸类。例如,R1可为:
Figure BDA0000146270840000271
Figure BDA0000146270840000272
或类似物
R2未必限于任何特定的官能团,且可包含氢、或直链或支链烷基、环烷基、芳族化合物、芳烃、丙烯酸酯、或它们的组合。例如,R2可为:
Figure BDA0000146270840000273
或类似物
可选择R1和R2比例和结构,以提供适于光刻图案化工艺的材料。
在一种实施方式中,第一能图案化低k材料18为负色调能图案化低k介电材料,其包含包括聚合物和/或共聚物的任意组合的至少两种的共混物,其中聚合物包括一种单体,和共聚物包括至少两种单体,且其中聚合物的单体和共聚物的单体选自:硅氧烷、硅烷、碳硅烷、氧碳硅烷、倍半硅氧烷、烷基三烷氧基硅烷、四烷氧基硅烷、不饱和的烷基取代的倍半硅氧烷、不饱和的烷基取代的硅氧烷、不饱和的烷基取代的硅烷、不饱和的烷基取代的碳硅烷、不饱和的烷基取代的氧碳硅烷、碳硅烷取代的倍半硅氧烷、碳硅烷取代的硅氧烷、碳硅烷取代的硅烷、碳硅烷取代的碳硅烷、碳硅烷取代的氧碳硅烷、氧碳硅烷取代的倍半硅氧烷、氧碳硅烷取代的硅氧烷、氧碳硅烷取代的硅烷、氧碳硅烷取代的碳硅烷、和氧碳硅烷取代的氧碳硅烷。共混物中的聚合物可彼此混溶。聚合物共混物的第一聚合物或共聚物如上文所述。
在一些例子中,这种实施方式的聚合物共混物的第二聚合物可包含一种单体的聚合物或包括至少两种单体的共聚物,且其中聚合物的单体和共聚物的单体选自:硅氧烷、硅烷、碳硅烷、氧碳硅烷、倍半硅氧烷、烷基三烷氧基硅烷、四烷氧基硅烷、不饱和的烷基取代的倍半硅氧烷、不饱和的烷基取代的硅氧烷、不饱和的烷基取代的硅烷、不饱和的烷基取代的碳硅烷、不饱和的烷基取代的氧碳硅烷、碳硅烷取代的倍半硅氧烷、碳硅烷取代的硅氧烷、碳硅烷取代的硅烷、碳硅烷取代的碳硅烷、碳硅烷取代的氧碳硅烷、氧碳硅烷取代的倍半硅氧烷、氧碳硅烷取代的硅氧烷、氧碳硅烷取代的硅烷、氧碳硅烷取代的碳硅烷、和氧碳硅烷取代的氧碳硅烷。在一种实施方式中,聚合物共混物的第二聚合物可包含至少两种单体的共聚物,所述单体选自:硅氧烷、硅烷、倍半硅氧烷、碳硅烷、或氧碳硅烷部分。在本发明的另一种实施方式中,聚合物共混物的第二聚合物可包含选自烷基三烷氧基硅烷和/或四烷氧基硅烷的至少两种单体的共聚物。共聚物中烷基三烷氧基硅烷单体的摩尔比为0至100%。共聚物的重均分子量为100-5,000,000g/mol,优选500-50,000g/mol。聚合物共混物的优选第二聚合物为得自至少两种单体的共聚物,所述单体选自:作为烷基三烷氧基硅烷单体的甲基三甲氧基硅烷、甲基三乙氧基硅烷、乙基三甲氧基硅烷、或乙基三乙氧基硅烷;和作为四烷氧基硅烷单体的四-甲氧基硅烷或四-乙氧基硅烷。在一种实施方式中,聚合物共混物的第二聚合物是甲基倍半硅氧烷和四烷氧基硅烷的共聚物。
在另一种实施方式中,聚合物共混物的第二聚合物是包含具有以下结构式的聚合物的倍半硅氧烷聚合物:
其中R3可为包含烷基、环烷基、芳基、或它们的组合的官能团,且其中x代表重复单元的数目,且可为4至50,000范围中的整数。例如,R3可为:
Figure BDA0000146270840000291
或类似物。
在一种实施方式中,聚倍半硅氧烷可为聚(甲基倍半硅氧烷),其中R3是甲基,和x是10至1,000的整数。在其它实施方式中,x可大于1,000。聚倍半硅氧烷还可包含与以下的共聚物:硅氧烷、硅烷、碳硅烷、氧碳硅烷、烷基三烷氧基硅烷、或四烷氧基硅烷。聚倍半硅氧烷结构可为笼式、直链、支链、或它们的组合。本文所述倍半硅氧烷聚合物可包含端基,其包含硅醇、卤硅烷、乙酰氧基硅烷、甲硅烷基胺、烷氧基硅烷、或它们的组合,其在酸(如由光酸产生剂在暴露于辐射下所产生的酸)的存在下,可进行缩合反应,接着进行热烘焙。聚倍半硅氧烷的聚合物分子可与以下进行化学交联:聚合物共混物的第一聚合物或共聚物、在聚合物共混物中的第二聚倍半硅氧烷聚合物或共聚物本身、或这些的组合。
在一种实施方式中,聚倍半硅氧烷可为倍半硅氧烷共聚物LKD-2056或LKD2064(JSR Corporation的产品),其含有硅醇端基。这样的交联可不限于硅醇,而是还可包括卤硅烷、乙酰氧基硅烷、甲硅烷基胺、和烷氧基硅烷。本文所述倍半硅氧烷聚合物可进行化学交联,包括光酸催化交联、热引发的交联、或它们的组合,如硅醇端基的缩合反应。
聚合物共混物中的第二倍半硅氧烷聚合物或共聚物可具有在200至5,000,000g/mol的范围中的重均分子量,如1,500至10,000g/mol。
在另一种实施方式中,第一能图案化低k材料18是负色调能图案化低k材料,其包含碳硅烷取代的倍半硅氧烷聚合物,其可为直链、支链、笼式化合物或它们的组合,其具有以下一般结构式:
Figure BDA0000146270840000292
其中,a、b、和c代表各重复单元的数目,R4、R5、R6、R7、和R8为含碳的基团,和R9为烷氧基。R6、R7和R8可各自独立地代表包含1至6个碳原子的烃基。
R4、R5、R6、R7、R8、R9可为不相同的基团。下标a、b、和c代表聚合物链中重复单元的数目。下标q和r可俄日在0至3范围中的整数。下标s可为在1至3范围中的整数。下标a和c可为大于零的整数。例如,a和c可各自独立地在1至5,000的范围中。下标b可为大于或等于零的整数。例如,b可为在0至5,000范围中的整数。
R4可代表包含一个或多个官能团的基团,所述官能团提供在含水碱中的聚合物溶解性和用于交联反应的官能团。R4的各例不限于任何特定官能团,和可包含被一个或多个-OH基、-C(O)OH基、-F、或它们的组合取代的官能团。R4可包含直链或支链烷基、环烷基、芳族化合物、芳烃、或丙烯酸类。R4的实例包括:
Figure BDA0000146270840000302
或类似物。
R5可代表包含碳官能性(包含至少一个碳原子)的基团,其中碳官能性控制聚合物在含水碱中的聚合物溶解。R5的结构(例如,大小、链长等)可影响聚合物在含水碱中的溶解速率。溶解控制基团R5与溶解性和交联控制基团R4的平衡允许适当调整如溶解速率和含水碱溶解性的性质。R5未必限于任何特定的官能团,和可包含直链或支链烷基、环烷基、芳族化合物、芳烃、丙烯酸酯、或它们的组合。R5的实例包括:
Figure BDA0000146270840000311
或类似物。
R6不限于任何特定烷氧基。R6的实例包括直链或支链烷氧基、环烷氧基、和乙酰氧基。
可选择R4、R5、和R6的特定比例和结构,以提供适于光刻图案化工艺的材料。
在另一种实施方式中,第一能图案化低k材料18是负色调能图案化低k材料,其包含第一聚合物或共聚物和第二聚合物或共聚物的聚合物共混物,其中第一聚合物是上述碳硅烷取代的倍半硅氧烷聚合物,和第二聚合物是一种单体的聚合物或至少两种单体的共聚物,所述单体选自:硅氧烷、硅烷、倍半硅氧烷、碳硅烷、或氧碳硅烷部分。在本发明的一种实施方式中,聚合物共混物的第二聚合物可包含选自烷基三烷氧基硅烷和/或四烷氧基硅烷的至少两种单体的共聚物。共聚物中烷基三烷氧基硅烷单体的摩尔比为0至100%。共聚物的重均分子量为100-5,000,000g/mol,优选500-50,000g/mol。聚合物共混物的优选第二聚合物为得自至少两种单体的共聚物,所述单体选自:作为烷基三烷氧基硅烷单体的甲基三甲氧基硅烷、甲基三乙氧基硅烷、乙基三甲氧基硅烷、或乙基三乙氧基硅烷;和作为四烷氧基硅烷单体的四-甲氧基硅烷或四-乙氧基硅烷。在一种实施方式中,聚合物共混物的第二聚合物是甲基倍半硅氧烷和四烷氧基硅烷的共聚物。
在另一种实施方式中,负色调碳硅烷取代的倍半硅氧烷能图案化低k组合物可为包含第一聚合物和第二聚合物的聚合物共混物的能图案化组合物,其中第一聚合物是上述碳硅烷取代的倍半硅氧烷聚合物,和聚合物共混物的第二聚合物是包含具有以下结构式的聚合物的倍半硅氧烷聚合物:
Figure BDA0000146270840000312
其中R3可为包含烷基、环烷基、芳基、或它们的组合的官能团,和其中x代表重复单元的数目,且可为4至50,000范围中的整数。例如,R3可为:
或类似物。
在一种实施方式中,聚倍半硅氧烷可为聚(甲基倍半硅氧烷),其中R3是甲基,和x是10至1,000的整数。在其它实施方式中,x可大于1,000。聚倍半硅氧烷还可包含与以下的共聚物:硅氧烷、硅烷、碳硅烷、氧碳硅烷、烷基三烷氧基硅烷、或四烷氧基硅烷。聚倍半硅氧烷结构可为笼式、直链、支链、或它们的组合。本文所述倍半硅氧烷聚合物或共聚物可包含端基,其包含硅醇、卤硅烷、乙酰氧基硅烷、甲硅烷基胺、烷氧基硅烷、或它们的组合,其在酸(如由光酸产生剂在暴露于辐射下所产生的酸)的存在下,可进行缩合反应,接着进行热烘焙。聚倍半硅氧烷的聚合物分子可与以下进行化学交联:聚合物共混物的第一聚合物或共聚物、在聚合物共混物中的第二聚倍半硅氧烷聚合物或共聚物本身、或它们的组合。在一种实施方式中,聚倍半硅氧烷可为倍半硅氧烷共聚物LKD-2056或LKD2064(JSR Corporation的产品),其含有硅醇端基。这样的交联可不限于硅醇,而是还可包括卤硅烷、乙酰氧基硅烷、甲硅烷基胺、和烷氧基硅烷。本文所述倍半硅氧烷聚合物可进行化学交联,包括光酸催化交联、热引发的交联、或它们的组合,如硅醇端基的缩合反应。
代表针对这种实施方式描述的聚合物共混物的第二聚合物的倍半硅氧烷聚合物可具有在200克/摩尔(g/mol)至500,000g/mol的范围中的重均分子量,如从1,500g/mol至10,000g/mol。
在另一种实施方式中,采用含有倍半硅氧烷聚合物和/或倍半硅氧烷共聚物的任意组合的至少两种的共混物的组合物。共混物中的倍半硅氧烷聚合物或共聚物可选自上述倍半硅氧烷聚合物或共聚物,或可选自其它倍半硅氧烷聚合物或共聚物,例如:聚(甲基倍半硅氧烷)(PMS)、聚(对羟苄基倍半硅氧烷)(PHBS)、聚(对羟苄基倍半硅氧烷-共聚-甲氧基苄基倍半硅氧烷)(PHB/MBS)、聚(对羟基-α-甲基苄基倍半硅氧烷-共聚-对-α-甲基苄基倍半硅氧烷)(PHMB/MBS)、聚(对羟苄基倍半硅氧烷-共聚-叔丁基倍半硅氧烷)(PHB/BS)、聚(对羟苄基倍半硅氧烷-共聚-环己基倍半硅氧烷)(PHB/CHS)、聚(对羟苄基倍半硅氧烷-共聚-苯基倍半硅氧烷)(PHB/PS)、聚(对羟苄基倍半硅氧烷-共聚-双环庚基倍半硅氧烷)(PHB/BHS),和笼式倍半硅氧烷,如八(缩水甘油氧基丙基)二甲基甲硅氧基)倍半硅氧烷、八[环己烯基环氧)二甲基甲硅氧基]倍半硅氧烷、八[4-(羟苯基乙基)二甲基甲硅氧基]倍半硅氧烷、和八[{2-(1’,1’-双(三氟甲基)-1’-羟乙基)降莰基}二甲基甲硅氧基]倍半硅氧烷。如果需要,可在与不含Si的聚合物(如孔产生剂)的共混物中使用不同的含Si聚合物的组合。
在又一种实施方式中,第一能图案化低k材料18是包含至少两种单体(选自烷基三烷氧基硅烷和/或四烷氧基硅烷)的共聚物的能图案化组合物。优选的共聚物得自至少两种选自以下的单体:作为烷基三烷氧基硅烷单体的甲基三甲氧基硅烷、甲基三乙氧基硅烷、乙基三甲氧基硅烷、或乙基三乙氧基硅烷;和作为四烷氧基硅烷单体的四-甲氧基硅烷或四-乙氧基硅烷。
在负色调能图案化低k材料的优选实施方式中,可采用两种混溶的、或相容的倍半硅氧烷。第一倍半硅氧烷聚合物或共聚物为直链、支链、笼式化合物或它们的组合,其具有以下结构式:
Figure BDA0000146270840000331
其中每次出现的R10为用于碱溶解性的一个或多个酸性官能团且提供用于交联的官能团;每次出现的R11为控制聚合物在含水碱中的溶解速率的碳官能性;R10不等于R11;j和k代表重复单元数目;j为整数;和k为零或大于零的整数。
R10不限于任何特定官能团,且优选选自:被OH、C(O)OH和/或F取代的直链或支链烷基;被OH、C(O)OH、和/或F取代的环烷基;被OH、C(O)OH、和/或F取代的芳族化合物;被OH、C(O)OH、和/或F取代的芳烃;以及被OH、C(O)OH、和/或F取代的丙烯酸类。优选的R10的实例包括:
Figure BDA0000146270840000341
R11不限于任何特定的碳官能团,和优选选自:直链或支链烷基、环烷基、芳族化合物、芳烃、和丙烯酸酯。
这种实施方式的倍半硅氧烷聚合物或共聚物具有400至500,000,和优选1,500至10,000的重均分子量。可选择R10和R11比例和结构,以提供适于光刻工艺的材料。
共混物材料的第二聚合物组分包括但不限于称为倍半硅氧烷的有机硅酸盐族,其具有结构式:
Figure BDA0000146270840000342
其中R3可为包含烷基、环烷基、芳基、或它们的组合的官能团,且其中x代表重复单元的数目,且可为4至50,000范围中的整数。例如,R3可为:
或类似物。
在一种实施方式中,聚倍半硅氧烷可为聚(甲基倍半硅氧烷),其中R3是甲基,且x是10至1,000的整数。在其它实施方式中,x可大于1,000。聚倍半硅氧烷还可包含与以下的共聚物:硅氧烷、硅烷、碳硅烷、氧碳硅烷、烷基三烷氧基硅烷、或四烷氧基硅烷。聚倍半硅氧烷结构可为笼式、直链、支链、或它们的组合。本文所述倍半硅氧烷聚合物或共聚物可包含端基,其包含硅醇、卤硅烷、乙酰氧基硅烷、甲硅烷基胺、烷氧基硅烷、或它们的组合,其在酸(如由光酸产生剂在暴露于辐射下所产生的酸)的存在下,可进行缩合反应,接着进行热烘焙。聚倍半硅氧烷的聚合物分子可与以下进行化学交联:聚合物共混物的第一聚合物或共聚物、在聚合物共混物中的第二聚倍半硅氧烷聚合物或共聚物本身、或它们的组合。在一种实施方式中,聚倍半硅氧烷可为倍半硅氧烷共聚物LKD-2056或LKD2064(JSR Corporation的产品),其含有硅醇端基。这样的交联可不限于硅醇,而是还可包括卤硅烷、乙酰氧基硅烷、甲硅烷基胺、和烷氧基硅烷。本文所述倍半硅氧烷聚合物可进行化学交联,包括光酸催化交联、热引发的交联、或它们的组合,如硅醇端基的缩合反应。
负色调能图案化低k组合物的第三组分是光敏酸产生剂(PAG)。优选的PAG的实例包括:-(三氟-甲基磺酰氧基)-双环[2.2.1]庚-5-烯-2,3-二羧基酰亚胺(MDT)、
Figure BDA0000146270840000351
盐、芳族重氮盐、锍盐、二芳基碘
Figure BDA0000146270840000352
盐、和N-羟基酰胺或N-羟基酰亚胺的磺酸酯,如美国专利第4,371,605号中公开的。‘605专利的内容以引用方式并入本文中。可使用由如N-羟基-萘二甲酰亚胺(DDSN)的PAG产生的弱酸。可使用各PAG的组合。
共混物配方中倍半硅氧烷聚合物或共聚物的组成为总聚合物组成的1至99%。在优选实施方式中,酸敏聚合物的组成是总聚合物组成的20至80%,和进一步更优选30至60%。
在由光酸产生剂暴露于辐射下所产生的酸的存在下,缩合不限于硅醇,而是还可包括卤硅烷、乙酰氧基硅烷、甲硅烷基胺、和烷氧基硅烷。在配方中还可包括有机交联剂,如甲基苯基四甲氧基甲基甘脲(甲基苯基粉末涂料(powderlink))。虽然光酸产生剂优选用于交联,但也可将光碱产生剂用于交联硅醇聚合物或共聚物。
第一能图案化低k材料18还典型地包括溶解其它组分的铸溶剂。适合的铸溶剂的实例包括但不限于:丙酸乙氧基乙酯(EEP);EEP和γ-丁内酯、丙二醇单甲醚醇和乙酸酯的组合、丙二醇单丙醇和乙酸酯的组合;以及乳酸乙酯。还可使用这些溶剂的组合。
在优化光刻工艺中,可向配方中添加有机碱。本发明中采用的碱可为抗蚀剂技术中已知的任何适合的碱。碱的实例包括氢氧化四烷基铵、氢氧化鲸蜡基三甲基铵、和1,8-二氨基萘。组成不限于碱的任何特定选择。
在又一种实施方式中,第一能图案化低k材料18是包含含硅聚合物的化学增强的正色调能图案化低k材料。所采用的含硅聚合物可为均聚物或共聚物。这样的含硅聚合物的合适类型包括聚合物、共聚物、包括聚合物和/或共聚物的任意组合的至少两种的共混物,其中所述聚合物包括一种单体,和所述共聚物包括至少两种单体,且其中所述聚合物的所述单体和所述共聚物的所述单体选自:硅氧烷、硅烷、碳硅烷、氧碳硅烷、倍半硅氧烷、烷基三烷氧基硅烷、四烷氧基硅烷、不饱和的烷基取代的倍半硅氧烷、不饱和的烷基取代的硅氧烷、不饱和的烷基取代的硅烷、不饱和的烷基取代的碳硅烷、不饱和的烷基取代的氧碳硅烷、碳硅烷取代的倍半硅氧烷、碳硅烷取代的硅氧烷、碳硅烷取代的硅烷、碳硅烷取代的碳硅烷、碳硅烷取代的氧碳硅烷、氧碳硅烷取代的倍半硅氧烷、氧碳硅烷取代的硅氧烷、氧碳硅烷取代的硅烷、氧碳硅烷取代的碳硅烷、和氧碳硅烷取代的氧碳硅烷。高度优选的硅骨架聚合物选自聚(羟基苯基烷基)倍半硅氧烷和聚(羟基苯基烷基)硅氧烷,其中烷基为C1-30部分。这些有限的含硅聚合物优选全部或部分用酸敏保护基团保护。
在一种实施方式中,第一能图案化低k材料18是包含一种单体的聚合物或至少两种单体的共聚物的化学增强的正色调能图案化低k材料,其中含硅取代基化学键合至聚合物或共聚物的单体。含硅取代基可为或不为酸敏的。然而,在含有C2烷基部分时,取代基典型的是酸敏的。优选地,含硅取代基附着至选自以下的单体:羟基苯乙烯、丙烯酸酯、甲基丙烯酸酯、丙烯酰胺、甲基丙烯酰胺、衣康酸酯、衣康酸半酯或环烯烃。优选的含硅取代基包括:硅氧烷、硅烷和立方倍半硅氧烷。含硅聚合物可进一步包括无硅单体,如选自以下的无硅单体:苯乙烯、羟基苯乙烯、丙烯酸、甲基丙烯酸、衣康酸和酐(如马来酸酐和衣康酸酐)。
含有含硅取代基的优选单体是:丙烯酸三甲基甲硅烷基酯、甲基丙烯酸三甲基甲硅烷基酯、衣康酸三甲基甲硅烷基酯、丙烯酸三(三甲基甲硅基)甲硅烷基酯、甲基丙烯酸三(三甲基甲硅基)甲硅烷基酯、衣康酸三(三甲基甲硅基)甲硅烷基酯、丙烯酸三(三甲基甲硅氧基)甲硅烷基酯、甲基丙烯酸三(三甲基甲硅氧基)甲硅烷基酯、衣康酸三(三甲基甲硅氧基)甲硅烷基酯、烷基甲硅基苯乙烯、丙烯酸三甲基甲硅基甲基(二甲氧基)甲硅氧基烷基酯、甲基丙烯酸三甲基甲硅基甲基(二甲氧基)甲硅氧基烷基酯、衣康酸三甲基甲硅基甲基(二甲氧基)甲硅氧基烷基酯、三甲基甲硅基烷基降冰片烯-5-羧酸烷基酯、三(三甲基甲硅基)甲硅烷基降冰片烯-5-羧酸酯和三(三甲基甲硅氧基)甲硅烷基降冰片烯-5-羧酸酯,其中烷基为C1-5部分。
这些单体的高度优选的物质是:甲基丙烯酸3-(3,5,7,9,11,13,15-七环戊基五环[9.5.1.13,9.15,15.17,13]-八硅氧烷-1-基)丙酯、1,3,5,7,9,11,13-七环戊基-15-乙烯基五环[9.5.1.13,9.15,15.17,13]八硅氧烷、甲基丙烯酰胺基三甲基硅烷、O-(甲基丙烯酰氧基乙基)-N-(三乙氧基甲硅基丙基)氨基甲酸酯、甲基丙烯酰氧基乙氧基三甲基硅烷、N-(3-甲基丙烯酰氧基-2-羟丙基)-3-氨基丙基三乙氧基硅烷、(甲基丙烯酰氧基甲基)双(三甲基甲硅氧基)甲基硅烷、(m,p-乙烯基苄氧基)三甲基硅烷、甲基丙烯酰氧基丙基三(三甲基甲硅氧基)硅烷、甲基丙烯酰氧基三甲基硅烷、3-甲基丙烯酰氧基丙基双(三甲基甲硅氧基)甲基硅烷、3-甲基丙烯酰氧基丙基二甲基氯代硅烷、甲基丙烯酰氧基丙基二甲基乙氧基硅烷、甲基丙烯酰氧基丙基二甲基甲氧基硅烷、甲基丙烯酰氧基丙基七环戊基-T8-倍半硅氧烷、甲基丙烯酰氧基丙基甲基二氯硅烷、甲基丙烯酰氧基丙基甲基二乙氧基硅烷、甲基丙烯酰氧基丙基甲基二甲氧基硅烷、(甲基丙烯酰氧基甲基)二甲基乙氧基硅烷、甲基丙烯酸(甲基丙烯酰氧基甲基)苯基二甲基硅烷(苯基二甲基甲硅基)甲酯、甲基丙烯酰氧基甲基三乙氧基硅烷、甲基丙烯酰氧基甲基三甲氧基硅烷、甲基丙烯酰氧基甲基三(三甲基甲硅氧基)硅烷、O-甲基丙烯酰氧基(聚氧化亚乙基)三甲基硅烷、甲基丙烯酰氧基丙基五甲基二硅氧烷、甲基丙烯酰氧基丙基毒鼠硅、甲基丙烯酰氧基丙基硅氧烷大分子单体、甲基丙烯酰氧基丙基封端的聚二甲基硅氧烷、甲基丙烯酰氧基丙基三氯硅烷、甲基丙烯酰氧基丙基三乙氧基硅烷、甲基丙烯酰氧基丙基三甲氧基硅烷、甲基丙烯酰氧基丙基三(甲氧基乙氧基)硅烷、对-(叔丁基二甲基甲硅氧基)苯乙烯、丁烯基三乙氧基硅烷、3-丁烯基三甲基硅烷、(3-丙烯酰氧基丙基)三甲氧基硅烷、(3-丙烯酰氧基丙基)三(三甲基甲硅氧基)硅烷、丙烯酸O-(三甲基甲硅基)酯、2-三甲基甲硅氧基乙基丙烯酸酯、N-(3-丙烯酰氧基-2-羟丙基)-3-氨基丙基三乙氧基硅烷、(3-丙烯酰氧基丙基)二甲基甲氧基硅烷、(3-丙烯酰氧基丙基)甲基双(三甲基甲硅氧基)硅烷、(3-丙烯酰氧基丙基)甲基二氯硅烷、和(3-丙烯酰氧基丙基)甲基二甲氧基硅烷、(3-丙烯酰氧基丙基)三氯硅烷。
当第一能图案化低k材料18是包含共聚物的正色调能图案化低k介电材料时,保护程度和存在的共聚单体的量使得能图案化低k材料抗蚀剂组成将提供良好的平版印刷性能,即,高分辨率和良好的加工窗。在固化后处理图案化后,也应保持图案完整性。可使用的保护基团的实例为:含有3至30个碳原子的环状和支链(仲和叔)脂族羰基、酯或醚,缩醛、缩酮和脂族硅醚。
本发明可使用的环状或支链脂族羰基的实例包括但不限于:碳酸酚酯、叔烷氧基羰基氧基(如叔丁氧基羰基氧基和异丙氧基羰基氧基)。高度优选的碳酸酯是叔丁氧基羰基氧基。
本发明可使用的环状和支链醚的一些实例包括但不限于:苄基醚和叔烷基醚,如叔丁基醚。在前述醚中,高度优选使用叔丁基醚。
可使用的环状和支链酯的实例为具有环状或支链脂族取代基的羧酸酯,例如:叔丁基酯、异冰片基酯、2-甲基-2-金刚烷基酯、苄酯、3-氧代环己基酯、二甲基丙基甲酯、甲羟戊酸内酯基酯、3-羟基-g-丁内酯基酯、3-甲基-g-丁内酯基酯、双(三甲基甲硅基)异丙基酯、三甲基甲硅基乙基酯、三(三甲基甲硅基)甲硅基乙基酯、和异丙苯酯。
可使用的缩醛和缩酮的一些实例包括但不限于:酚缩醛和缩酮以及四氢呋喃基、四氢吡喃基、2-乙氧基乙基、甲氧基环己基、甲氧基环戊基、环己氧基乙基、乙氧基环戊基、乙氧基环己基、甲氧基环庚基和乙氧环庚基。其中,优选使用甲氧基环己基缩酮。
可使用的硅醚的说明性实例包括但不限于:三甲基硅醚、二甲基乙基硅醚和二甲基丙基硅醚。在这些硅醚中,优选的是使用三甲基硅醚。
在一种实施方式中,第一能图案化低k材料18为正色调能图案化低k介电材料,其包含包括聚合物和/或共聚物的任意组合的至少两种的共混物,其中聚合物包括一种单体,和共聚物包括至少两种单体,且其中聚合物的单体和共聚物的单体选自:硅氧烷、硅烷、碳硅烷、氧碳硅烷、倍半硅氧烷、烷基三烷氧基硅烷、四烷氧基硅烷、不饱和的烷基取代的倍半硅氧烷、不饱和的烷基取代的硅氧烷、不饱和的烷基取代的硅烷、不饱和的烷基取代的碳硅烷、不饱和的烷基取代的氧碳硅烷、碳硅烷取代的倍半硅氧烷、碳硅烷取代的硅氧烷、碳硅烷取代的硅烷、碳硅烷取代的碳硅烷、碳硅烷取代的氧碳硅烷、氧碳硅烷取代的倍半硅氧烷、氧碳硅烷取代的硅氧烷、氧碳硅烷取代的硅烷、氧碳硅烷取代的碳硅烷、和氧碳硅烷取代的氧碳硅烷。共混物中的聚合物可彼此混溶。聚合物共混物的第一聚合物或共聚物如上文所述。
在一种实施方式中,第一能图案化低k材料18是包含至少两种倍半硅氧烷聚合物或共聚物的聚合物共混物的正色调能图案化低k材料。共混物中的聚合物或共聚物可彼此混溶。第一倍半硅氧烷聚合物或共聚物可为直链、支链、笼式化合物或它们的组合,其具有以下一般结构式:
其中,d、e和f代表各重复单元的数目,R12代表具有酸性不稳定保护基的碳官能性(碳官能性包含至少一个碳原子),R13代表可包含一个或多个官能团(提供在含水碱中的聚合物溶解性)的基团,和R14代表可包含碳官能性(包含至少一个碳原子)的基团,其中碳官能性控制聚合物共混物在含水碱中的聚合物溶解速率。R12、R13、和R14可为不同的基团。下标d、e、和f代表重复单元的数目。下标d和f可为大于零的整数。例如,d和f可各自独立在1至5,000的范围中。下标e可为大于或等于零的整数。例如,e可为在0至5,000范围中的整数。
R12不限于任何特定的碳官能团,且可选自常规的酸敏保护基,如碳酸酯、叔酯、缩醛、缩酮等、以及它们的组合。例如,酸敏保护基可包含乙酸叔丁酯基,其中R12可为:
Figure BDA0000146270840000392
R13不限于任何特定的官能团,且可包含被-OH基、-C(O)OH基、-F、或它们的组合取代的官能团。R13可包含直链或支链烷基、环烷基、芳族化合物、芳烃、或丙烯酸类。例如,R13可为:
Figure BDA0000146270840000401
或类似物。
R14未必限于任何特定的官能团,且可包含直链或支链烷基、环烷基、芳族化合物、芳烃、丙烯酸酯、或它们的组合。例如,R14可为:
Figure BDA0000146270840000403
或类似物。
可选择R12、R13、和R14的特定比例和结构,以提供适于光刻图案化工艺的材料。
在一种实施方式中,正色调能图案化低k材料的这种实施方式的聚合物共混物的第二聚合物可包含一种单体的聚合物或包括至少两种单体的共聚物,且其中共聚物的单体选自:硅氧烷、硅烷、碳硅烷、氧碳硅烷、倍半硅氧烷、烷基三烷氧基硅烷、四烷氧基硅烷、不饱和的烷基取代的倍半硅氧烷、不饱和的烷基取代的硅氧烷、不饱和的烷基取代的硅烷、不饱和的烷基取代的碳硅烷、不饱和的烷基取代的氧碳硅烷、碳硅烷取代的倍半硅氧烷、碳硅烷取代的硅氧烷、碳硅烷取代的硅烷、碳硅烷取代的碳硅烷、碳硅烷取代的氧碳硅烷、氧碳硅烷取代的倍半硅氧烷、氧碳硅烷取代的硅氧烷、氧碳硅烷取代的硅烷、氧碳硅烷取代的碳硅烷、和氧碳硅烷取代的氧碳硅烷。在一种实施方式中,聚合物共混物的第二聚合物可包含至少两种单体的共聚物,所述单体选自:硅氧烷、硅烷、倍半硅氧烷、碳硅烷、或氧碳硅烷部分。在本发明的一种实施方式中,聚合物共混物的第二聚合物可包含选自烷基三烷氧基硅烷和/或四烷氧基硅烷的至少两种单体的共聚物。共聚物中烷基三烷氧基硅烷单体的摩尔比为0至100%。共聚物的重均分子量为100-5,000,000g/mol,优选500-50,000g/mol。优选的共聚物得自至少两种选自以下的单体:作为烷基三烷氧基硅烷单体的甲基三甲氧基硅烷、甲基三乙氧基硅烷、乙基三甲氧基硅烷、或乙基三乙氧基硅烷;和作为四烷氧基硅烷单体的四-甲氧基硅烷或四-乙氧基硅烷。
在另一种实施方式中,在用于正色调能图案化低k材料的聚合物共混物中的第二聚合物是具有以下结构式的聚合物:
Figure BDA0000146270840000411
其中R3可为具有至少一个碳原子的碳官能团,和其中下标x代表重复单元的数目且可为大于零的整数。下标x可以在4至50,000的范围中,如从10至1,000。R3可包含例如烷基、环烷基、芳基、或它们的组合。R3的实例包括:
Figure BDA0000146270840000412
或类似物。
在一种实施方式中,第二倍半硅氧烷聚合物可为聚(甲基倍半硅氧烷)或共聚物,其中R3是甲基,和x是4至1,000的整数。在另一种实施方式中,x可大于1,000。第二倍半硅氧烷聚合物还可包含与以下的共聚物:硅氧烷、硅烷、碳硅烷、氧碳硅烷、烷基三烷氧基硅烷、或四烷氧基硅烷。第二倍半硅氧烷聚合物或共聚物结构可为笼式、直链、支链、或它们的组合。本发明的倍半硅氧烷聚合物可包含端基,其包含硅醇、卤硅烷、乙酰氧基硅烷、甲硅烷基胺、烷氧基硅烷、和它们的组合,其在酸(如由光酸产生剂在暴露于辐射下所产生的酸)的存在下,可进行缩合反应,接着进行热烘焙。第二聚合物的聚合物分子可与第一聚合物或共聚物、第二聚合物或共聚物的分子、或它们的组合进行化学交联。在本发明的一种实施方式中,第二倍半硅氧烷可为含有硅醇端基的倍半硅氧烷聚合物或共聚物LKD 2021、LKD-2056或LKD2064(JSR Corporation的产品)。
聚合物共混物中的倍半硅氧烷聚合物或共聚物可具有在400至500,000g/mol的范围中的重均分子量,如1,500至10,000g/mol。
正色调能图案化低k材料的其它组分包括光酸产生剂、铸溶剂和碱添加剂。这些组分和它们的组成是本领域的技术人员熟知的,且类似于前面讨论的负色调能图案化低k材料中的组分和组成。
整个本申请中使用术语“光敏/酸敏”以表示在光酸产生剂暴露于辐射而产生的酸的存在下,进行化学反应的能成像官能团。所使用的酸敏能成像官能团可包括酸敏正色调官能团或酸敏负色调官能团。负色调酸敏官能团是招致交联反应的官能团,其使曝光的区域不溶于显影剂,从而在显影后形成负色调凸纹图像。正色调酸敏官能团为酸敏保护基团,其使曝光的区域溶于显影剂,从而在显影后形成正色调凸纹图像。因此,可用标准平版印刷工具组图案化能图案化低k材料。
前述能图案化低k材料在图案化期间充当光刻胶;它们可为正色调或负色调,且对G线、I线、DUV (248nm、193nm、157nm、126nm、和EUV(13.4μm)、电子束、或离子束敏感。用光透过掩模曝光的图案化可以为干式或浸没式,在浸没式中,在能图案化低k和曝光工具的透镜之间,注入折射率大于空气的折射率的液体。能图案化低k材料的图案化可以单一曝光或多于一次曝光来完成,以形成需要的图案。
在一种优选实施方式中,使用正色调能图案化低k材料18进行通孔图案化。正色调或负色调能图案化低k材料18均可用于线路图案化。
参考图3,对第一能图案化低k材料18进行图案式(pattern-wise)曝光,从而形成期望的电路的潜像。可能需要任选的曝光后烘焙以招致光化学反应。当进行时,烘焙步骤以60°至200℃的温度进行,更优选80°至140℃的烘焙温度。烘焙步骤的持续时间是变化的,且对于本发明的实施不关键。在曝光和曝光后烘焙之后,用适当显影剂将潜像显影为凸纹图像,显影剂通常为碱水溶液,如0.26N氢氧化四甲基铵(TMAH)溶液。
图案式曝光工艺可以各种方式完成,包括例如用具有以下曝光光源的平版印刷步进机或扫描仪使光透过掩模:G线、I线(365nm)、DUV(248nm、193nm、157nm、126nm)、远UV(13.4nm)、或电子束、离子束。曝光工艺可以干式或浸没式进行。图案式曝光工艺还包括用例如光、电子束、离子束、和扫描探针光刻(scanning probe lithography)直接写入而不使用掩模。可使用的其它图案化技术包括接触印刷技术,如纳米压印平版印刷、压纹、微接触印刷、复制成形、微转印成形、毛细管微成形和溶剂辅助微成形、热辅助压纹、喷墨印刷等。
图3具体示出在能图案化低k材料膜18内形成第一互连图案20后所形成的结构体。第一互连图案20可包括至少一个通孔开口(如所示的和如优选的)或至少一个线路开口(未示出,且在本发明方法的该阶段,其不如形成通孔开口优选)。如所示的,第一互连图案使渐变帽盖层14的表面暴露出来。
在形成第一互连图案后,典型的是(但未必总是)固化能图案化低k材料18,以形成固化的低k材料18’(参见图3)。当第一能图案化低k材料为负色调时,固化是任选的,但在第一能图案化低k材料为正色调材料时,则需要固化。固化通过热固化、电子束固化、紫外线(UV)固化、离子束固化、等离子体固化、微波固化或它们的组合进行。各固化工艺的条件是本领域的技术人员熟知的,且可选择任何条件,只要其将图案化低k材料转化为低k膜和保持图案保真度。
在另一种实施方式中,辐照固化步骤通过热固化和紫外线(UV)固化的组合进行,其中紫外(UV)光的波长为50至300nm,且紫外线(UV)固化的光源为UV灯、准分子(激态复合物)激光器或它们的组合。
准分子激光可由选自以下的准分子中的至少之一产生:Ar2*、Kr2*、F2、Xe2*、ArF、KrF、XeBr、XeCl、XeF、CaF2、KrCl和Cl2,其中准分子激光的波长为50至300nm。另外,可用透镜或本领域技术人员已知的其它光学扩散器件来增强和/或扩散紫外(UV)固化的光。
在一种实施方式中,这种图案化后固化为组合的UV/热固化。这种组合的UV/热固化在真空或惰性气氛(如N2、He、Ar)下在UV/热固化模块上进行。典型的是,UV/热固化温度为100℃至500℃,其中300°至450℃的固化温度是更典型的。UV/热固化的持续时间为0.5分钟至30分钟,其中1至10分钟的持续时间是更典型的。UV固化模块设计成具有非常低的氧含量,以避免得到的介电材料劣化。如果进行这种图案化后固化,则该图案化后固化可在与图案化步骤的工具套件(tool cluster)不同或相同的工具套件中进行。
在图案化和任选固化第一能图案化低k材料18后,形成第二能图案化低k材料22,这提供图4所示的结构体。第二能图案化低k材料22可包含与第一能图案化低k材料18相同或不同的材料。一般地说,第一能图案化低k材料18的上述配方的性质、组成、和方法在此均适用于第二能图案化低k材料22。第一能图案化低k材料18的上述沉积工艺和厚度在此也均适用于第二能图案化低k材料22。典型地是,和在示出的实施方式中,第一能图案化低k材料18或第二低k材料22为负色调或正色调材料。
现在参考图5,图案化第二能图案化低k材料22,以包括第二互连图案24。第二能图案化低k材料22的图案化利用图案化第一能图案化低k介电材料18所使用的相同基本处理设备、步骤和条件进行。在示出的实施方式中,第二互连图案24典型的是线路(沟道)。在第一能图案化低k材料内形成的且随后在形成第二能图案化低k材料时填充的通孔图案也得以恢复。当第一互连图案是线路时,第二互连图案24也可为通孔。
在图案化第二能图案化低k材料22后,使结构体固化,这提供图6所示的结构体。在图6中,附图标记22’代表固化的第二低k材料。如同第一固化的低k材料18’,固化的第二低k材料22’具有通常小于4.3的相对介电常数。若未预先固化,这种固化步骤还将第一能图案化低k材料18固化成固化的低k材料18’。用于第一能图案化低k材料18的上述固化方法、设备和工艺在此均适用于第二能图案化低k材料22。
仍然参考图6,进行蚀穿渐变帽盖层14的蚀刻步骤。使渐变帽盖层14“形成开口”的蚀刻步骤包括任何蚀刻工艺,例如,反应性离子蚀刻或气体团簇离子束蚀刻。
扩散阻挡层(衬垫)(未示出)可包含Ta、TaN、Ti、TiN、Ru、RuTaN、RuTa、W、WN或任何其它可用作阻挡物的材料以防止导电材料扩散透过,其典型地是通过沉积工艺形成为第一和第二互连图案,所述沉积工艺例如,原子层沉积(ALD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、物理气相沉积(PVD)、溅射、化学溶液沉积、或电镀。在一些实施方式(未示出)中,扩散阻挡衬垫可包含层的组合。扩散阻挡衬垫的厚度可根据采用的沉积工艺的确切方式以及采用的层材料和层数而变化。典型的是,扩散阻挡衬垫具有4至40nm的厚度,其中7至20nm的厚度是更典型的。
继形成扩散阻挡层(衬垫)后,用导电材料26填充第一和第二互连图案的其余区域,以形成导电零部件。用于形成导电零部件的导电材料26包括例如:多晶硅、导电金属、包含至少一种导电金属的合金、导电金属硅化物、导电纳米管或纳米线、石墨烯或它们的组合。优选地,用于形成导电零部件的导电材料26为导电金属,如Cu、W或Al,其中Cu或Cu合金(如AlCu)在本发明中是高度优选的。利用常规沉积工艺(包括但不限于CVD、PECVD、溅射、化学溶液沉积或电镀),将导电材料26填充到其余的第一和第二互连图案中。优选的填充方法是电化学电镀。
在沉积后,可使用常规的平坦化工艺,例如,化学机械抛光(CMP),以提供其中扩散阻挡层和导电材料26各自具有与固化的第二低k材料22’的上表面基本上共面的上表面的结构体。在填充固化的第一和第二低k材料中的开口和平坦化之后得到的结构体如图7中所示。
在形成至少一种导电材料26和平坦化后,在固化的第二低k材料22’的表面上形成另一渐变帽盖14’。包括另一渐变帽盖层14’的结构体如图7中所示。这种渐变帽盖14’可利用上述方法形成,且渐变帽盖14’可包含与渐变帽盖14相同或不同的组成。另外,渐变帽盖14’可用任何常规的介电帽盖来代替。
在未示出的一些实施方式中,可利用任何常规的沉积工艺(包括,例如,CVD、PECVD和旋涂)在渐变的(或常规的)帽盖14’的顶上形成阻断掩模。阻断掩模可包含标准的光刻胶材料,包括无机、有机和混杂抗蚀剂。阻挡掩模可进一步包含至少一种抗反射涂层和/或至少一层硬掩模层。抗反射涂层和硬掩模层的组成可为有机、无机或有机/无机混杂材料,只要它们的组成和层厚度的组合满足后续空气隙的图案化和图案转印需求。在这种特定的实施方式中,图案化渐变帽盖14’和阻断掩模,这在其中提供空气隙图案。图案化步骤包括光刻、浸没式光刻(immersion lithography)、EUV、软光刻、接触印刷、纳米印刷、电子束、无掩模直接写入、扫瞄探针光刻、自组装平版印刷和定向自组装平版印刷。注意,空气隙图案的特征大小小于在低k材料内的电介质间隔。图案化步骤还包括蚀刻,如反应性离子蚀刻。利用定时(timed)蚀刻工艺,如反应性离子蚀刻,可将空气隙图案转印到至少第二图案化并固化的低k材料22’中。在将空气隙图案转印至第二图案化并固化的低k介电材料22’中后,选择性除去部分第二图案化并固化的低k介电材料22’而由第二图案化并固化的低k介电材料22’形成空气隙。然后利用牺牲性材料的常规除去方法,如在残留的渐变帽盖14’顶上停止的反应性离子蚀刻,从结构体除去残留的阻断掩模。在这种实施方式中,转印的空气隙图案邻近(但未直接邻接)在至少图案化并固化的第二能图案化低k材料中形成的导电填充区域。空气隙图案还可延伸至第一图案化并固化的能图案化低k材料18’中。
在将空气隙图案转印到至少图案化并固化的第二低k材料22’后,在物理上、化学上或二者上改变低k材料直接邻接空气隙开口的部分,以使其具有与其余低k介电材料相比不同的除去速率。在本申请中,通过化学处理、暴露于还原或氧化等离子体实现除去速率的这种改变。这种材料转变的一个优选实施方式是利用等向性反应性离子蚀刻来实现。等向性离子蚀刻气体的化学组成选自以下中的至少一种:O2、CO、CO2、N2、H2、NH3、He、Ar、烃和其类似物。接着利用蚀刻工艺,如利用稀释HF蚀刻剂的等向性蚀刻,除去低k电介质直接邻接空气隙开口的已改变的部分。在本发明的一些实施方式中,亦可使用超临界流体蚀刻工艺。这两个步骤,如,改变图案化并固化低k介电材料的部分的蚀刻选择性和蚀刻,在结构体内提供空气隙。空气隙可包括空气或真空。可使用泵,从空气隙除去空气,以形成真空。可在其余另一帽盖层14’顶上形成空气隙帽盖,以密封结构体中的空气隙。空气隙帽盖包括ARC,其包括常规的无机ARC。图9示出图8在形成空气隙帽盖38后的互连结构体。图9还显示在结构体顶上的空气隙帽盖40。
除了上述双镶嵌实施方式,本发明还考虑单镶嵌实施方式。单镶嵌结构体包括上述针对双镶嵌工艺的相同基本处理步骤,除了在工艺中不引入第二能图案化低k电介质。
以下实施例示出本发明的一些方面。
实施例1:如以下表格中汇总的,在400℃下,在硅基板上沉积五层膜,高频RF功率在300-460瓦之间变化,压力在3-8.7托之间变化。选择三甲基硅烷作为碳和硅源,选择氨作为氮源,和使用He作为稀释剂。
Figure BDA0000146270840000461
Figure BDA0000146270840000471
利用FTIR研究变化三甲基硅烷、氨流速的效果(未示出)。如FTIR光谱中所示的,在膜中减少氨将在膜中减少SiN和增加Sic、CH和SiH含量。
实施例2:使用实施例1中层1-5的个别条件,沉积渐变组成层。改变每个层的沉积时间,以达到最佳的膜厚度和性质。
虽然已经参考本发明的优选实施方式详细说明本发明,但本领域的技术人员应明白,可在不脱离本发明的精神和范围下,进行上述和其它形式和细节的改变。因此,意图是本发明不限于所说明和示出的确切形式和细节,而是落在所附权利要求的范围内。

Claims (25)

1.一种互连结构体,所述互联结构体包含:
至少一种图案化并固化的低k材料,所述至少一种图案化并固化的低k材料直接位于图案化渐变帽盖层的表面上,其中所述至少一种图案化并固化的低k材料和所述图案化渐变帽盖层各自具有嵌入其中的导电填充区域,其中所述图案化并固化的低k材料是能图案化组合物的固化产物,该能图案化组合物包含官能化聚合物、共聚物、或者共混物,所述共混物包括具有一个或多个光敏/酸敏能成像基团的聚合物和/或共聚物的任意组合的至少两个,且所述渐变帽盖层包括用作阻挡区域的下部区域和具有永久性抗反射涂层的性质的上部区域,其中所述下部区域和所述上部区域通过至少一个中间区域分开。
2.如权利要求1所述的互连结构体,进一步包含至少一个空气隙,其位于所述至少一种图案化并固化的低k材料内,邻近但不直接邻接所述导电填充区域。
3.如权利要求1所述的互连结构体,其中所述至少一个中间区域得自抗反射前体和介电帽盖前体的组合。
4.如权利要求1所述的互连结构体,其中所述渐变帽盖层是具有沿着竖直方向逐渐变化的组成的连续层。
5.如权利要求1所述的互连结构体,其中所述渐变帽盖层的所述下部区域包括Si和C的原子;Si和N的原子;Si和O的原子;Si、O和N的原子;Si、C和O的原子;Si、C、O和H的原子;或者Si、C、N和H的原子。
6.如权利要求1所述的互连结构体,其中所述渐变帽盖层的所述下部区域包含Ru、Co、W和P的原子。
7.如权利要求1所述的互连结构体,其中所述渐变帽盖层具有2nm至200nm的厚度范围。
8.如权利要求1所述的互连结构体,其中所述上部区域包含:Si、C、O、N和H的原子;Si和C的原子;Si、O和C的原子;Si、C、O和H的原子;以及W、Co、Ru、Ta、Ti、和Ru的原子。
9.如权利要求1所述的互连结构体,其中所述上部区域包括:M、C和H的原子,其中M选自以下中的至少一种原子:Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf和La。
10.如权利要求9所述的互连结构体,进一步包含O、N、S或F中的至少一种原子。
11.如权利要求1所述的互连结构体,其中所述上部区域包含气相沉积的M:C:H膜,其中M选自以下中的至少一种原子:Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf和La。
12.如权利要求11所述的互连结构体,进一步包含X,其是以下中的至少一种原子:O、N、S或F。
13.如权利要求1所述的互连结构体,其中所述上部区域包含具有式M-RA的至少一种单体单元的聚合物,其中M是元素Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf和La中的至少一种,且RA是发色团。
14.如权利要求13所述的互连结构体,其中所述至少一种单体单元的M键合至选自元素C和H的有机配体、交联组分、另一发色团和它们的混合物。
15.如权利要求13所述的互连结构体,进一步包含另一单体单元,所述另一单体单元具有式M’-RB,其中M’是元素Si、Ge、B、Sn、Fe、Ta、Ti、Ni、Hf和La中的至少一种,且RB是交联组分。
16.如权利要求13所述的互连结构体,其中M和M’键合至选自元素C和H的有机配体、交联组分、另一发色团和它们的混合物。
17.一种互连结构体,所述互联结构体包含:
下部图案化并固化的低k材料,所述下部图案化并固化的低k材料直接位于图案化渐变帽盖层上,和邻接的上部图案化并固化的低k材料,所述上部图案化并固化的低k材料位于所述下部图案化并固化的低k材料上,所述下部和上部图案化并固化的低k材料以及所述图案化帽盖层各自具有导电填充区域,其中所述图案化并固化的上部和下部低k材料各自是相同的或不同的能图案化组合物的固化产物,该能图案化组合物包含官能化聚合物、共聚物、或者共混物,所述共混物包括具有一个或多个光敏/酸敏能成像基团的聚合物和/或共聚物的任意组合的至少两个,且所述渐变帽盖层包括用作阻挡区域的下部区域和具有永久性抗反射涂层的性质的上部区域,其中所述下部区域和所述上部区域通过至少一个中间区域分开。
18.如权利要求17所述的互连结构体,其中所述至少一个中间区域得自抗反射前体和介电帽盖前体的组合。
19.一种含有空气隙的互连结构体,包含:
下部图案化并固化的低k材料,所述下部图案化并固化的低k材料直接位于图案化渐变帽盖层上,和邻接的上部图案化并固化的低k材料,所述上部图案化并固化的低k材料位于所述下部图案化并固化的低k材料上,所述下部和上部图案化并固化的低k材料以及所述图案化帽盖层各自具有导电填充区域,其中所述图案化并固化的上部和下部低k材料各自是相同的或不同的能图案化组合物的固化产物,该能图案化组合物包含官能化聚合物、共聚物、或者共混物,所述共混物包括具有一个或多个光敏/酸敏能成像基团的聚合物和/或共聚物的任意组合的至少两个,且所述渐变帽盖层包括用作阻挡区域的下部区域和具有永久性抗反射涂层的性质的上部区域,其中所述下部区域和所述上部区域通过至少一个中间区域分开。
20.一种制造互连结构体的方法,所述方法包含:
在基板的表面上提供渐变帽盖层,所述渐变帽盖层包括用作阻挡区域的下部区域和具有永久性抗反射涂层的性质的上部区域,其中所述下部区域和所述上部区域通过至少一个中间区域分开;
直接在所述渐变帽盖层的表面上提供至少一种能图案化低k材料,其中所述至少一种能图案化低k材料是能图案化组合物,该能图案化组合物包含官能化聚合物、共聚物、或者共混物,所述共混物包括具有一个或多个光敏/酸敏能成像基团的聚合物和/或共聚物的任意组合的至少两个;
在所述至少一种能图案化低k材料和所述渐变帽盖层内形成至少一个互连图案,在所述至少一种能图案化低k材料内的所述至少一个互连图案在未采用单独的光刻胶材料的情况下形成;
使所述至少一种图案化的能图案化低k材料固化成介电常数不超过4.3的固化介电材料;和
用导电材料填充所述至少一个互连图案。
21.如权利要求20所述的方法,进一步包含:
在所述固化介电材料的顶上形成包含另一渐变帽盖层和阻挡掩模的叠层;
形成穿过所述叠层并进入固化介电材料中的至少一个空气隙;和
在所述叠层的所述另一渐变帽盖的顶上形成空气隙帽盖。
22.如权利要求21所述的方法,其中所述形成穿过所述叠层并进入固化介电材料中的至少一个空气隙包括:间隙转印过程,接着使所述固化介电材料的与其中转印的空气隙图案直接相邻的部分物理改变、化学改变或者二者,以及选择性除去所述固化介电材料的已改变的部分。
23.如权利要求20所述的方法,其中所述图案化的渐变帽盖层通过沉积、平版印刷和蚀刻形成,所述沉积包括气相沉积、液相沉积或气相和液相沉积的组合。
24.一种制造双镶嵌互连结构体的方法,所述方法包含:
在基板的表面上提供渐变帽盖层,所述渐变帽盖层包括用作阻挡区域的下部区域和具有永久性抗反射涂层的性质的上部区域,其中所述下部区域和所述上部区域通过至少一个中间区域分开;
直接在所述渐变帽盖层的表面上提供第一能图案化低k材料,其中第一能图案化低k介电材料是能图案化组合物,其包含官能化聚合物、共聚物、或者共混物,所述共混物包括具有一个或多个光敏/酸敏能成像基团的聚合物和/或共聚物的任意组合的至少两个;
在无单独的光刻胶的情况下在所述第一能图案化低k材料内形成第一互连图案;
在包括所述第一互连图案的所述第一图案化低k材料之上提供第二能图案化低k材料,所述第二能图案化低k材料具有与所述第一能图案化低k材料相同或不同的组成;
在无单独的光刻胶的情况下在所述第二能图案化低k材料内形成第二互连图案;
固化至少所述第二图案化的能图案化低k材料;
使所述渐变帽盖层的暴露部分形成开口;和
用导电材料填充所述第一和第二互连图案以及在所述渐变帽盖层内的所述开口。
25.如权利要求24所述的方法,进一步包含:
在所述固化的第二能图案化低k材料的顶上形成包含另一渐变帽盖和阻挡掩模的叠层;
形成至少一个穿过所述叠层并进入至少所述固化的第二能图案化低k材料中的空气隙;和
在所述叠层的所述另一渐变帽盖的顶上形成空气隙帽盖。
CN201080042435.2A 2009-09-29 2010-08-23 具有渐变帽盖层的能图案化低k电介质互连结构体和制造方法 Active CN102549736B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/569,200 2009-09-29
US12/569,200 US8202783B2 (en) 2009-09-29 2009-09-29 Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
PCT/EP2010/062226 WO2011038995A1 (en) 2009-09-29 2010-08-23 Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication

Publications (2)

Publication Number Publication Date
CN102549736A true CN102549736A (zh) 2012-07-04
CN102549736B CN102549736B (zh) 2014-09-10

Family

ID=42711697

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080042435.2A Active CN102549736B (zh) 2009-09-29 2010-08-23 具有渐变帽盖层的能图案化低k电介质互连结构体和制造方法

Country Status (7)

Country Link
US (2) US8202783B2 (zh)
JP (1) JP5285814B2 (zh)
CN (1) CN102549736B (zh)
DE (1) DE112010003844T5 (zh)
GB (1) GB2486078B (zh)
TW (1) TWI496242B (zh)
WO (1) WO2011038995A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104183536A (zh) * 2013-05-21 2014-12-03 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
CN104008959B (zh) * 2013-02-22 2017-01-18 格罗方德半导体公司 定向自组装工艺/邻近校正的方法
CN106459415A (zh) * 2014-04-18 2017-02-22 胡网加成股份有限公司 聚硅倍半氧烷共聚物及包括该聚硅倍半氧烷共聚物的感光树脂组合物
CN107492517A (zh) * 2016-06-12 2017-12-19 中芯国际集成电路制造(上海)有限公司 互连结构及形成方法
CN104299958B (zh) * 2013-07-16 2018-11-16 中芯国际集成电路制造(上海)有限公司 互连结构及互连结构的形成方法

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7981483B2 (en) * 2007-09-27 2011-07-19 Tel Epion Inc. Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
US8519540B2 (en) 2009-06-16 2013-08-27 International Business Machines Corporation Self-aligned dual damascene BEOL structures with patternable low- K material and methods of forming same
US8163658B2 (en) 2009-08-24 2012-04-24 International Business Machines Corporation Multiple patterning using improved patternable low-k dielectric materials
US8288271B2 (en) * 2009-11-02 2012-10-16 International Business Machines Corporation Method for reworking antireflective coating over semiconductor substrate
US8367540B2 (en) * 2009-11-19 2013-02-05 International Business Machines Corporation Interconnect structure including a modified photoresist as a permanent interconnect dielectric and method of fabricating same
US8642252B2 (en) 2010-03-10 2014-02-04 International Business Machines Corporation Methods for fabrication of an air gap-containing interconnect structure
US8241992B2 (en) * 2010-05-10 2012-08-14 International Business Machines Corporation Method for air gap interconnect integration using photo-patternable low k material
US8354339B2 (en) 2010-07-20 2013-01-15 International Business Machines Corporation Methods to form self-aligned permanent on-chip interconnect structures
US8232198B2 (en) 2010-08-05 2012-07-31 International Business Machines Corporation Self-aligned permanent on-chip interconnect structure formed by pitch splitting
KR20120030782A (ko) 2010-09-20 2012-03-29 삼성전자주식회사 저유전 물질을 이용한 쓰루 실리콘 비아(tsv) 형성방법
US8890318B2 (en) 2011-04-15 2014-11-18 International Business Machines Corporation Middle of line structures
US20130062732A1 (en) 2011-09-08 2013-03-14 International Business Machines Corporation Interconnect structures with functional components and methods for fabrication
US8492226B2 (en) * 2011-09-21 2013-07-23 Globalfoundries Singapore Pte. Ltd. Trench transistor
US9240552B2 (en) * 2011-12-27 2016-01-19 Intel Corporation Carbon nanotube semiconductor devices and deterministic nanofabrication methods
US9087753B2 (en) 2012-05-10 2015-07-21 International Business Machines Corporation Printed transistor and fabrication method
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
KR20160061966A (ko) * 2013-07-31 2016-06-01 휴렛 팩커드 엔터프라이즈 디벨롭먼트 엘피 멤리스터 및 멤리스터의 제조 방법
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9431235B1 (en) 2015-04-24 2016-08-30 International Business Machines Corporation Multilayer dielectric structures with graded composition for nano-scale semiconductor devices
US10366988B2 (en) 2015-08-14 2019-07-30 International Business Machines Corporation Selective contact etch for unmerged epitaxial source/drain regions
CN107680932B (zh) * 2016-08-01 2022-05-13 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
KR102248788B1 (ko) * 2017-03-08 2021-05-06 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US11276767B2 (en) 2017-03-15 2022-03-15 International Business Machines Corporation Additive core subtractive liner for metal cut etch processes
US10770293B2 (en) * 2017-08-29 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device
US10573687B2 (en) 2017-10-31 2020-02-25 International Business Machines Corporation Magnetic random access memory with permanent photo-patternable low-K dielectric
KR102540963B1 (ko) 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
US11289369B2 (en) 2019-06-08 2022-03-29 Applied Materials, Inc. Low-k dielectric with self-forming barrier layer

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1691320A (zh) * 2004-04-22 2005-11-02 台湾积体电路制造股份有限公司 半导体内连线结构与避免其覆盖层和介电层间脱层的方法
US20080150091A1 (en) * 2004-04-08 2008-06-26 International Business Machines Corporation MULTIPLE PATTERNING USING PATTERNABLE LOW-k DIELECTRIC MATERIALS
WO2009037119A1 (en) * 2007-09-20 2009-03-26 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
CN101483171A (zh) * 2008-01-09 2009-07-15 国际商业机器公司 具有能图案化低k材料的含空气隙的互连结构体及其制法

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4371605A (en) * 1980-12-09 1983-02-01 E. I. Du Pont De Nemours And Company Photopolymerizable compositions containing N-hydroxyamide and N-hydroxyimide sulfonates
EP0058638B1 (de) 1981-02-13 1985-08-28 Ciba-Geigy Ag Härtbare Zusammensetzung auf Basis eines säurehärtbaren Harzes und Verfahren zu dessen Härtung
JPH01293339A (ja) 1988-05-23 1989-11-27 Tosoh Corp フォトレジスト組成物
JP3460417B2 (ja) * 1995-11-25 2003-10-27 ソニー株式会社 半導体装置の製造方法
US5886102A (en) * 1996-06-11 1999-03-23 Shipley Company, L.L.C. Antireflective coating compositions
US5939236A (en) * 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6492732B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Interconnect structure with air gap compatible with unlanded vias
US6025260A (en) * 1998-02-05 2000-02-15 Integrated Device Technology, Inc. Method for fabricating air gap with borderless contact
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6100559A (en) * 1998-08-14 2000-08-08 Advanced Micro Devices, Inc. Multipurpose graded silicon oxynitride cap layer
US6087064A (en) * 1998-09-03 2000-07-11 International Business Machines Corporation Silsesquioxane polymers, method of synthesis, photoresist composition, and multilayer lithographic method
FR2797715B1 (fr) * 1999-07-13 2006-08-25 Ibm Procede de gravure plasma d'une couche de polysilicium au traver d'une couche de si02 gravee
US6214719B1 (en) * 1999-09-30 2001-04-10 Novellus Systems, Inc. Method of implementing air-gap technology for low capacitance ILD in the damascene scheme
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6455416B1 (en) * 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
WO2002075449A1 (fr) * 2001-03-16 2002-09-26 Xianfeng Zhou Systeme d'imagerie pour produire des images composites a double exposition et son application
US6566019B2 (en) * 2001-04-03 2003-05-20 Numerical Technologies, Inc. Using double exposure effects during phase shifting to control line end shortening
KR100436220B1 (ko) * 2001-08-30 2004-06-12 주식회사 네패스 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물
US6787469B2 (en) * 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7064080B2 (en) * 2002-02-08 2006-06-20 Micron Technology, Inc. Semiconductor processing method using photoresist and an antireflective coating
US6730454B2 (en) * 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US6861180B2 (en) * 2002-09-10 2005-03-01 Taiwan Semiconductor Manufacturing Co., Ltd Contact printing as second exposure of double exposure attenuated phase shift mask process
US20040048194A1 (en) * 2002-09-11 2004-03-11 International Business Machines Corporation Mehod for forming a tunable deep-ultraviolet dielectric antireflection layer for image transfer processing
US6805109B2 (en) * 2002-09-18 2004-10-19 Thomas L. Cowan Igniter circuit with an air gap
US7449407B2 (en) * 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
US7138329B2 (en) * 2002-11-15 2006-11-21 United Microelectronics Corporation Air gap for tungsten/aluminum plug applications
US6917109B2 (en) * 2002-11-15 2005-07-12 United Micorelectronics, Corp. Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US7041748B2 (en) * 2003-01-08 2006-05-09 International Business Machines Corporation Patternable low dielectric constant materials and their use in ULSI interconnection
US7071532B2 (en) * 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
US7056840B2 (en) * 2003-09-30 2006-06-06 International Business Machines Corp. Direct photo-patterning of nanoporous organosilicates, and method of use
US7012022B2 (en) * 2003-10-30 2006-03-14 Chartered Semiconductor Manufacturing Ltd. Self-patterning of photo-active dielectric materials for interconnect isolation
JP4676694B2 (ja) * 2003-12-15 2011-04-27 ルネサスエレクトロニクス株式会社 積層構造体並びに半導体装置及びその製造方法
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
KR100674967B1 (ko) * 2005-04-06 2007-01-26 삼성전자주식회사 더블 패터닝 방식을 이용한 미세 피치를 갖는 포토레지스트패턴 형성방법
KR100613461B1 (ko) * 2005-06-29 2006-08-17 주식회사 하이닉스반도체 이중노광기술을 이용한 이중노광방법과 이를 위한포토마스크
KR100640657B1 (ko) * 2005-07-25 2006-11-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR100687883B1 (ko) * 2005-09-03 2007-02-27 주식회사 하이닉스반도체 이중 노광용 포토마스크 및 이를 이용한 이중 노광 방법
KR100714305B1 (ko) * 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
US20070212649A1 (en) * 2006-03-07 2007-09-13 Asml Netherlands B.V. Method and system for enhanced lithographic patterning
KR100721205B1 (ko) * 2006-04-21 2007-05-23 주식회사 하이닉스반도체 이중 노광을 위한 패턴 분할 및 광 근접 효과 보정 방법
US8017522B2 (en) * 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7867689B2 (en) * 2007-05-18 2011-01-11 International Business Machines Corporation Method of use for photopatternable dielectric materials for BEOL applications
US7709370B2 (en) * 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US8029971B2 (en) * 2008-03-13 2011-10-04 International Business Machines Corporation Photopatternable dielectric materials for BEOL applications and methods for use
US7919225B2 (en) 2008-05-23 2011-04-05 International Business Machines Corporation Photopatternable dielectric materials for BEOL applications and methods for use

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080150091A1 (en) * 2004-04-08 2008-06-26 International Business Machines Corporation MULTIPLE PATTERNING USING PATTERNABLE LOW-k DIELECTRIC MATERIALS
CN1691320A (zh) * 2004-04-22 2005-11-02 台湾积体电路制造股份有限公司 半导体内连线结构与避免其覆盖层和介电层间脱层的方法
WO2009037119A1 (en) * 2007-09-20 2009-03-26 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
CN101483171A (zh) * 2008-01-09 2009-07-15 国际商业机器公司 具有能图案化低k材料的含空气隙的互连结构体及其制法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104008959B (zh) * 2013-02-22 2017-01-18 格罗方德半导体公司 定向自组装工艺/邻近校正的方法
CN104183536A (zh) * 2013-05-21 2014-12-03 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
CN104183536B (zh) * 2013-05-21 2017-10-20 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
CN104299958B (zh) * 2013-07-16 2018-11-16 中芯国际集成电路制造(上海)有限公司 互连结构及互连结构的形成方法
CN106459415A (zh) * 2014-04-18 2017-02-22 胡网加成股份有限公司 聚硅倍半氧烷共聚物及包括该聚硅倍半氧烷共聚物的感光树脂组合物
CN106459415B (zh) * 2014-04-18 2019-09-24 胡网加成股份有限公司 聚硅倍半氧烷共聚物及包括该聚硅倍半氧烷共聚物的感光树脂组合物
CN107492517A (zh) * 2016-06-12 2017-12-19 中芯国际集成电路制造(上海)有限公司 互连结构及形成方法
CN107492517B (zh) * 2016-06-12 2020-05-08 中芯国际集成电路制造(上海)有限公司 互连结构及形成方法

Also Published As

Publication number Publication date
TWI496242B (zh) 2015-08-11
US8202783B2 (en) 2012-06-19
GB2486078B (en) 2014-05-07
US20120252204A1 (en) 2012-10-04
DE112010003844T5 (de) 2012-09-06
WO2011038995A1 (en) 2011-04-07
JP5285814B2 (ja) 2013-09-11
GB201200146D0 (en) 2012-02-15
TW201131695A (en) 2011-09-16
GB2486078A (en) 2012-06-06
JP2013502714A (ja) 2013-01-24
CN102549736B (zh) 2014-09-10
US8461039B2 (en) 2013-06-11
US20110074044A1 (en) 2011-03-31

Similar Documents

Publication Publication Date Title
CN102549736B (zh) 具有渐变帽盖层的能图案化低k电介质互连结构体和制造方法
CN101483171B (zh) 具有能图案化低k材料的含空气隙的互连结构体及其制法
US8637395B2 (en) Methods for photo-patternable low-k (PPLK) integration with curing after pattern transfer
US8367540B2 (en) Interconnect structure including a modified photoresist as a permanent interconnect dielectric and method of fabricating same
US8659115B2 (en) Airgap-containing interconnect structure with improved patternable low-K material and method of fabricating
US8952539B2 (en) Methods for fabrication of an air gap-containing interconnect structure
US7709370B2 (en) Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8241992B2 (en) Method for air gap interconnect integration using photo-patternable low k material
US8896120B2 (en) Structures and methods for air gap integration
US8487411B2 (en) Multiple patterning using improved patternable low-κ dielectric materials
US7666794B2 (en) Multiple patterning using patternable low-k dielectric materials
US9196523B2 (en) Self-aligned permanent on-chip interconnect structures
US8795556B2 (en) Self-aligned permanent on-chip interconnect structure formed by pitch splitting
US20090079076A1 (en) Patternable dielectric film structure with improved lithography and method of fabricating same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171109

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171109

Address after: American New York

Patentee after: Core USA second LLC

Address before: New York grams of Armand

Patentee before: International Business Machines Corp.