TW561551B - Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density - Google Patents

Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density Download PDF

Info

Publication number
TW561551B
TW561551B TW091103031A TW91103031A TW561551B TW 561551 B TW561551 B TW 561551B TW 091103031 A TW091103031 A TW 091103031A TW 91103031 A TW91103031 A TW 91103031A TW 561551 B TW561551 B TW 561551B
Authority
TW
Taiwan
Prior art keywords
coupling agent
substrate
silane coupling
patent application
item
Prior art date
Application number
TW091103031A
Other languages
English (en)
Inventor
Andrew Robert Eckert
John C Hay
Jeffrey Curtis Hedrick
Kang-Wook Lee
Eric Gerhard Liniger
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Application granted granted Critical
Publication of TW561551B publication Critical patent/TW561551B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Laminated Bodies (AREA)

Description

561551 A7 B7 五、發明説明 發明領域 關方;積體電路(I C ),更特定而言係關於一種製造 —I I 白勺 彳’其包含至少一低介電常數k,具有改進的黏 著力之層間介電膜,其結合了低缺陷密度及增強的電性特 性。 發明背景 十—骨油 . 豆座系持續地朝向改進密度及效能,而促使來使用 先^進的内連線結構。舉例而言,銅C u已引進到0.22 # m佈 、泉技術的世代’以及其產品,而藉由0.13 # m的世代來臨, 其可預期邊低介電常數介電質(具有相對介電常數為3.8或 更低的材料),其將結合於銅内連線來進一步改進效能。 在金屬化的例子中,很合理地直接選擇新的佈線材料, 但这金屬間介電質UMD)的選擇卻不那麼明確。許多基於 万疋塗有機物質或破璃材料的新低介電常數介電質最近已可 應用於半導體產業。但是需要大量的特徵化及整合的努力 來選擇適當的候選材料,然後將這些材料引用到半導體產 品中。 在IMD的材料選擇過程中,通常係強調該材料的電性及 化學特性。舉例而言,一先進的内連線應用之IMD必須具 有低;1 % $數,低洩漏,高崩潰強度,及在典型的製程 溫度下良好的熱穩定性。 — 雖然在初始的評估過程中可非常強調這些特性,在選擇 一介電質來用於半導體製造時,機械特性及製造性能問題 仍扮演了重要的角&,甚至可能是主要的角&。例如,像 561551 A7 B7
是化學機械研磨(CMP)及封裝作業的機械特性會損害到柔 軟的介電結# ; HU匕’在1!擇_細時,&必須仔細地考 慮機械特性及製造性能。 另外,對於許多低介電常數材料,其基本上需要一黏著 促進劑來確保該低介電常數介電質可有效地黏著到該基 板。此本身即為一個考慮,因為許多目前常用的低介電常 數介電質,像是旋塗有機物或玻璃材料,其特別敏感於由 粒子污染造成的缺陷,因此其會造成該介電質在一低電場 偏壓之下崩潰。因此,在本技藝中已知的典型黏著促進劑 不能使用在低介電常數介電質。 由Brewer等人提出的美國專利編號4,95〇,583及4,732,858揭示 一種黏著促進產品及製程,用以處理一積體的基板。特別 是,Brewer等人描述使用烷氧矽烷來改進一光阻與一基板的 黏著性,以及加入一活化觸媒到該烷氧矽烷來增進在介面 處的鍵結。另外,Brewer等人說明加入一輔助聚合物,例如 甲基纖維素到該烷氧矽烷來增進介面的鍵結。在一些例子 中’並建議加熱該黏著促進劑(在11(M4(rc下進行15-3〇分 鐘)來改進黏著性。 本發明揭示一種黏結一 IMD到一基板或内連線層的製 私’以在重複的熱循環之後產生良好的黏著性,而可維持 該IMD的電性特性(即無缺陷嫫)。該製程需要旋轉地施用 該黏著促進劑,烘烤來促進反應,在塗層該IMD層之後, 以一溶劑清洗來移除未反應的黏著促進劑(以防止在後續 /的1層中形成微粒的缺陷)。由Brewer等人提出的製程將 本尺度_中s s家碎咖)A4規格(2igx297公董) 561551 A7 B7 五、發明説明(3 ) 造成該IMD中有高濃度的微粒缺陷,使其做為一絕緣體而 言並不可靠及有效。
You等人提出的美國專利5J6〇,48〇中揭示使用一矽烷為主 的黏著促進劑,其可施用在該金屬與介電層之間,或其可 $入到該介電層中。如上所述,本發明提出一種製程來黏 著IMD到-基板或内連線層,用以在重複的熱循環之後 產生艮好的黏著性,而可維持該細的電性特性(即無缺陷 膜)。You等人並未提出製程或程序來有效地以一旋塗介電 絕緣體來使用一黏著促進劑。 由上述關於低介電常數介電質的缺點可看出,有需要發 展一種方法,其中可使用低介電常數介電質在背端線 (BEOL)製私中’其中该低介電常數介電質具有改進的黏著 性、低缺陷舍度及良好的電性特性。 發明概要 本發明係關於一種製造1(:的方法,其包含至少一低介電 枭數J包材料接觸於一基板,其中該低介電常數介電質具 有與該基板改進的黏著性,並實質上沒有缺陷。在本發明 中,可藉由製程步驟來得到這種1(:,其包含施用一高濃度 的矽烷偶合劑到一基板上,以及一加熱步驟及一清洗步 騍。其意外地決定出每個這些製程步驟,其將在以下詳細 地定義,為提供一種包含實質上無缺陷,及具有改進的黏 著特性以及良好的電性特性之低介電常數介電質。 特別是,本發明的製程步驟包含: U) 施用一包含至少一聚合基的矽烷偶合劑到一基板的 本紙張尺度適用巾國國*標準(CNS) A4規格㈣㈣7公爱) 561551
表面,藉以提供在該基板上該矽烷偶合劑的實質上均勻的 塗層; (b) 加熱包含該矽烷偶合劑的塗層之基板在約9 〇 °c或更 鬲的溫度,藉以在一含有Si-Ο鍵的基板上提供一表面層; (c ) 以適當的溶劑清洗該加熱的基板,其可有效地移 除任何殘餘的矽烷偶合劑;及
裝 (d)施用一介電材料到該清洗的含有該si_〇鍵的表面。 使用在本發明中的矽烷偶合劑係做為該介電材料的黏著 促進劑,其不會在其中造成任何顯著的缺陷形成。再者, 氡由使用本發明的矽烷偶合劑,其有可能在上述的步驟㈠) I =用一具有約3.8或更低的相對介電常數之低介電常數介 電質。此可允許㈣成具有—實質上無缺陷,低介電常數 介電質的内連線結構,做為一層間或層内介電質。 訂
凰式簡單說 :圖1-4所示為在本發日月中形成—Ic所使用^本製程步 驟及1C包含一貫質上無缺陷,低彳電常s介電質,其具 有與其相結合的改進之黏著特性。 發明詳細 現在將參考本申請案附加的圖面詳細地說明本發明。其 可ί、到在所附圖面中’類似的參考編號係用來描述類似 及/或對應的元素。 一 首先參考圖1 ’其說明在執行本發明第一步驟之後所形 成的結構,即在施用-我偶合劑到—基板的表面上之 後。
:是:圖1所示的結構包含具有—塗層12的基板1〇, /、I含一矽烷偶合劑形成在其上。 =·在本㈣中的基㈣可為—含有㈣半導體材料, W1、slGe切上絕緣體;—導電金屬,例如Cu、、 例如?仆Ag、Au ’及其合金或多疊層;-銅位障材料, 人/切,或-非晶形碳切材料,其也可(也可不)包 Z ’或-内連線結構的該内連綠層之…當基板10為一 連綠層,Μ基板可由任何f用的無機物構成,例如⑽ 4鈇礦形式的氧化物’或有機物,例如聚亞酿胺,介電2 材科,而其可在期中包含導電金屬線或通道。4 了清楚起 見,基板10並未顯示該導電金屬線或通道的存在,然而, 該基板可包含相同者。 止當基板10為一 1C結構的内連線層,其使用習用技術來製 造,其包含金屬鑲嵌,雙金屬鑲嵌及非金屬鑲嵌,例如本 技藝專業人士所熟知的金屬蝕刻製程。因為該内連線結構 的製造為人所熟知,且並非本發明的關鍵,在此並不提供 關於相同者的詳細討論。 在此處所使用的該名詞“矽烷偶合,,劑代表任何含有碎燒 的材料,其中具有至少一聚合基,其可做為後續低介電$ 數介電材料的黏著促進劑。特別是,在本發明所使用的石夕 烷偶合劑為一烷氧基矽烷,其具有以下的化學式: 561551 A7 B7 五、發明説明(6 ) R丨a
I X — Si — (0R3)y
I R2b 其中X為一聚合基,其可進行一 Diels-Alder反應,或一自 由基反應,並可由烯類(alkenes)、正测§1胺烯 (norborenylenes)、乙晞基(vinyl)及晞類(alkynes)選出;R 1 及 R2 可為相同或相異,其可為氫(H)、烷基(alkyl)、烷氧基 (alkoxy)、燒基醋類(alkylester)、晞基(alkenyl)、块類 (alkynyl)、環烴(aryl),或環燒基(cycloalkyl) ; R3 為娱:基(alkyl) 或-C(0)R4基,其中R4為烷基(alkyl) ; a及b為相同或相異, 其可為0、1或2,而y為1 - 3,其附帶條件為a + b + y的總合 為3。 在本發明中可使用不同的燒氧基石夕燒(alkoxysilane),例如 乙晞基三燒氧基石夕燒(vinyltrialkoxysilane)、乙烯基三垸氧基石夕 坑(allyltrialkoxysilane)、 乙 烯 基 -— 苯 烷」 R基 矽 燒 (vinyldiphenylalkoxysilane) 正 硼 三 乙 氧 基 矽 烷 (norborenyltrialkoxysilane) 1 及 三 乙 晞基三烷 氧基 矽 烷 (trivinyltrialkoxysilane)。一 些特定的範例包含 乙晞基三1 酷酸基 石夕貌(vinyltriacetoxysilane)、 乙 晞 基 三 烷 氧基 石夕 烷 (vinyltrimethoxysilane) 、 乙 稀 基 三 乙 氧 基 矽 烷 (vinyltriethoxysilane) 、 烯 丙 基 三 甲 氧 基 矽 烷 (allyltrimethoxysilane) 、 乙 烯 基 二 乙 氧 基 矽 烷 (vinyldiphenylethoxysilane) 正 硼 三 乙 氧 基 矽 烷 ___- 10 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 561551 A7 B7 五、發明説明(7 ) (norborenyltriethoxysilane)及三〇晞基三燒氧基石夕燒 (trivinyltriethoxysilane)。在本發明中可使用的不同燒氧基石夕燒 中,較佳地是乙晞基三燒氧基石夕燒(vinyltrialkoxysilanes),例 如乙晞基三錯酸基石夕燒(vinyltriacetoxysilane)、乙烯基三曱氧 基石夕燒(vinyltrimethoxysilane)及乙晞基二乙氧基石夕垸 (vinyldiphenylethoxysilane)。在這些乙烯基三燒氧基石夕统 (vinyltrialkoxysilanes)中,最佳地是乙晞基三酷酸基石夕燒 (vinyltriacetoxysilane) 〇 雖然在此處使用矽烷偶合劑,在本發明中需要藉由施用 一定量的矽烷偶合劑,藉以在該基板的表面上得到一實質 上均勻的矽烷偶合劑的塗層。藉由“實質上均勻”,其代表 施用該矽烷偶合劑,藉以在該基板上得到一連續的矽烷偶 合劑的塗層,在其中沒有任何不連續性。 為了在基板1 0的表面上得到該實質上均勻的矽烷偶合劑 的塗層,其使用該矽烷偶合劑的濃縮溶液。此處所使用的 名詞“濃縮”代表一矽烷偶合劑的溶液,其中該矽烷偶合劑 存在濃度約為0.10%或更高,更佳地是,其濃度約為0.2%到 約5.0%。最佳的濃度約為2.5%。基本上,其使用一有機溶 劑來稀釋該燒氧基碎垸黏著促進劑(alkoxysilane adhesive promoter)。這種溶劑的範例包含:丙烯乙二醇單甲烷基醚 醋酸脂(propylene glycol monomethyl ether acetate)、丙晞乙二醇單 甲燒基 St 醇(propylene glycol monomethyl ether alcohol)及環己垸 (cyclohexane)。但是,在一些例子中,可使用水做為稀釋溶 劑。 ____________ _· 11 _ 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 561551 A7 B7 五、發明説明( 該矽烷偶合劑可使用本技藝專業人士所孰 *、、、知的任何 裝置來施用到該基板上,其中包含,但 自用 « 於:旋塗塗 層、噴灑塗層、沉浸塗層、塗刷、蒸鍍、溶解, 、^ 以在該基板上形成實質上均勻的矽烷偶合它可 法。 σ刎艾塗層的方 根據本發明的下-步驟’圖i所示的結構進^加熱 烘烤,其在溫度約90t或更高’其時間約為1()到約· 秒,最佳地是聊,以得到在其上含有Sic>鍵的基板μ 之上的-表面層Μ,請參見圖2。特別是,該表面層 以下的偶合:
X
Substrate — 〇 — si — 其中X為如上的定義。 特定而言,此加熱步驟係在由約⑽到約細。c的溫度 下來進仃’其時間由約i 〇秒到約3〇〇秒。再者,此加熱步 驟形成在具有Si.O鍵的基板之表面上的塗層,其基本上是 在一惰性氣體環境中來進行,例如Ar、He、其混合 物。 在上述的加熱步驟之後,圖2所示的結構接受一清洗步 驟八可有效地由該結構中移除任何殘留的未反應矽烷偶 合劑’而僅留下一結構中基板10在其上具有Si-Ο塗層 1 4,請參見圖3。 特疋而s,本發明的清洗步驟使用一適當的溶劑來進 &張尺度適财關 561551 A7 B7 五、發明説明(9 ) 行’例如丙^布乙一醇單甲fe基酸酷酸@旨(pr〇pyiene glycol monomethyl ether acetate) (PGMEA),其能夠由該結構中移除該矽 燒偶合劑,而不會移除S i - 0塗層1 4的任何實質部份。該 清洗步驟基本上是在1 9 - 2 6 °C的溫度下進行,但可使用升 高到最高為4 5 °C之溫度。 在該清洗步驟之後,可利用一選擇性的後烘烤步驟,其 使用如上述相同或相異的條件。 接下來,如圖4所示,一具有約3.8或更低的介電常數之 介電材料1 6,即低介電常數介電質,其形成在基板1 〇的 Si-Ο處理的表面14之上。該低介電常數介電質藉由使用 任何習用的沉積處理來形成在該基板的S i - 〇處理的表面, 其包含,但不限於:旋塗塗層、化學溶液沉積、化學氣相 沉積(CVD)、電漿輔助CVD、蒸鍍、沉浸塗層,及其它類似 能夠在一結構上形成一低介電常數介電層的沉積處理。
在本發明中可使用的適當低介電常數介電質包含,但不 限於:聚亞芳基醚(polyarylene ethers)、固性聚亞芳基謎 (thermosetting polyarylene ethers)、芳香熱硬化樹脂(aromatic thermosetting resins),例如 SiLK® (由 Dow Chemical公司提供的半 導體介電質);聚亞醯胺(polyimides);含矽的聚合物,例如 三氧化碎燒(hydrogensilsesquioxanes) 及有機碎垸 (organosilsesquioxanes);苯環丁晞(benzocyclobutenes);聚正棚垸 (polynorboranes); 聚對二甲苯基共聚合物(parylene copolymers);聚對二曱苯基-F(parylene-F);聚 (polynapthalene);聚四氟(polytetrafluoronaphthalene);聚(八 |L __-13-_ 本纸張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) ψ 裝 訂 561551 A7 ___B7 五、發明説明(1()) 二苯環丁晞)(poly(octafluoro-bis-benzocyclobutene));鐵氟龍· A F (Telfon-AF);氟化非晶形碳(fluorinated-amorphous carbon); 乾凝膠(Xerogels)及奈米多孔石夕(nanoporous silica)。 每個上述低介電常數的介電質之說明可見於以下的文 獻,其係出版於MRS公告,1997年10月,第22冊,第10 期,其内容在此引用做為參考: (i) T-Μ· Lu等人,“低介電常數聚合膜的氣相沉積”(“Vapor Deposition of Low-Dielectric-Constant Polymeric Films”),第 2 8 - 3 1 頁; (ii) Nigel P. Hacker,“低介電常數應用的有機及無機旋塗聚合 物 ”(“Organic and Inorganic Spin-On Polymers for Low-Dielectric-Constant Applications”),第 3 3 - 3 8 頁; (iii) Changming Jin等人,“微細多孔石夕石做為一超低介電常婁丈 介電質 ”(“Nanoporous Silica as an Ultralow-k Dielectric”,第 3 9 - 4 2 頁;及 (i v) Kazuhiko Endo,“轨化非晶形碳做為一低介電常數層 間介電層 ’’(“Fluorinated Amorphous Carbon as a Low-Dielectric-Constant Interlayer Dielectric”),第 5558 頁。 在本發明中所使用的一些較佳的低介電常數介電質包 含:甲貌基石夕燒(methylsilsesquixoane) (MSSQ)、氫琪化石夕:):完 (hydridosilsesquixoane)及SiLK®。-- 上述製程步驟可重複任何次數,以提供一多重層内連線 結構,其包含實質上無缺陷,具有良好黏著性及良好電性 特性的低介電常數材料。 -14 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
561551 A7 B7 五、發明説明(„ 其必須注意到,上述不同的製程步驟為得到一實質上無 缺陷,低介電常數材料,其具有相結合的良好黏著性及良 好電性特性。如果省略上述製程步驟中一或多個,該介電 層會缺少良好的黏著性’可具有一南度的缺陷密度,及/ 或其可具有與其結合的不良電性特性。 以下的範例係用來說明使用本發明的方法可得到的一些 好處,並顯示本發明製程步驟在得到具有良好黏著性及良 好電性特性之實質上無缺陷,低介電常數材料之重要性。 範例 範例1 製備三種黏著促進劑的溶液,並評估來決定其能力來鍵 結到一含矽的基板(即形成與一基板的S i - 0共價鍵)。所選 擇的基板為一 8“英吋裸晶矽晶圓(η型),其具有一薄(15A) 原始氧化塗層。該三種溶液包含:(1 )在丙晞乙二醇甲烷 基醚醇(propylene glycol monomethyl ether alcohol)中含有 〇 · 1 % 3 -氟基丙三甲氧基石夕燒(3-aminopropyltrimethoxysilane)的溶液,(2 ) 在丙晞乙二醇甲燒基醚醋酸脂(propylene glycol monomethyl ether acetate) (PGMEA)中含有1.0%的乙烯基三醋酸基矽烷 (vinyltriacetoxysilane)溶液,及(3 )在 PGMEA 中含有 2.5% 的乙烯 基三醋酸基石夕燒(vinyltriacetoxysilane)溶液。每個含有相當於1 莫耳的水之溶液,其部份水解該烷氧基矽烷(即相當於3莫 耳即可造成完全的水解)。 該黏著促進劑溶液係旋塗在該8叶;?夕基板上。在每次分 割中製備兩組具有多個晶圓的晶圓,因此表1中的數值代 ______ 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 裝 訂 f 561551 A7 B7 五
表-平均值。第-組晶圓接受以下的處理流程:旋轉 黏著促進劑(旋轉來乾燥),測試厚度,在1〇〇t下烘烤6 秒’以PGMEA清洗,重_試厚度,及評估水接觸角 晶圓表面上(前進接觸角)。第二組晶圓接受以下的處^ 程:旋轉施用黏著促進劑(旋轉來乾燥),測試厚度,、: 行烘烤,以PGMEA清洗,重新測試厚度,並評估^晶 面上的水接觸角(前進接觸角)。 該實驗結果列於下表。 表1 樣本\製程 旋轉施用,測試厚度, 烘烤,清洗,重新測試 厚度及評估接觸角 ~ --—~~—-- 旋轉施用,測試厚度, 無烘烤,清洗,重新測 違及評估接觸帛 3-氟基丙三甲氧基矽烷(3-aminopropyltrimethoxysilane) 的0.1 %溶液 初始厚度=15.7A 清洗後厚度=17.1 A 接觸角=3 8度 初始厚度=15.7 A 清洗後厚度=3.9 A 角=26度 乙烯基三醋酸基矽烷 (vinyltriacetoxysilane)的 1 ·0% 溶液 初始厚度=37.0 A 最終厚度=2.1 A 接觸角=65度 初始厚度=37.0 A 最終厚度=1.4 A 接觸角==π # 乙烯基三醋酸基矽烷 (vinyltriacetoxysilane)的 2.5% 溶液 初始厚度=123 A 最終厚度=5.1 A 接觸角=65度 ---------- 11/5. 初始厚度=123 A 最終厚度=1.5 A 楚雙度 裝 訂
表1中的結果顯示出在兩個不同濃度下螽I 礼I兩三甲氧基 二 ______-16- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 561551 A7 B7
五、發明説明(13 珍烷(ammopr〇pyltrimeth〇Xysiiane) (Aps)黏著促進劑及乙埽基三 醋fe基珍板(vinyltriacet0Xysilane) (VTAS)黏著促進劑之間的比 較。該APS黏著促進劑提供_ 15·7 A的塗層厚度,其由一光 管儀器來量測。該完整厚度在當該樣本被烘烤及清洗時可 維持,但是當該移除該烘烤步驟時,即移除一相當的量。 該厚度保持代表該黏著促進劑非常地活性化。 在兩個不同濃度下分析該VTAS (乙晞基三醋酸基石夕坡 (VinyltriaCet〇Xysilane))黏著促進劑顯示出此系統並不具有相同 層級的反應性…單層的黏著促進劑之理論厚度約& A。在表1中的估結果可用_濃縮的溶液達成—單層的黏 著促進劑(濃縮係相對於傳統的黏著促進劑),一中間烘烤 結果及清洗。移除該烘烤步驟造成幾乎完全移除該黏著促 進劑,其無關於該黏著促進劑濃度。且如果該濃度不足夠 高時(此U為>2%) ’無法達到完整覆蓋㈣著促進劑, 而無法得到一單層。 所有以上的㈣促進劑在該基板的表面上共價地鍵結幾 基功能’並改變該晶圓表面的表面特性。具有該原始氧化 層的裸露碎基板的初始水接觸角為6度。表^評估代表在 不進行烘烤處理下,該表面實質上被修改,除了在該 黏者促進劑。此代表該烘烤在修正該b%圓表面的表面特性 時為關鍵。 範例2
561551 A7 B7 五、發明説明(14 ) (TDDB),線對線洩漏等。其進行試驗來決定金屬間介電 (IMD)薄膜中外界物質的量對於該黏著促進劑的影響。該 SiLK®半導體介電質係以描述在範例1中表丨之第二行中的 黏著促進劑處理來旋塗在矽基板上。所有的樣本皆進行1〇〇 °C烘烤,但是可具有及不具有PGMEA清洗處理。其結果顯 示於下表2。 表2 樣本\製程 k 具有PGMEA清洗的黏 著促進劑處理之SiLK® 中的FM缺陷數目 不具有PGMEA清洗的黏 著促進劑處理之SiLK® 中的FM缺陷數目 乙晞基三醋酸基矽烷 (vinyltriacetoxysilane)的 1·0〇/〇 溶液 FM< 10 FM>3000 乙烯基三醋酸基矽烷 (vinyltriacetoxysilane)的 2.5% 溶液 FM< 10 FM > 2800
裝 訂 f . 表2中的結果代表具有VTAS黏著促進劑之PGMEA清洗為 降低IMD層(例如SiLK®)中F Μ缺陷所必須。 範例3 黏著試驗係使用表1的第-二行中所述的三種黏著促進 劑,以Dow Chemical公司提供的SiLK®半導體介電質來進行。 其進行兩種不同的黏著測試;一修正的邊緣抬起測試 ______-18-__ 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 561551 A7 B7 五、發明説明(15 ) (MELT)來評估該破裂硬度及一 9 0度的剥離測試。該黏著度 係在一單一硬化處理及6個額外硬化處理(T6)之後來評 估,以模擬在一多層積集架構中模擬多重熱硬化處理的效 果。該SiLK®在所有情況中係在385°C下硬化。該結果示於 表3 〇 表3 樣本 Μ Μ 剥離強度 剝離強度 (MPam'1/2) (MPam·172) (g/mm) (g/mm) 如硬化值 T6 如硬化值 T6 0.1% APS 0.44 0.30 18 7 1.0% VTAS 0.48 0.39 18 13 2.5% VTAS 0.56 0.42 24 21 裝 訂 表3中的結果代表該2.5% VTAS黏著促進劑係優於一次硬 化,及一額外模擬的6個硬化處理(T 6)之後的SiLK®。該 APS黏著促進劑為一胺為主的系統,其不會共價鍵結於 SiLK® ,因此具有最差的黏著特性。該1.0% VTAS黏著促進 劑由於該黏著促進劑的不良覆蓋而在T 6處呈現顯著的黏著 性降低。 當此發明以關於其較佳具體實施例來特別地顯示及說 明,本發明專業人士將可暸解到,前述及在形式及細節上 的其它改變可在不背離本發明的精神及範圍之下來進行。 因此,本發明不限於所揭示及說明的確實形式及細節,但 仍落在所附申請專利範圍中。 _-19-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)

Claims (1)

  1. 一種製造積體電路之方法,其至少包含以下步驟·· U)施用一包含至少一聚合基的矽烷偶合劑到一基板 的表面,藉以提供在該基板上該矽烷偶合劑的實質上均 勻的塗層; (b)在約9(TC或更高的溫度加熱包含該矽烷偶合劑 的塗層之基板,藉以提供一含有S i - 〇鍵的該基板至一 表面; U)以一適當的溶劑清洗該加熱的基板,其可有效地 移除任何殘餘未反應的矽燒偶合劑;及 (d)施用一介電材料到該清洗的含有該Si-〇鍵的表 面0 如申請專利範圍第1項之方法,其中該基板為一含矽基 板’一導電金屬,一金屬位障介電質,或一 1C的層間介 電層’其具有形成在其中的金屬線及通道。 如申請專利範圍第1項之方法,其中該矽烷偶合劑為任 何一種在其中具有至少一聚合基的含矽烷化合物。 如申請專利範圍第3項之方法,其中該矽烷偶合劑為具 有下式的化合物: R!a X — Si — (0R3)y L " R2b 其中X為一可聚合基團,其係選自包括烯類、乙烯基及 炔類;R 1及R2可為相同或相異,其可為氫、烷基、 '^元 561551 A8 B8 C8 D8 々、申請專利範圍 · .氧基、烷基酯、晞基、炔基、芳基,或環烷基;R3為烷 基或-C(0)R4基,其中R4為烷基;a及b為相同或相異,其 可為0、1或2,而y為·1-3,其附帶條件為a + b + y的總合 為3 0 5. 如申請專利範圍第4項之方法,其中該矽烷偶合劑為一 烷氧基矽烷。 6. 如申請專利範圍第5項之方法,其中該烷氧基矽烷係由 乙晞基三醋酸基石夕燒(vinyltriacetoxysilane)、乙稀基三甲氧 基石夕燒(vinyltrimethoxysilane)、乙晞基三乙氧基石夕燒 (vinyltriethoxysilane)、统基三甲氧基石夕燒(allyltrimethoxysilane)、 乙晞基二乙氧基石夕垸(vinyldiphenylethoxysilane)、正测三乙氧 基石夕燒(norborenyltriethoxysilane)及三乙晞基三统氧基石夕燒 (trivinyltriethoxysilane)所組成的群組中選出。 7. 如申請專利範圍第1項之方法,其中該矽烷偶合劑為乙 晞基三醋酸基石夕燒(vinyltriacetoxysilane)。 8. 如申請專利範圍第1項之方法,其中該矽烷偶合劑係以 一濃縮的溶液施用。 9. 如申請專利範圍第8項之方法,其中該矽烷偶合劑係以 約為0.10%或更高的濃度存在於該濃縮的溶液中。 10. 如申請專利範圍第9項之方法,其中該矽烷偶合劑之存 在濃度由約0.2%到約5.0%。-- 11. 如申請專利範圍第1 0項之方法,其中該矽烷偶合劑之存 在濃度約為2.5%。 12. 如申請專利範圍第1項之方法,其中該矽烷偶合劑係由 -21 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) f k 訂
    561551 A8 B8 C8
    .旋塗沉積、噴灑塗層 來施用到該基板。 沉浸披覆、塗刷 蒸錄,或溶解 13·如申請專利範圍第1項之方法 約為1 0秒或1 0秒以上。 八中步琢(b)的進行時間 H.如申請專利範圍第工項之方法’其中步驟⑻之進行溫产 由約90t到約2(KTC ’其進行時間由約1()秒到約細秒。又15•如申請專利範圍第Η之方法,其中步驟(b)係在—惰性 氣體5哀境下進行。 16.如申請專利範圍第β之方法,其中在步驟⑷中的該溶 劑為丙浠乙二醇單甲燒基謎醋酸醋(propane g丨yc〇i monomethyl ether acetate) ° 17·如申請專利範圍第i項之方法,其中一選擇性烘烤步驟 在步驟(c )之後但在步驟(d)之前進行。 18·如申請專利範圍第i項之方法,其中該介電材料之介電 常數約為3.8或更低。 19·如申請專利範圍第1項之方法,其中步驟(d)包含旋塗塗 層,化學溶液沉積,化學氣相沉積(CVD),電漿輔助 CVD,蒸鍍及沉浸塗層。 20.如申請專利範圍第1 6項之方法,其中該介電材料為一聚 醯亞胺;一含矽的聚合物;苯并環丁晞;聚正硼烷;聚 亞芳基醚;熱硬化聚亞芳基醚;芳香熱硬化樹脂;聚對 一甲苯基共聚合物;聚對二甲苯基- F(parylene-F); 聚莕;聚四氟莕;聚(八氟二苯環丁烯);鐵氟龍-AF ; 氟化非晶形後;乾凝膠(Xerogels)或奈米多孔碎(nanoporous -22- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) k 訂 # 8 8 8 8 A BCD 561551 々、申請專利範圍 silica) 〇 21. 如申請專利範圍第2 0項之方法,其中該介電材料為甲烷 基石夕氧垸(MSSQ)、氫琪化石夕氧燒(hydridosilsesquixoane)或 SiLK® ° 22. 如申請專利範圍第1項之方法,其中製程步驟(a)-(d)可 重複任何次數來提供一多層内連線結構。 -23- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
TW091103031A 2001-02-21 2002-02-21 Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density TW561551B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/789,422 US6455443B1 (en) 2001-02-21 2001-02-21 Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density

Publications (1)

Publication Number Publication Date
TW561551B true TW561551B (en) 2003-11-11

Family

ID=25147596

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091103031A TW561551B (en) 2001-02-21 2002-02-21 Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density

Country Status (12)

Country Link
US (1) US6455443B1 (zh)
EP (1) EP1390972B1 (zh)
JP (1) JP3759108B2 (zh)
KR (1) KR100516534B1 (zh)
CN (1) CN1251312C (zh)
AT (1) ATE333144T1 (zh)
DE (1) DE60213086T2 (zh)
ES (1) ES2262797T3 (zh)
IL (1) IL157506A (zh)
IN (1) IN2003DE01322A (zh)
TW (1) TW561551B (zh)
WO (1) WO2002069381A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI504514B (zh) * 2012-12-11 2015-10-21 Ind Tech Res Inst 層疊結構、其製造方法及發光裝置
TWI682031B (zh) * 2017-11-22 2020-01-11 台灣積體電路製造股份有限公司 製作半導體裝置的方法及清潔基板的方法

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6685983B2 (en) * 2001-03-14 2004-02-03 International Business Machines Corporation Defect-free dielectric coatings and preparation thereof using polymeric nitrogenous porogens
US6716771B2 (en) * 2002-04-09 2004-04-06 Intel Corporation Method for post-CMP conversion of a hydrophobic surface of a low-k dielectric layer to a hydrophilic surface
WO2004053205A2 (en) * 2002-07-22 2004-06-24 Massachusetts Institute Of Technolgoy Porous material formation by chemical vapor deposition onto colloidal crystal templates
US6974762B2 (en) * 2002-08-01 2005-12-13 Intel Corporation Adhesion of carbon doped oxides by silanization
JP2004274020A (ja) * 2002-09-24 2004-09-30 Rohm & Haas Electronic Materials Llc 電子デバイス製造
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
WO2004038783A2 (en) * 2002-10-21 2004-05-06 Massachusetts Institute Of Technology Pecvd of organosilicate thin films
US7248062B1 (en) 2002-11-04 2007-07-24 Kla-Tencor Technologies Corp. Contactless charge measurement of product wafers and control of corona generation and deposition
KR20040051097A (ko) * 2002-12-11 2004-06-18 패럴린코리아(주) 패럴린(parylene) 고분자 코팅의 접착력을 증진시키는전처리 방법 및 이를 응용한 장치
CN100334695C (zh) * 2003-01-02 2007-08-29 上海华虹(集团)有限公司 一种含硅低介电常数材料炉子固化工艺
TW200421483A (en) * 2003-03-17 2004-10-16 Semiconductor Leading Edge Tec Semiconductor device and method of manufacturing the same
US7164197B2 (en) * 2003-06-19 2007-01-16 3M Innovative Properties Company Dielectric composite material
US6825561B1 (en) 2003-06-19 2004-11-30 International Business Machines Corporation Structure and method for eliminating time dependent dielectric breakdown failure of low-k material
JP4106438B2 (ja) * 2003-06-20 2008-06-25 独立行政法人産業技術総合研究所 多層微細配線インターポーザおよびその製造方法
US6992003B2 (en) * 2003-09-11 2006-01-31 Freescale Semiconductor, Inc. Integration of ultra low K dielectric in a semiconductor fabrication process
US7056840B2 (en) * 2003-09-30 2006-06-06 International Business Machines Corp. Direct photo-patterning of nanoporous organosilicates, and method of use
US6903004B1 (en) 2003-12-16 2005-06-07 Freescale Semiconductor, Inc. Method of making a semiconductor device having a low K dielectric
US6962871B2 (en) * 2004-03-31 2005-11-08 Dielectric Systems, Inc. Composite polymer dielectric film
US7309395B2 (en) * 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
US7094661B2 (en) * 2004-03-31 2006-08-22 Dielectric Systems, Inc. Single and dual damascene techniques utilizing composite polymer dielectric film
US20060046044A1 (en) * 2004-08-24 2006-03-02 Lee Chung J Porous composite polymer dielectric film
US7271697B2 (en) * 2004-12-07 2007-09-18 Multi-Fineline Electronix Miniature circuitry and inductive components and methods for manufacturing same
US20060128163A1 (en) * 2004-12-14 2006-06-15 International Business Machines Corporation Surface treatment of post-rie-damaged p-osg and other damaged materials
US7446055B2 (en) * 2005-03-17 2008-11-04 Air Products And Chemicals, Inc. Aerosol misted deposition of low dielectric organosilicate films
US20060275547A1 (en) * 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
US20060275616A1 (en) * 2005-06-03 2006-12-07 Clough Robert S Silane-based coupling agent
WO2007020464A1 (en) 2005-08-19 2007-02-22 Avx Limited Solid state capacitors and method of manufacturing them
US20070109003A1 (en) * 2005-08-19 2007-05-17 Kla-Tencor Technologies Corp. Test Pads, Methods and Systems for Measuring Properties of a Wafer
GB0517952D0 (en) * 2005-09-02 2005-10-12 Avx Ltd Method of forming anode bodies for solid state capacitors
JP4616154B2 (ja) * 2005-11-14 2011-01-19 富士通株式会社 半導体装置の製造方法
US7851138B2 (en) * 2007-07-19 2010-12-14 Hitachi Global Storage Technologies, Netherlands, B.V. Patterning a surface comprising silicon and carbon
US7760487B2 (en) * 2007-10-22 2010-07-20 Avx Corporation Doped ceramic powder for use in forming capacitor anodes
US7760488B2 (en) * 2008-01-22 2010-07-20 Avx Corporation Sintered anode pellet treated with a surfactant for use in an electrolytic capacitor
US7768773B2 (en) * 2008-01-22 2010-08-03 Avx Corporation Sintered anode pellet etched with an organic acid for use in an electrolytic capacitor
US7852615B2 (en) * 2008-01-22 2010-12-14 Avx Corporation Electrolytic capacitor anode treated with an organometallic compound
KR20110014996A (ko) * 2008-05-08 2011-02-14 바스프 에스이 탄화규소 층을 포함하는 층상 구조물, 이의 제조 방법 및 용도
US8203827B2 (en) * 2009-02-20 2012-06-19 Avx Corporation Anode for a solid electrolytic capacitor containing a non-metallic surface treatment
CN102487057B (zh) * 2010-12-03 2014-03-12 中芯国际集成电路制造(北京)有限公司 金属前介质层及其制造方法
TWI445626B (zh) * 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
CN103367107B (zh) * 2012-04-09 2016-04-20 中芯国际集成电路制造(上海)有限公司 改善表面结合力的方法
DE112014001822T5 (de) * 2013-04-04 2015-12-24 Fuji Electric Co., Ltd. Verfahren zum Fertigen einer Halbleitervorrichtung
US9159556B2 (en) 2013-09-09 2015-10-13 GlobalFoundries, Inc. Alleviation of the corrosion pitting of chip pads
US9806132B2 (en) * 2013-11-22 2017-10-31 General Electric Company Organic X-ray detector with barrier layer
CN105418926B (zh) * 2014-09-12 2018-07-13 中国科学院上海高等研究院 一种含氟萘乙基硅树脂及其制备方法和应用
JP6540361B2 (ja) 2015-08-18 2019-07-10 富士通株式会社 半導体装置及びその製造方法
US9799593B1 (en) * 2016-04-01 2017-10-24 Intel Corporation Semiconductor package substrate having an interfacial layer
CN110965045A (zh) * 2018-09-29 2020-04-07 南京理工大学 一种利用Parylene微纳米薄膜对薄壁聚能切割索进行防护的方法
US11500290B2 (en) * 2018-11-13 2022-11-15 International Business Machines Corporation Adhesion promoters
CN110606970A (zh) * 2019-09-30 2019-12-24 福州大学 一种提升涂层与塑料粘结力的塑料表面预处理方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2193864B1 (zh) * 1972-07-31 1974-12-27 Rhone Poulenc Sa
JPH0791509B2 (ja) * 1985-12-17 1995-10-04 住友化学工業株式会社 半導体用絶縁膜形成塗布液
US4732858A (en) * 1986-09-17 1988-03-22 Brewer Science, Inc. Adhesion promoting product and process for treating an integrated circuit substrate
US4950583A (en) * 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
EP0749500B1 (en) * 1994-10-18 1998-05-27 Koninklijke Philips Electronics N.V. Method of manufacturing a thin silicon-oxide layer
US5760480A (en) * 1995-09-20 1998-06-02 Advanced Micro Devics, Inc. Low RC interconnection
JPH09143420A (ja) * 1995-09-21 1997-06-03 Asahi Glass Co Ltd 低誘電率樹脂組成物
US6071830A (en) * 1996-04-17 2000-06-06 Sony Corporation Method of forming insulating film
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI504514B (zh) * 2012-12-11 2015-10-21 Ind Tech Res Inst 層疊結構、其製造方法及發光裝置
TWI682031B (zh) * 2017-11-22 2020-01-11 台灣積體電路製造股份有限公司 製作半導體裝置的方法及清潔基板的方法

Also Published As

Publication number Publication date
US20020160600A1 (en) 2002-10-31
JP3759108B2 (ja) 2006-03-22
DE60213086D1 (de) 2006-08-24
IL157506A (en) 2007-06-03
JP2004532514A (ja) 2004-10-21
US6455443B1 (en) 2002-09-24
IL157506A0 (en) 2004-03-28
ES2262797T3 (es) 2006-12-01
DE60213086T2 (de) 2006-12-28
EP1390972B1 (en) 2006-07-12
KR100516534B1 (ko) 2005-09-22
WO2002069381A3 (en) 2003-12-18
CN1550036A (zh) 2004-11-24
CN1251312C (zh) 2006-04-12
KR20030071841A (ko) 2003-09-06
WO2002069381A2 (en) 2002-09-06
EP1390972A2 (en) 2004-02-25
IN2003DE01322A (zh) 2005-05-27
ATE333144T1 (de) 2006-08-15

Similar Documents

Publication Publication Date Title
TW561551B (en) Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density
JP2019208054A (ja) 半導体及びインターポーザ加工のためのキャリア結合方法及び物品
TWI359443B (en) Method of manufacturing semiconductor device and s
JP2589950B2 (ja) 金属バイア形成方法
US8716209B2 (en) Agent for post-etch treatment of silicon dielectric film, method of manufacturing semiconductor device, and semiconductor device
TWI326891B (en) Material for forming adhesion reinforcing layer, adhesion reinforcing layer, semiconductor device, and manufacturing method thereof
US6828258B2 (en) Method of forming an insulating film having SI-C, SI-O and SI-H bonds to cover wiringlines of a semiconductor device
JP2008117903A (ja) 半導体装置の製造方法
JP2007508691A (ja) シリル化剤を用いる低誘電率誘電材料の損傷の修復
JP2010533966A (ja) 低誘電率の誘電性材料の表面調整
JP2003100865A (ja) 半導体基板の製造方法および半導体基板
JP2010267971A (ja) 窒素含有前駆物質を用いる誘電体バリアの堆積
US7830012B2 (en) Material for forming exposure light-blocking film, multilayer interconnection structure and manufacturing method thereof, and semiconductor device
TWI336506B (en) Semiconductor device fabrication method
KR101413803B1 (ko) 구리의 전자-이동 저항성을 고양하기 위한 코팅 방법
JP4451457B2 (ja) 絶縁膜材料及びその製造方法、多層配線及びその製造方法、並びに、半導体装置の製造方法
JP2007305755A (ja) 半導体装置の製造方法
TW495880B (en) Method of repairing a low dielectric constant material layer
JP2006351877A (ja) 積層体の製造方法、半導体デバイスおよび半導体デバイスの製造方法
US20040188675A1 (en) Semiconductor device and method of manufacturing the same
JP2005175396A (ja) ダマシン法を用いた配線の形成方法及び該配線形成に用いるシリカ系被膜形成用塗布液
TW200531183A (en) Repairing damage to low-k dielectric materials using silylating agents

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees