TWI682031B - 製作半導體裝置的方法及清潔基板的方法 - Google Patents

製作半導體裝置的方法及清潔基板的方法 Download PDF

Info

Publication number
TWI682031B
TWI682031B TW107132121A TW107132121A TWI682031B TW I682031 B TWI682031 B TW I682031B TW 107132121 A TW107132121 A TW 107132121A TW 107132121 A TW107132121 A TW 107132121A TW I682031 B TWI682031 B TW I682031B
Authority
TW
Taiwan
Prior art keywords
particles
size
substrate
semiconductor wafer
cleaning
Prior art date
Application number
TW107132121A
Other languages
English (en)
Other versions
TW201925450A (zh
Inventor
李中傑
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201925450A publication Critical patent/TW201925450A/zh
Application granted granted Critical
Publication of TWI682031B publication Critical patent/TWI682031B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/008Polymeric surface-active agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/162Organic compounds containing Si
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/22Carbohydrates or derivatives thereof
    • C11D3/222Natural or synthetic polysaccharides, e.g. cellulose, starch, gum, alginic acid or cyclodextrin
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3757(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1828Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIBVI compounds, e.g. CdS, ZnS, CdTe
    • H01L31/1836Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIBVI compounds, e.g. CdS, ZnS, CdTe comprising a growth substrate not being an AIIBVI compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/20Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials
    • H01L31/202Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials including only elements of Group IV of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Molecular Biology (AREA)
  • Emergency Medicine (AREA)
  • Plasma & Fusion (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

在一種清潔基板的方法中,施加包括尺寸修飾材料的溶液於基板上,在基板上有待移除的複數個顆粒。從這些顆粒及尺寸修飾材料產生複數個尺寸修飾顆粒,這些尺寸修飾顆粒具有比這些顆粒更大的尺寸。自基板移除這些尺寸修飾顆粒。

Description

製作半導體裝置的方法及清潔基板的方 法
本揭示內容係關於製作半導體裝置及/或平板顯示器(flat panel display)的方法,特別係關於清潔晶圓及/或基板的方法。
在製作半導體裝置及/或平板顯示器的過程中,例如蝕刻、沉積及/或研磨的許多製程會造成半導體晶圓、玻璃基板或其他基板上有顆粒和缺陷。雖然已執行許多的清潔操作以減少這些缺陷及/或顆粒,但一般來說仍不易減少奈米等級的顆粒。
在本揭示內容一些實施方式中,一種清潔基板的方法包括:施加包括尺寸修飾材料的溶液於基板上,在基板上有待移除的複數個顆粒。從待移除的這些顆粒及尺寸修飾材料產生複數個尺寸修飾顆粒,這些尺寸修飾顆粒具有比 待移除的這些顆粒更大的尺寸。自基板移除這些尺寸修飾顆粒。
在本揭示內容一些實施方式中,一種製作半導體裝置的方法包括:對設置在半導體晶圓上的一或多層執行電漿製程。施加包括尺寸修飾材料的溶液於半導體晶圓上,在半導體晶圓上有由電漿製程所導致的複數個顆粒。從由電漿製程所導致的這些顆粒及尺寸修飾材料產生複數個尺寸修飾顆粒,這些尺寸修飾顆粒具有比由電漿製程所導致的這些顆粒更大的尺寸。自基板移除這些尺寸修飾顆粒。
在本揭示內容一些實施方式中,一種製作半導體裝置的方法包括:對設置在半導體晶圓上的一或多層執行化學機械研磨(CMP)製程。施加包括尺寸修飾材料的溶液於該半導體晶圓上,在該半導體晶圓上有由該CMP製程所導致的複數個顆粒。從由該CMP製程所導致的這些顆粒及該尺寸修飾材料產生複數個尺寸修飾顆粒,這些尺寸修飾顆粒具有比由該CMP製程所導致的這些顆粒更大的尺寸。自該基板移除這些尺寸修飾顆粒。
10‧‧‧基板
11‧‧‧層
12‧‧‧顆粒
15‧‧‧化學溶液
20‧‧‧化學試劑
20S‧‧‧表面修飾材料
20P‧‧‧聚合物
25‧‧‧噴嘴
30‧‧‧熱、紫外光
40‧‧‧尺寸修飾顆粒
45‧‧‧噴嘴
50‧‧‧清潔溶液
701、702‧‧‧線
1300‧‧‧清潔裝置
1301‧‧‧外殼、外設
1303‧‧‧基板支架
1310‧‧‧晶圓
1315‧‧‧化學溶液源
1321、1323、1325‧‧‧液體噴嘴
1330‧‧‧UV光源、加熱器
1350‧‧‧清潔溶液源
1380‧‧‧控制器
1390‧‧‧儲存器
d‧‧‧直徑
S101、S102、S103、S801、S802、S803、S804、S805、S901、S902、S903、S904、S905、S906、S1001、S1002、S1003、S1004、S1005、S1006、S1101、S1102、S1103、S1104、S1105、S1201、S1202、S1203、S1204、S1205‧‧‧操作
V‧‧‧剪切速度
第1圖是根據本揭示內容的一實施方式繪示清潔基板的方法的流程圖。
第2A、2B、2C圖根據本揭示內容的一實施方式繪示清潔基板的方法。
第3A、3B、3C圖根據本揭示內容的一實施方式繪示清潔基板的方法。
第4A圖示意關於本揭示內容的一實施方式的位於基板上的顆粒的示意圖。第4B圖示意根據本揭示內容的一實施方式的經表面修飾材料修飾尺寸的顆粒的示意圖。第4C圖示意根據本揭示內容的一實施方式的經聚合物修飾尺寸的顆粒的示意圖。第4D圖示意根據本揭示內容的一實施方式的尺寸經修改的顆粒的示意圖。
第5A圖示意關於本揭示內容的一實施方式的矽烷偶聯劑的示意圖。第5B圖示意關於本揭示內容的一實施方式的一顆粒的表面結構的示意圖。第5C圖示意關於本揭示內容的一實施方式的在矽烷偶聯劑反應後的表面結構的示意圖。
第6A圖示意聚丙烯酸的化學結構。第6B圖示意纖維素的化學結構。第6C圖示意聚合型表面活性劑的化學結構。
第7A圖示意根據本揭示內容的一實施方式的原始顆粒的粒度(particle size)(直徑)以及顆粒移除率之間的關係。
第7B及7C圖示意關於本揭示內容的一實施方式的粒度以及清潔液體的剪切速度之間的關係。
第8圖是根據本揭示內容另一實施方式繪示清潔基板的方法的流程圖。
第9圖是根據本揭示內容另一實施方式繪示清潔基板的方法的流程圖。
第10圖是根據本揭示內容另一實施方式繪示清潔基板 的方法的流程圖。
第11圖是根據本揭示內容另一實施方式繪示清潔基板的方法的流程圖。
第12圖是根據本揭示內容另一實施方式繪示清潔基板的方法的流程圖。
第13圖是根據本揭示內容一實施方式的清潔設備的示意圖。
應該理解的是,以下揭示內容提供了用於實現本揭示內容的不同特徵的許多不同實施方式或實例。以下描述元件和佈置的具體實施方式或實例以簡化本揭示內容。當然,這些僅僅是範例,並不意欲限制。例如,元件的尺寸不限於揭露的範圍或數值,而是可以取決於製程條件和/或裝置的期望性質。此外,在下面的描述中,在第二特徵之上或上形成第一特徵可以包括第一和第二特徵形成為直接接觸的實施方式,並且還可以包括形成額外特徵插入第一和第二特徵間的實施方式,使得第一和第二特徵可不直接接觸。為了簡單和清楚起見,各種特徵可以以不同比例任意繪製。在隨附圖示中,為了簡化,可以省略一些層/特徵。
此外,為了便於描述,可以在此使用諸如「在……之下」、「在……下方」、「下」、「在...之上」、「上」等的空間相對術語來描述一個元件或特徵與如附圖所示的另一個元件或特徵間的關係。除了附圖中描繪的方向之 外,空間相對術語意欲涵蓋使用或操作中的裝置的不同方位。裝置可以轉成其他方向(旋轉90度或其他方向),所用的空間相對描述詞可隨之被同樣地解釋。另外,術語「由……構成」可以表示「包括」或「由……組成」。此外,在以下製造過程中,在所描述的操作中/之間可以存在一個或多個附加操作,並且操作順序可以被改變。在本揭示內容中,短語「A、B和C之一」意指「A、B和/或C」(A、B、C、A和B、A和C、B和C、或A、B和C),除非另有說明,並不意味著來自A的一個部分、來自B的一個元素和來自C的一個部分。
目前已提出各種清潔操作用於半導體製造操作中以去除晶圓上的顆粒。例如,已經使用噴射噴灑方法或超聲波清洗方法。但是,隨著最小圖案尺寸縮小,需要藉由機械力進行不會對製造圖案造成損壞的更溫和的清潔操作。另外,隨著最小圖案尺寸縮小,待從晶圓上移除的顆粒的尺寸也縮小。
流體動力阻力(hydrodynamic drag force)Ft是有效清潔或去除顆粒的關鍵因素之一。流體動力阻力Ft是作用在流動流體中的物體(例如,顆粒)上的力,並且與粒度的平方成比例,如以下所示。
Figure 107132121-A0305-02-0007-1
在式1中,d是粒徑,ρg是流體密度,V是流體相對於顆粒的相對速度,Cd是阻力係數,Cc是坎寧漢滑失校正係數(Cunningham slip correction factor)。當流體 動力阻力Ft大於使顆粒附著在晶圓表面上的黏著力時,可藉由流動流體從晶片移除顆粒。從式1可以理解到,作用在奈米尺寸顆粒上的流體動力阻力Ft會迅速降低,這將導致較低的顆粒移除率。一種增加流體動力阻力Ft的方式為增加流體速度(例如,來自噴嘴的流體的液滴速度)。然而,如上所述,較高的流體速會對製造圖案造成損害。
在本揭示內容中,揭露了增加粒度(直徑d)以增加流體動力阻力Ft的技術。藉由增加粒度,可以改善顆粒移除率。
第1圖是根據本揭示內容的一實施方式繪示清潔基板的方法的流程圖。應該理解,可以在第1圖所示的流程之前、期間和之後提供額外的操作。對於此方法的其他實施方式而言,以下所描述的一些操作可以被替換或消除。
首先,準備晶片或基板,在其上有待移除的粒子存在。在一些實施方式中,基板包括半導體晶圓或玻璃基板。在一些實施方式中,一些顆粒的尺寸小於約40奈米(nm),並且在約0.1nm至約40nm的範圍內。在基板上的顆粒中可包含尺寸大於約40nm的顆粒。在第1圖的S101中,執行尺寸修飾操作。在尺寸修飾操作中,藉由在顆粒的表面上進行化學修飾(chemical modification)或是藉由一種或多種化學試劑與顆粒間的交互作用來增加顆粒的尺寸。
在第1圖的S102中,在顆粒的尺寸增加後,以合適的清潔溶液清潔或清洗基板。接著,在第1圖的S103中,用去離子水執行清洗操作。
第2A-3C圖根據本揭示內容的一實施方式繪示清潔基板的方法。應該理解,可以在第2A-3C圖所示的流程之前、期間和之後提供額外的操作。對於此方法的其他實施方式而言,以下所描述的一些操作可以被替換或消除。第2A-3C圖繪示第1圖所示的清潔操作的細節。
如第2A圖的剖面圖所示。準備基板10,其上有待移除的顆粒12存在。在一些實施方式中,基板10包括半導體晶圓或玻璃基板。在一實施方式中,使用半導體晶片。在一些實施例中,基板10由合適的元素半導體製成,例如,矽、金剛石或鍺;由合適的合金或化合物半導體製成,例如IV族化合物半導體(矽鍺(SiGe)、碳化矽(SiC)、矽鍺碳化物(SiGeC)、GeSn、SiSn、SiGeSn)、III-V族化合物半導體(例如,砷化鎵(GaAs)、砷化銦鎵(InGaAs)、砷化銦(InAs)、磷化銦(InP)、銻化銦(InSb)、砷化鎵砷(GaAsP)或磷化鎵鎵(GaInP)),或類似物。此外,基板10可包括外延層(epitaxial layer;epi-layer),此外延層可為了提升性能而應變,及/或可包括絕緣體上矽(silicon-on-insulator;SOI)結構。在其他實施方式中,基板10是用於平板顯示器的矩形玻璃基板,例如液晶顯示器(liquid crystal display;LCD)和有機電致發光顯示器(organic electroluminescent display)。在某些實施方式中,基板10是用於太陽能板的玻璃基板。
在一些實施方式中,一層或多層11設置在基板10上。在一些實施方式中,一層或多層11被圖案化。在其 他實施方式中,一層或多層11不具有圖案。在一些實施方式中,一層或多層11包括絕緣材料層、導電材料層、有機材料層、無機材料層、金屬層,或上述層的任何組合。
在一些實施方式中,顆粒12為由例如有機材料、無機材料、介電材料、陶瓷材料和/或金屬或金屬材料製成的任何種類的顆粒。在一些實施方式中,顆粒12包括尺寸小於約50nm的奈米顆粒。在某些實施方式中,奈米顆粒的大小在約0.1nm至約40nm的範圍內。顆粒12可包括尺寸大於約50nm的較大尺寸的顆粒。顆粒12藉由凡德瓦力而生的物理吸附和/或藉由化學鍵而生的化學吸附而附著在基板10的表面上。化學鍵包括氫鍵和離子鍵。
在第2B的剖面圖中,將從噴嘴25而出的化學溶液15施加到具有顆粒12的基板10的表面上。化學溶液15包含一種或多種可以修改(增加)在基板10上顆粒12尺寸的化學試劑20。如第2B圖所示,在一些實施例中,旋塗化學溶液15在基板10的表面上。亦可使用例如噴射的其他方法,將化學溶液15施加在基板10上。在一些實施例中,將化學溶液15施加到基板的表面上一段時間,例如約1秒至30秒,然後使基板保持旋轉以獲得具有期望厚度的膜層,膜層係由包含在化學溶液15中的化學試劑20形成。
藉由施加化學溶液15,化學溶液如第2C圖所示實質上覆蓋基板10的整個表面。然後,一種或多種化學試劑20與設置在基板10上的顆粒12反應,藉此形成如第3A圖所示的尺寸修飾顆粒40。
在一些實施方案中,化學試劑是可與顆粒12的表面結合的表面修飾材料,藉此增加顆粒12的尺寸。如第4A和4B圖所示,一種或多種表面修飾材料20S與顆粒12的表面結合,因此,可產生流體動力阻力Ft的顆粒的有效尺寸增加。
在一些實施方式中,表面修飾材料20S是矽烷偶聯劑。在一些實施方式中,如第5A圖所示,矽烷偶聯劑具有通式SiX(OR)3,其中X是與Si連接的官能基,OR是烷氧基,如-OCH3、-OC2H5或-OCOCH3。在一些實施方式中,烷鏈連接基團連接官能基X和Si。在其他實施方式中,矽烷偶聯劑具有通式SiX(CH3)n(OR)3-n,其中X是與Si連接的官能基,OR是烷氧基。X可以是氫。
如第5B圖所示,顆粒12的表面具有-OH鍵。在將矽烷偶聯劑施加至顆粒12的表面之後,藉由水解和脫水將矽烷偶聯劑鍵結至顆粒12的表面。因此,可以增加顆粒的有效尺寸。
在一些實施方式中,官能基X直接鍵結至Si或藉由碳數為1至18的烷鏈連接至Si。在其他實施方式中,烷鏈具有1-10的碳數。在某些實施方式中,烷鏈具有直鏈。在其他實施方式中,官能基X藉由酮基與Si連接。
矽烷偶聯劑的實例為:乙烯基矽烷(vinyl based silane),例如,乙烯基三甲氧基矽烷(vinyltrimethoxysilane)、乙烯基三乙氧基矽烷(vinyltriethoxysilane),或7-辛烯基三甲氧基矽烷 (7-octenyltrimethoxysilane);環氧基矽烷(epoxy based silane),例如,2-(3,4-環氧環己基)乙基三甲氧基矽烷(2-(3,4 epoxycyclohexyi)ethyltrimethoxysilane)、3-縮水甘油氧基丙基甲基二甲氧基矽烷(3-glycidoxypropyl methyldimethoxysllane)、3-縮水甘油氧基丙基三甲氧基矽烷(3-glycidoxypropyl trimethoxysilane)、3-縮水甘油氧基丙基甲基二乙氧基矽烷(3-glycidoxypropyl methyldiethoxysilane)、3-縮水甘油氧基丙基三乙氧基矽烷(3-glycidoxypropyl triethoxysilane),或8-縮水甘油氧基辛基三甲氧基矽烷(8-glycidoxyoctyl trimethoxysilane);甲基丙烯氧基矽烷(methacryloxy based silane),例如,3-甲基丙烯醯氧基丙基甲基二甲氧基矽烷(3-methacryloxypropyl methyldimethoxysilane)、3-甲基丙烯醯氧基丙基三甲氧基矽烷(3-methacryloxypropyl trimethoxysilane)、3-甲基丙烯醯氧基丙基甲基二乙氧基矽烷(3-methacryloxypropyl methyldiethoxysilane)、3-甲基丙烯醯氧基丙基三乙氧基矽烷(3-methacryloxypropyl triethoxysilane),或8-甲基丙烯醯氧基辛基三甲氧基矽烷(8-methacryloxyoctyltrimethoxysilane);丙烯醯氧基矽烷(acryloxy based silane),例如,3-丙烯醯氧基丙基三甲氧基矽烷(3-acryloxypropyl trimethoxysilane);氨基矽烷(amino based silane),例如N-2-(氨基乙基)-3-氨基丙基二甲氧基矽烷 (N-2-(aminoethyl)-3-aminopropylmethyldimethoxysilane)、N-2-(氨基乙基)-3-氨基丙基三甲氧基矽烷(N-2-(aminoethyl)-3-aminopropyltrimethoxysilane)、3-氨基丙基三乙氧基矽烷(3-aminopropyltriethoxysilane)、3-氨基丙基三乙氧基矽烷(3-ami nopropyltriethoxysilane)、3-三乙氧基甲矽烷基-N-(1,3-二甲基-亞丁基)丙胺(3-triethoxysilyl-N-(1,3 dimethyl-butylidene)propylamine)、N-苯基-3-氨基丙基三甲氧基矽烷(N-phenyl-3-aminopropyl trimethoxysilane),或N-2-(氨基乙基)-8-氨基辛基三甲氧基矽烷(N-2-(aminoethyl)-8-aminooctyl trimethoxysilane);異氰酸酯基矽烷(isocyanate based silane),例如,3-異氰酸酯丙基三乙氧基矽烷(3-isocyanatepropyl triethoxysilane);巰基矽烷(mercapto based silane),例如,3-巰基丙基甲基二甲氧基矽烷(3-mercaptopropyl methyldimethoxysllane)或3-巰基丙基三甲氧基矽烷(3-mercaptopropyl trimethoxysilane);苯乙烯基矽烷(styryl based silane),例如對苯乙烯基三甲氧基矽烷(p-styryltrimethoxysilane);脲基矽烷(ureide based silane),例如3-脲丙基三烷氧基矽烷(3-ureidopropyltrialkoxysilane);異氰脲酸酯基矽烷(isocyanurate based silane),例如三(三甲氧基甲矽烷基丙基)異氰脲酸酯(tris-(trimethoxysilylpropyl) isocyanurate)或三(三乙氧基甲矽烷基丙基)異氰脲酸酯(tris-(triethoxysilylpropyl)isocyanurate)。可單獨使用矽烷偶聯劑或者可使用兩種或更多種矽烷偶聯劑作為表面修飾材料20S。
在其他實施方式中,包含在化學溶液15中的化學試劑20是聚合物或如第4C圖所示的可聚合材料20P。
聚合物20P包括水溶性聚合物,例如,如第6A圖所示的聚丙烯酸、如第6B圖所示的纖維素,或如第6C圖所示的聚合型表面活性劑。聚合物20P可將顆粒12吸入或併入其內,藉此增加顆粒12的有效尺寸,如第4C圖所示。這些聚合物可以單獨使用或以其任何組合使用。在一些實施方式中,聚合物20P是具有約1,000至約4,000,000的重均分子量的聚丙烯酸。
可聚合材料(單體)的實例包括丙烯酸單體,例如但不限於丙烯醯胺(acrylamide)、甲基丙烯醯胺(methacrylamide)、丙烯酸酯(acrylate)、丙烯酸(acrylic acid)和鹽、丙烯腈(acrylonitrile)、雙酚丙烯酸類(bisphenol acrylic)、碳水化合物單體、氟化丙烯酸類(fluorinated acrylic)、馬來醯亞胺(maleimide)、甲基丙烯酸酯(methacrylate)和多官能基丙烯酸(polyfunctional acrylic)。這些單體可以藉由加熱含有這些單體的水溶液而聚合。在一些實施方式中,可以使用催化劑,例如過氧化氫、過氧化鈉、過硫酸、過硫酸鹽和/或過硫酸的鈉/鉀鹽。
在一些實施方式中,聚合型表面活性劑包括至 少一個氟原子和/或至少一個矽原子、聚氧乙烯烷基醚(polyoxyethylene alkyl ether)、聚氧乙烯烷基芳基醚(polyoxyethylene alkyl aryl ether),聚氧乙烯-聚氧丙烯嵌段共聚物(polyoxyethylene-polyoxypropylene block copolymer)和聚氧乙烯山梨糖醇酐脂肪酸酯(polyoxyethylene sorbitan fatty acid ester)。
在一些實施方式中,聚合型表面活性劑的特定實例包括聚氧乙烯月桂基醚(polyoxyethylene lauryl ether)、聚氧乙烯十八烷基醚(polyoxyethylene stearyl ether)、聚氧乙烯十六烷基醚(polyoxyethylene cetyl ether)、聚氧乙烯油醚(polyoxyethylene oleyl ether)、聚氧乙烯辛基酚醚(polyoxyethylene octyl phenol ether)、聚氧乙烯壬基苯酚醚(polyoxyethylene nonyl phenol ether)、聚氧乙烯山梨糖醇酐單月桂酸酯(polyoxyethylene sorbitan monolaurate)、聚氧乙烯山梨糖醇酐單棕櫚酸酯(polyoxyethylene sorbitan monopalmitate)、聚氧乙烯山梨糖醇酐單硬脂酸酯(polyoxyethylene sorbitan monostearate)、聚氧乙烯山梨糖醇酐三油酸酯(polyoxyethylene sorbitan trioleate)、聚氧乙烯脫水山梨糖醇三硬脂酸酯(polyoxyethylene sorbitan tristearate)、聚乙二醇二硬脂酸酯(polyethylene glycol distearate)、聚乙二醇二月桂酸酯(polyethylene glycol dilaurate)、聚乙二醇(polyethylene glycol)、聚丙二醇(polypropylene glycol)、聚氧乙烯十八烷基醚(polyoxyethylenestearyl ether)、聚氧乙烯十六烷基醚(polyoxyethylene cetyl ether)、聚乙二醇(polyethylene glycol)、聚丙二醇(polypropylene glycol)、聚氧乙烯十六烷基醚(polyoxyethylene cetyl ether)、上述的組合,或相似物。
在其他實施方式中,可聚合材料作為化學試劑20。在一些實施方式中,交聯劑與可聚合材料一起使用。當使用可聚合材料時,施加熱和/或紫外(ultra violet;UV)光30到塗覆的化學溶液15上以引發聚合,如第4C圖所示。UV光具有在約100nrn至約400nm範圍內的峰值波長。在一些實施方式中,使用低壓汞(Hg)燈作為UV光源。
將一種或多種化學試劑20(表面修飾材料20S和/或聚合物或可聚合材料20P)放入溶劑中以幫助混合和分配化學溶液15。為了幫助混合和分配化學溶液15,溶劑的選取至少部分基於那些選來用於矽烷偶合劑或聚合物的材料。
在一些實施方式中,溶劑是水或水基溶劑。在其它實施方式中,溶劑是有機溶劑,並包括任何合適的溶劑,例如酮、醇、多元醇、醚、乙二醇醚、環醚、芳香烴、酯、丙酸酯(propionate)、乳酸(lactate)、乳酸酯(lactic ester)、亞烷基二醇單烷基醚(alkylene glycol monoalkyl ether)、烷基乳酸酯(alkyl lactate)、烷氧基丙酸烷基酯(alkyl alkoxypropionate)、環內酯(cyclic lactone)、含環的單酮化合物(monoketone compound)、碳酸亞烷基酯 (alkylene carbonate)、烷氧基乙酸烷基酯(alkyl alkoxyacetate)、丙酮酸烷基酯(alkyl pyruvate)、乳酸酯(lactate ester)、乙二醇烷基醚乙酸酯(ethylene glycol alkyl ether acetate)、二甘醇(diethylene glycol)、丙二醇烷基醚乙酸酯(propylene glycol alkyl ether acetate)、亞烷基二醇烷基醚酯(alkylene glycol alkyl ether ester)、亞烷基二醇單烷基酯(alkylene glycol monoalkyl ester),或相似物。
可作為化學溶液15的溶劑的材料的具體實例包括丙酮、甲醇、乙醇、甲苯、二甲苯、4-羥基-4-甲基-2-五酮、四氫呋喃、甲乙酮、環己酮、甲基異戊基酮、2-庚酮、乙二醇、乙二醇單乙酸酯、乙二醇二甲醚、乙二醇二甲醚、乙二醇甲基乙基醚、乙二醇單乙基醚、甲基溶纖劑乙酸酯、乙基溶纖劑乙酸酯、二甘醇、二甘醇單乙酸酯、二甘醇單甲醚、二甘醇二乙醚、二甘醇二甲醚、二甘醇乙基甲基醚、二甘醇單乙醚、二甘醇單丁醚、2-羥基丙酸乙酯、2-羥基-2-甲基丙酸甲酯、2 α-羥基-2-甲基丙酸乙酯、乙氧基乙酸乙酯、羥基乙酸乙酯、2-羥基-2-甲基丁酸甲酯、3-甲氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸甲酯、3-乙氧基丙酸乙酯、乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸丁酯、乳酸甲酯、乳酸乙酯、乳酸丙酯、乳酸丁酯、丙二醇、丙二醇單乙酸酯、丙二醇單乙醚乙酸酯、丙二醇單甲醚乙酸酯、丙二醇單丙基甲基醚乙酸酯、丙二醇單丁基醚乙酸酯、丙二醇單丁基醚乙酸酯、丙二醇單甲基醚丙酸酯、丙二醇單乙基醚 丙酸酯、丙二醇甲基醚乙酸酯、丙二醇乙基醚乙酸酯、乙二醇單甲基醚乙酸酯、乙二醇單乙基醚醚乙酸酯、丙二醇單甲醚、丙二醇單乙醚、丙二醇單丙醚、丙二醇單丁醚、乙二醇單甲醚、乙二醇單乙醚、3-乙氧基丙酸乙酯、3-甲氧基丙酸甲酯、3-乙氧基丙酸甲酯和3-甲氧基丙酸乙酯、β-丙內酯、β-丁內酯、γ-丁內酯、甲基-γ-丁內酯、β-甲基-γ-丁內酯、γ-戊內酯、γ-己內酯、γ-辛內酯、α-羥基-γ-丁內酯、2-丁酮、3-甲基丁酮、頻哪酮、2-戊酮、3-戊酮、4-甲基-2-戊酮、2-甲基-3-戊酮、4,4-二甲基-2-戊酮、2,4-二甲基-3-戊酮、2,2,4,4-四甲基-3-戊酮、2-己酮、3-己酮、5-甲基-3-己酮、2-庚酮、3-庚酮、4-庚酮、2-甲基-3-庚酮、5-甲基-3-庚酮、2,6-二甲基-4-庚酮、2-辛酮、3-辛酮、2-壬酮、3-壬酮、5-壬酮、2-癸酮、3-癸酮、4-癸酮、5-己烯-2-酮、3-戊烯-2-酮、環戊酮、2-甲基環戊酮、3-甲基環戊酮、2,2-二甲基環戊酮、2,4,4-三甲基環戊酮、環己酮、3-甲基環己酮、4-甲基環己酮、4-乙基環己酮、2,2-二甲基環己酮、2,6-二甲基環己酮、2,2,6-三甲基環己酮、環庚酮、2-甲基環庚酮、3-甲基環庚酮、碳酸亞丙酯、碳酸亞乙烯酯、碳酸亞乙酯、碳酸亞丁酯、乙酸-2-甲氧基乙酯、乙酸-2-乙氧基乙酯、乙酸-2-(2-乙氧基乙氧基)乙酯、乙酸酯-3-甲氧基乙酸-1-甲氧基-2-丙酯、二丙二醇、單甲醚、單乙醚、單丙醚、單丁醚、單苯醚、二丙二醇單乙酸酯、二氧雜環己烷、丙酮酸甲酯、丙酮酸乙酯、丙酮酸丙酯、甲氧基丙酸甲酯、乙氧基丙酸乙酯、N-甲基吡咯烷酮 (n-methylpyrrolidone;NMP)、2-甲氧基乙基醚(二甘醇二甲醚)、乙二醇單甲醚、丙二醇單甲醚、丙酸甲酯、丙酸乙酯、乙氧基丙酸乙酯、甲乙酮、環己酮、2-庚酮、環戊酮、環己酮、乙氧基丙酸酯、丙二醇甲醚乙酸酯(propylene glycol methyl ether acetate;PGMEA)、亞甲基溶纖劑、2-乙氧基乙醇、N-甲基甲醯胺、N,N-二甲基甲醯胺、N-甲基甲醯苯胺、N-甲基乙醯胺、N,N-二甲基乙醯胺、二甲亞碸、芐基乙基醚、二己基醚、丙酮基丙酮、異佛爾酮、己酸、辛酸、1-辛醇、1-壬醇、苯甲醇、乙酸芐酯、苯甲酸乙酯、草酸二乙酯、馬來酸二乙酯、或相似物。
本領域具有通常知識者將辨認出,上述所列及所述的作為可用於化學溶液15的溶劑成分的材料僅是說明性的,並非意欲限制實施方式。在此意欲將所有此類的材料完全包括在實施方式的範圍內。
此外,雖然上述材料中的單獨材料可用作化學溶液15的溶劑,但在其他實施方式中,可使用多於一種的上述材料。例如,在一些實施方式中,溶劑包括兩種或更多種所述材料的混合物。在此意欲將所有這些組合包括在實施方式的範圍內。
在修飾操作之後,在一些實施方式中,去除剩餘的化學溶液15並且執行乾燥操作。剩餘的化學溶液15可以藉由簡單地以高速旋轉基板來去除,或者藉由使用另一種溶液如水或有機溶劑來去除。在一些實施方式中,乾燥過程包括在約50℃至120℃的溫度範圍內進行加熱。
在藉由表面修飾材料20S或聚合物20P對顆粒12進行表面修飾之後,在一些實施方式中,尺寸修飾顆粒40(參見第3A和4D圖)的尺寸為約50nm或更大、約100nm或更大,或約200nm或更大。在某些實施方式中,表面修飾之後,顆粒的尺寸高達約1000μm、高達約200μm,或高達約50μm。
剩餘的化學溶液15被去除之後,如第3B圖所示,施加從噴嘴45而出的清潔溶液50到旋轉基底10上。在一些實施方式中,清潔溶液50是有機溶劑或包含NH4OH和H22(SC1溶液)的水溶液。有機溶劑可以是醇,例如異丙醇(isopropyl alcohol;IPA)。在一些實施方式中,清潔時間在約30秒至120秒的範圍內。在使用清潔溶液50的清潔過程中,在一些實施方式中,不對基板和/或清潔溶液50施加超聲波振動。在一些實施方式中,將基板10浸入清潔溶液50中並保持在液浴中。
在其他實施方式中,在粒度修飾後,且不去除化學溶液15的情況下,施加清潔溶液50。換句話說,清潔溶液50也移除化學溶液15。
如第4A和4D圖所示,具有小於例如約50nm的直徑的原始顆粒12被尺寸修飾為具有更大尺寸(例如,大約100nm或更大)的尺寸修飾顆粒40。因此,在使用清潔溶液50的清潔過程期間,尺寸修飾顆粒被更容易和有效地去除。由於不需要超聲波或不需要高壓清潔液(在一些實施方式中,例如,在2hPa以上且低於10hPa下被加壓),因此 能夠防止形成在基板10上的微細圖案的破損。
此外,在基板旋轉的同時,對基板10的表面使用去離子水執行清洗操作,然後執行乾燥操作,由此獲得如第3C圖所示的清潔的基板10。
第7A-7C圖示意一或多個本揭示內容的有益效果。在第7A圖中,線701示意原始顆粒的粒度(直徑)以及未經尺寸修飾的顆粒的顆粒移除率之間的關係,線702示意原始顆粒的粒度(直徑)以及經尺寸修飾的顆粒的顆粒移除率之間的關係。如第7A圖所示,如上所述,當顆粒的尺寸變小時,顆粒移除率急劇降低,特別是當顆粒尺寸小於約50nm時。相反的,藉由利用如上所述的尺寸修飾操作,具有小於約50nm的直徑的顆粒被轉變成具有大於約100nm直徑的大顆粒,因此在一些實施方式中,對於原始尺寸小於約50nm的顆粒來說,顆粒移除率獲得改善。
第7B和7C圖示意粒度以及在不造成形成於基板上的精細圖案的損害下的清潔液體的剪切速度之間的關係。如第7B和7C圖所示,隨著粒度變小,例如小於約50nm,清潔液體的剪切速度迅速增加。如上所述,藉由將粒子的尺寸增加到例如大於約100nm,將可能降低清潔液體的速度並因此抑制對形成於基板上的精細圖案的損害。清潔液體的速度可藉由改變施加的壓力和/或基材的旋轉速度來調節。在一些實施方式中,可以使用從大氣壓力(未加壓)到約2hPa範圍內的較低壓力來加壓清潔液體。
第8圖是根據本揭示內容另一實施方式繪示清 潔基板的方法的流程圖。應該理解,可以在第8圖所示的操作之前、期間和之後提供額外的操作。對於此方法的其他實施方式而言,以下所描述的一些操作可以被替換或消除。與前面以第1A-7C圖所述的實施方式相同或類似的材料、構造、尺寸和/或流程可在下面的實施方式中被採用,可以省略其詳細說明。
在第8圖的S801中,在設置在基板10上的目標層上或上方執行一次或多次電漿乾蝕刻操作。在一些實施方式中,在目標層上方沒有設置光阻圖案。例如,電漿乾蝕刻是用於藉由以硬遮罩圖案作為蝕刻遮罩來圖案化目標層、用於在圖案(例如,柵極結構)上形成側壁,或用於回蝕刻操作。在電漿乾蝕刻操作期間,顆粒12被生成並落在基板10的表面上。
接下來,在S802中,將含有一種或多種化學試劑20的化學溶液15施加到被顆粒12污染的基板上。在S803,其類似於第1圖的S101,粒度增加。當化學試劑20包括可聚合材料時,施加熱和/或UV光以使可聚合材料聚合,藉此使經聚合材料吸入或併入顆粒12。然後,在S804中,與第1圖的S102類似,施加像是SC1溶液的清潔溶液50以去除尺寸修飾顆粒40,然後執行類似於第1圖的S103的清洗操作S805。經由上述清潔操作,可以在電漿乾製程後有效地去除奈米尺寸的顆粒。
第9圖是根據本揭示內容另一實施方式繪示清潔基板的方法的流程圖。應該理解,可以在第9圖所示的流 程之前、期間和之後提供額外的操作。對於此方法的其他實施方式而言,以下所描述的一些操作可以被替換或消除。與前面以第1A-8圖所述的實施方式相同或類似的材料、構造、尺寸和/或流程可在下面的實施方式中被採用,可以省略其詳細說明。
在第9圖的S901中,在設置在基板10上的目標層上或上方執行一次或多次電漿乾蝕刻操作。在一些實施方式中,光阻圖案形成在目標層上方。例如,電漿乾蝕刻是用於藉由以光阻圖案作為蝕刻遮罩來圖案化目標層,或用於以光阻圖案或有機材料層執行回蝕刻操作。
在蝕刻操作之後,在S902執行光阻灰化操作以移除光阻層。在一些實施方式中,光阻灰化操作是電漿製程。在電漿乾蝕刻操作和/或光阻灰化操作期間,顆粒12被生成並落在基板10的表面上。
然後,在S903中,將含有一種或多種化學試劑20的化學溶液15施加到被顆粒12污染的基板上。在S904,其類似於第1圖的S101,粒度增加。當化學試劑20包含可聚合材料時,施加熱和/或UV光以使可聚合材料聚合,藉此使經聚合材料吸入或併入顆粒12。然後,在S905,其類似於第1的S102,施加像是SC1溶液的清潔溶液50以去除尺寸修飾顆粒40,然後執行類似於第1圖的S103的清洗操作S906。經由上述清潔操作,可以在電漿製程後有效地去除奈米尺寸的顆粒。
第10圖是根據本揭示內容另一實施方式繪示 清潔基板的方法的流程圖。應該理解,可以在第10圖所示的流程之前、期間和之後提供額外的操作。對於此方法的其他實施方式而言,以下所描述的一些操作可以被替換或消除。與前面以第1A-9圖所述的實施方式相同或類似的材料、構造、尺寸和/或流程可在下面的實施方式中被採用,可以省略其詳細說明。
在第10圖的S1001中,在基板10上或上方執行一次或多次離子佈植操作。在一些實施方式中,形成光阻圖案為遮罩圖案。
在離子佈植操作之後,在S1002執行光阻灰化操作移除光阻層。在一些實施例中,光阻灰化操作是電漿製程。在離子佈植操作和/或光阻灰化操作期間,顆粒12被生成並落在基板10的表面上。
接下來,在S1003中,將含有一種或多種化學試劑20的化學溶液15施加到被顆粒12污染的基板上。在S1004,其類似於第1圖的S101,粒度增加。當化學試劑20包括可聚合材料時,施加熱和/或UV光以使可聚合材料聚合,藉此使經聚合材料吸入或併入顆粒12。然後,在S1005,其類似於第1圖的S102,施加像是SC1溶液的清潔溶液50以去除尺寸修飾顆粒40,然後執行類似於第1圖的S103的清洗操作S1006。經由上述清潔操作,可以在離子佈植製程及電漿製程後有效地去除奈米尺寸的顆粒。
第11圖是根據本揭示內容另一實施方式繪示清潔基板的方法的流程圖。應該理解,可以在第11圖所示的 流程之前、期間和之後提供額外的操作。對於此方法的其他實施方式而言,以下所描述的一些操作可以被替換或消除。與前面以第1A-10圖所述的實施方式相同或類似的材料、構造、尺寸和/或流程可在下面的實施方式中被採用,可以省略其詳細說明。
在第11圖的S1101中,在設置於基板10上方的目標層上或上方執行一次或多次化學機械研磨操作。CMP製程是使用研磨墊和化學漿料的平坦化製程。在一些實施方式中,漿料是一種膠體材料,其作為用於蝕刻在基板10上的目標層材料的化學蝕刻劑。當設置漿料以移除材料和使任何不規則的形貌變平滑時,研磨墊相對於晶圓旋轉。漿料中的研磨顆粒是例如二氧化矽,二氧化鈰和/或氧化鋁的材料。在一實施方式中,研磨顆粒具有大致均勻的形狀和窄的尺寸分佈,取決於將研磨顆粒用於何種應用,研磨顆粒的平均粒徑在約10nm至約100nm或更大的範圍內。在CMP操作期間,顆粒12被生成並落在基板10的表面上。在一些實施方式中,顆粒12包括在漿料中的研磨顆粒。
在CMP操作之後,在S1102中,將含有一種或多種化學試劑20的化學溶液15施加到被顆粒12污染的基板上。在一些實施方式中,在CMP操作之後且施加化學溶液之前,執行使用去離子水的清潔操作。在S1103,其類似於第1圖的S101,粒度增加。當化學試劑20包括可聚合材料時,施加熱和/或UV光以使可聚合材料聚合,藉此使經聚合材料吸入或併入顆粒12。然後,在S1104,其類似於第1圖 的S102,施加像是SC1溶液的清潔溶液50以去除尺寸修飾顆粒40,然後執行類似於第1圖的S103的清洗操作S1105。經由上述清潔操作,可以在CMP操作之後有效地去除奈米尺寸的顆粒。第12圖是根據本揭示內容另一實施方式繪示清潔基板的方法的流程圖。應該理解,可以在第12圖所示的流程之前、期間和之後提供額外的操作。對於此方法的其他實施方式而言,以下所描述的一些操作可以被替換或消除。與前面以第1A-11圖所述的實施方式相同或類似的材料、構造、尺寸和/或流程可在下面的實施方式中被採用,可以省略其詳細說明。
在第12圖的S1201中,在設置在基板10上的目標層上或上方執行一次或多次薄膜沉積操作。薄膜沉積操作包括例如物理氣相沉積(physical vapor deposition;PVD)、分子束外延(molecular beam epitaxy;MBE)、脈衝激光沉積(pulsed laser deposition;PLD)、原子層沉積(atomic layer deposition;ALD)、電子束(e-beam)外延、化學氣相沉積(chemical vapor deposition;CVD)(例如低壓CVD(low pressure CVD;LPCVD)、電漿增強CVD(plasma enhanced CVD;PECVD)或金屬有機CVD(metal-organic CVD;MOCVD)),以及電鍍。在成膜操作過程中,顆粒12被生成並落在基板10的表面上。
然後,在S1202中,將含有一種或多種化學試劑20的化學溶液15施加到被顆粒12污染的基板上。在 S1203,其類似於第1圖的S101,粒度增加。當化學試劑20包括可聚合材料時,施加熱和/或UV光以使可聚合材料聚合,藉此使經聚合材料吸入或併入顆粒12。然後,在S1204,其類似於第1圖的S102,施加像是SC1溶液的清潔溶液50以去除尺寸修飾顆粒40,然後執行類似於第1圖的S103的清洗操作S1205。經由上述清潔操作,可以在成膜製程之後有效地去除奈米尺寸的顆粒。
第13圖是根據本揭示內容一實施方式的清潔設備的示意圖。本領域具有通常知識者可理解一或更多額外的特徵可用於第13圖所示的設備中。
清潔裝置1300包括外殼或外設1301,其中設置有基板支架1303。基板承載器配置成承載基板1310,並且配置成可以各種速度旋轉基板。此設備包括多個液體噴嘴朝向晶圓1310,包括配置成分配化學溶液15的液體噴嘴1321、配置成分配清潔溶液50的液體噴嘴1323,以及配置成分配去離子水的液體噴嘴1325。在一些實施方式中,噴嘴可橫向移動,亦可縱向移動。
液體噴嘴1321流體連接到裝盛化學溶液15的化學溶液源1315,液體噴嘴1323流體連接到裝盛清潔溶液50的清潔溶液源1350。此外,液體噴嘴1325流體連接到去離子水源,其可以是設備式去離子水源。此外,UV光源或加熱器1330設置在外殼1301的內部或外部。
清潔裝置1300的至少一部分操作由一個或多個控制器1380控制,其連接到或包括一個或多個儲存器 1390。在一些實施方式中,控制器1380是包括一個或多個處理器的計算機系統,儲存器1390儲存控制程序。當控制程序由處理器執行時,控制器1380控制例如基板承載器1303、噴嘴1321、1323和1325、流過其中的溶液的液體流動以及加熱器/UV光源1330的操作。
根據執行的程序,執行前述的清潔操作。
應該理解,並非所有的優點都需要在本文中討論,所有實施方式或實例都不需要特別的優點,並且其他實施方式或實例可以提供不同的優點。
例如,根據一個或多個前述實施方式,為了增加流體動力阻力,藉由一種或多種化學成分增加粒度(直徑)。藉由增加粒徑,可以改善顆粒移除率。
根據本揭示內容的一個態樣,在一種清潔基板的方法中,施加包括尺寸修飾材料的溶液於基板上,在基板上有待移除的複數個顆粒。從待移除的這些顆粒及尺寸修飾材料產生複數個尺寸修飾顆粒,這些尺寸修飾顆粒具有比待移除的這些顆粒更大的尺寸。自基板移除這些尺寸修飾顆粒。在一或多個前述或以下的實施方式中,尺寸修飾材料包括表面修飾材料,在生成的尺寸修飾顆粒中,表面修飾材料附著在待移除的這些顆粒的表面上。在一或多個前述或以下的實施方式中,表面修飾材料為具有一通式SiX(CH3)n(OR)3-n的矽烷偶聯劑,其中X為連接至Si的官能基,OR為烷氧基,n為0、1、2或3。在一或多個前述或以下的實施方式中,X是選自由乙烯基(vinyl)、環氧基 (epoxy)、甲基丙烯醯氧基(methacryloxy)、丙烯醯氧基(acryloxy)、氨基(amino)和巰基(mercapto)所組成的群組中的一者。在一或多個前述或以下的實施方式中,烷氧基是選自甲氧基(methoxy)、乙氧基(ethoxy)、二烷氧基(dialkoxy)和三烷氧基(trialkoxy)所組成的群組中的一者。在一或多個前述或以下的實施方式中,官能基X直接與Si鍵結或藉由碳數小於18的烷鏈與Si鍵結。在一或多個前述或以下的實施方式中,烷鏈具有直鏈。在一或多個前述或以下的實施方式中,尺寸修飾材料包括可聚合材料或聚合物。在一或多個前述或以下的實施方式中,尺寸修飾材料包括選自由聚丙烯酸、纖維素和聚合型表面活性劑所組成的群組中的一者。在一或多個前述或以下的實施方式中,聚合物為具有重均分子量介於1,000至4,000,000間的聚丙烯酸。在一或多個前述或以下的實施方式中,尺寸修飾材料包括可聚合材料。方法還包括藉由施加熱或光來誘導聚合,從而產生這些尺寸修飾顆粒。在一或多個前述或以下的實施方式中,自基板移除這些尺寸修飾顆粒包括施加有機溶劑或包含NH4OH和H2O2的水溶液。在一或多個前述或以下的實施方式中,自基板移除這些尺寸修飾顆粒還包括,在施加有機溶劑或包含NH4OH和H2O2的水溶液後,施加水,藉此清洗基板。在一或多個前述或以下的實施方式中,在尺寸修飾前,待移除的這些顆粒的平均粒度在介於0.1奈米至40奈米的範圍內。在一或多個前述或以下的實施方式中,這些尺寸修飾顆粒的平均尺寸在介於50奈米至1000微米的範圍內。
根據本揭示內容的其他態樣,在一種製作半導體裝置的方法中,對設置在半導體晶圓上的一或多層執行電漿製程。施加包括尺寸修飾材料的溶液於半導體晶圓上,在半導體晶圓上有由電漿製程所導致的複數個顆粒。從由電漿製程所導致的這些顆粒及尺寸修飾材料產生複數個尺寸修飾顆粒,這些尺寸修飾顆粒具有比由電漿製程所導致的這些顆粒更大的尺寸。自基板移除這些尺寸修飾顆粒。在一或多個前述或以下的實施方式中,電漿製程包括選自由電漿乾蝕刻、電漿光阻灰化及電漿薄膜沉積所組成的群組中的一者。在一或多個前述或以下的實施方式中,尺寸修飾材料為矽烷偶聯劑。在一或多個前述或以下的實施方式中,尺寸修飾材料包括可聚合材料或聚合物。
根據本揭示內容的其他態樣,在一種製作半導體裝置的方法中,對設置在半導體晶圓上的一或多層執行化學機械研磨(CMP)製程。施加包括尺寸修飾材料的溶液於該半導體晶圓上,在該半導體晶圓上有由該CMP製程所導致的複數個顆粒。從由該CMP製程所導致的這些顆粒及該尺寸修飾材料產生複數個尺寸修飾顆粒,這些尺寸修飾顆粒具有比由該CMP製程所導致的這些顆粒更大的尺寸。自該基板移除這些尺寸修飾顆粒。
以上概述了一些實施方式或實例的特徵,以便本技術領域具有通常知識者可以更好地理解本揭示內容的各態樣。本技術領域具有通常知識者應該理解,他們可以輕易地使用本揭示內容作為用於設計或修改用於執行相同目 的和/或實現這裡介紹的實施方式或實例的相同優點的其他製程和結構的基礎。本技術領域具有通常知識者還應該認識到,這樣的等同構造不脫離本揭示內容的精神和範圍,並且可以在不脫離本揭示內容的精神和範圍的情況下進行各種改變、替換和變更。
S101、S102、S103‧‧‧操作

Claims (6)

  1. 一種清潔基板的方法,包括:施加包括一尺寸修飾(size-modification)材料的一溶液於一基板上,在該基板上有待移除的複數個顆粒;在該基板上,從位於該基板上的待移除的該些顆粒及該尺寸修飾材料產生複數個尺寸修飾顆粒,該些尺寸修飾顆粒具有比待移除的該些顆粒更大的尺寸;以及自該基板移除該些尺寸修飾顆粒,其中該尺寸修飾材料包括一表面修飾材料,該表面修飾材料為具有一通式SiX(CH3)n(OR)3-n的矽烷偶聯劑,其中X為連接至Si的官能基,OR為烷氧基,n為0、1、2或3,在生成的該尺寸修飾顆粒中,該表面修飾材料鍵結在待移除的該些顆粒表面的-OH上。
  2. 如請求項1所述之方法,其中X是選自由乙烯基(vinyl)、環氧基(epoxy)、甲基丙烯醯氧基(methacryloxy)、丙烯醯氧基(acryloxy)、氨基(amino)和巰基(mercapto)所組成的群組中的一者。
  3. 如請求項1所述之方法,其中該烷氧基是選自甲氧基(methoxy)、乙氧基(ethoxy)、二烷氧基(dialkoxy)和三烷氧基(trialkoxy)所組成的群組中的一者。
  4. 如請求項1所述之方法,其中,在尺寸修飾前,待移除的該些顆粒的平均粒度(average particle size)在介於0.1奈米至40奈米的範圍內。
  5. 一種製作半導體裝置的方法,該方法包括:對設置在一半導體晶圓上的一或多層執行一電漿製程;施加包括一尺寸修飾材料的一溶液於該半導體晶圓上,在該半導體晶圓上有由該電漿製程所導致的複數個顆粒,該尺寸修飾材料包括一可聚合材料;在該半導體晶圓上,藉由一聚合步驟,從由該電漿製程所導致的位於該半導體晶圓上的該些顆粒及該尺寸修飾材料產生複數個尺寸修飾顆粒,該些尺寸修飾顆粒具有比由該電漿製程所導致的該些顆粒更大的尺寸;以及自該半導體晶圓移除該些尺寸修飾顆粒。
  6. 一種製作半導體裝置的方法,該方法包括:對設置在一半導體晶圓上的一或多層執行一化學機械研磨(chemical mechanical polishing,CMP)製程;施加包括一尺寸修飾材料的一溶液於該半導體晶圓上,在該半導體晶圓上有由該CMP製程所導致的複數個顆粒,該尺寸修飾材料包括一可聚合材料;以及在該半導體晶圓上,藉由一聚合步驟,從由該CMP製程所導致的位於該半導體晶圓上的該些顆粒及該尺寸修飾材料產生複數個尺寸修飾顆粒,該些尺寸修飾顆粒具有 比由該CMP製程所導致的該些顆粒更大的尺寸;以及自該半導體晶圓移除該些尺寸修飾顆粒。
TW107132121A 2017-11-22 2018-09-12 製作半導體裝置的方法及清潔基板的方法 TWI682031B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762590181P 2017-11-22 2017-11-22
US62/590,181 2017-11-22
US15/907,663 2018-02-28
US15/907,663 US10468243B2 (en) 2017-11-22 2018-02-28 Method of manufacturing semiconductor device and method of cleaning substrate

Publications (2)

Publication Number Publication Date
TW201925450A TW201925450A (zh) 2019-07-01
TWI682031B true TWI682031B (zh) 2020-01-11

Family

ID=66532512

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107132121A TWI682031B (zh) 2017-11-22 2018-09-12 製作半導體裝置的方法及清潔基板的方法

Country Status (4)

Country Link
US (2) US10468243B2 (zh)
KR (1) KR102162410B1 (zh)
CN (1) CN109817513B (zh)
TW (1) TWI682031B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102280853B1 (ko) * 2020-05-06 2021-07-23 주식회사 이엔에프테크놀로지 Cmp 후 세정제 조성물
CN113831969B (zh) * 2021-10-29 2024-01-26 国网重庆市电力公司电力科学研究院 一种绝缘子用绝缘液体纳米带电清洗剂及其制备方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW561551B (en) * 2001-02-21 2003-11-11 Ibm Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4777804A (en) 1987-08-26 1988-10-18 Texas Instruments Incorporated Method and apparatus for easing surface particle removal by size increase
US5709755A (en) 1996-08-09 1998-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method for CMP cleaning improvement
US6964928B2 (en) 2002-08-29 2005-11-15 Chentsau Ying Method for removing residue from a magneto-resistive random access memory (MRAM) film stack using a dual mask
US20080268617A1 (en) * 2006-08-09 2008-10-30 Applied Materials, Inc. Methods for substrate surface cleaning suitable for fabricating silicon-on-insulator structures
US8741066B2 (en) 2007-02-16 2014-06-03 Akrion Systems, Llc Method for cleaning substrates utilizing surface passivation and/or oxide layer growth to protect from pitting
US8211846B2 (en) * 2007-12-14 2012-07-03 Lam Research Group Materials for particle removal by single-phase and two-phase media
US7880210B2 (en) 2008-05-23 2011-02-01 Qimonda Ag Integrated circuit including an insulating structure below a source/drain region and method
US8314055B2 (en) * 2008-06-02 2012-11-20 Lam Research Corporation Materials and systems for advanced substrate cleaning
US8227394B2 (en) * 2008-11-07 2012-07-24 Lam Research Corporation Composition of a cleaning material for particle removal
US8367594B2 (en) * 2009-06-24 2013-02-05 Lam Research Corporation Damage free, high-efficiency, particle removal cleaner comprising polyvinyl alcohol particles
US8354138B2 (en) * 2009-12-14 2013-01-15 Chung-Shan Institute Of Science And Technology, Armaments Bureau, Ministry Of National Defense Preparing method for coating PMMA particles with silicon dioxide
US9651821B2 (en) * 2012-05-18 2017-05-16 Sumitomo Osaka Cement Co., Ltd. Surface-modified metal oxide particle material, dispersion liquid, silicone resin composition, silicone resin composite body, optical semiconductor light emitting device, lighting device, and liquid crystal imaging device
US8987139B2 (en) * 2013-01-29 2015-03-24 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9478444B2 (en) * 2013-07-23 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for cleaning wafer and scrubber
JP5843819B2 (ja) * 2013-08-13 2016-01-13 Jx日鉱日石金属株式会社 表面処理された金属粉の製造方法
US9982166B2 (en) * 2013-12-20 2018-05-29 Cabot Corporation Metal oxide-polymer composite particles for chemical mechanical planarization
WO2015146749A1 (ja) 2014-03-26 2015-10-01 東レ株式会社 半導体装置の製造方法及び半導体装置
KR102158963B1 (ko) 2014-05-23 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9741810B2 (en) 2014-07-30 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel of gate-all-around transistor
US9647139B2 (en) 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US10164012B2 (en) 2015-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW561551B (en) * 2001-02-21 2003-11-11 Ibm Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density

Also Published As

Publication number Publication date
US10468243B2 (en) 2019-11-05
KR102162410B1 (ko) 2020-10-07
CN109817513A (zh) 2019-05-28
TW201925450A (zh) 2019-07-01
KR20190059190A (ko) 2019-05-30
US20200020522A1 (en) 2020-01-16
US11107672B2 (en) 2021-08-31
US20190157070A1 (en) 2019-05-23
CN109817513B (zh) 2021-06-01

Similar Documents

Publication Publication Date Title
JP6801027B2 (ja) 有機金属溶液に基づいた高解像度パターニング組成物
TWI425568B (zh) 絕緣膜
US8765359B2 (en) Method of fabricating patterned functional substrates
US20080210660A1 (en) Medium For Etching Oxidic, Transparent, Conductive Layers
TWI682031B (zh) 製作半導體裝置的方法及清潔基板的方法
KR20190088577A (ko) 표면 처리액 및 표면 처리 방법, 그리고 소수화 처리 방법 및 소수화된 기판
EP0792195A1 (en) Non-aminic photoresist adhesion promoters for microelectronic applications
Yeh et al. Solution‐Based Micro‐and Nanoscale Metal Oxide Structures Formed by Direct Patterning for Electro‐Optical Applications
TW200538871A (en) Structured materials and methods
TW200915004A (en) Processed substrates having water-repellent areas in patterns, process for production thereof, and process for production of members having patterms made of functional material films
CN104170057A (zh) 表面具有微细图案的物品的制造方法
Wu et al. The effects of hydrogen bonds on the adhesion of inorganic oxide particles on hydrophilic silicon surfaces
WO2012006521A1 (en) Enhanced densification of silicon oxide layers
CN106220237A (zh) 一种单层有序二氧化硅纳米球阵列的制备方法
JP5483858B2 (ja) 表面処理液及び疎水化処理方法、並びに疎水化された基板
CN108602844A (zh) 金属组合物及其制备方法
US9570285B2 (en) Cleaning composition and methods thereof
US9580672B2 (en) Cleaning composition and method for semiconductor device fabrication
DE102018106643B4 (de) Verfahren zum herstellen einer halbleitervorrichtung und verfahren zum reinigen eines substrats
TWI677556B (zh) 晶圓載具配置
CN101630630B (zh) 湿法刻蚀中避免发生侧向侵蚀的方法
Tsuchiya et al. Fabrication of silica-based three-dimensional structures by changing fluence using proton beam writing
JP5674851B2 (ja) 基板処理方法および基板処理装置
Tseng et al. Facile fabrication of periodic arrays of vertical Si nanoholes on (001) Si substrate with broadband light absorption properties
Busnaina et al. Nanoscale defects and surface preparation in nanomanufacturing