KR20190059190A - 반도체 디바이스 제조 방법 및 기판 세정 방법 - Google Patents

반도체 디바이스 제조 방법 및 기판 세정 방법 Download PDF

Info

Publication number
KR20190059190A
KR20190059190A KR1020180058988A KR20180058988A KR20190059190A KR 20190059190 A KR20190059190 A KR 20190059190A KR 1020180058988 A KR1020180058988 A KR 1020180058988A KR 20180058988 A KR20180058988 A KR 20180058988A KR 20190059190 A KR20190059190 A KR 20190059190A
Authority
KR
South Korea
Prior art keywords
particles
size
substrate
cleaning
sizing material
Prior art date
Application number
KR1020180058988A
Other languages
English (en)
Other versions
KR102162410B1 (ko
Inventor
충-치에 리
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20190059190A publication Critical patent/KR20190059190A/ko
Application granted granted Critical
Publication of KR102162410B1 publication Critical patent/KR102162410B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/008Polymeric surface-active agents
    • C11D11/0047
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/162Organic compounds containing Si
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/22Carbohydrates or derivatives thereof
    • C11D3/222Natural or synthetic polysaccharides, e.g. cellulose, starch, gum, alginic acid or cyclodextrin
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3757(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1828Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIBVI compounds, e.g. CdS, ZnS, CdTe
    • H01L31/1836Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIBVI compounds, e.g. CdS, ZnS, CdTe comprising a growth substrate not being an AIIBVI compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/20Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials
    • H01L31/202Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials including only elements of Group IV of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Health & Medical Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Emergency Medicine (AREA)
  • Molecular Biology (AREA)
  • Plasma & Fusion (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

기판을 세정하는 방법에서, 제거될 입자들이 배치되는 기판 상에 사이즈 변형 재료를 포함하는 용액이 도포된다. 사이즈 수정 재료 및 입자들로부터, 입자들보다 더 큰 사이즈를 갖는 사이즈 수정된 입자들이 발생된다. 기판으로부터 사이즈 수정된 입자들이 제거된다.

Description

반도체 디바이스 제조 방법 및 기판 세정 방법{METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND METHOD OF CLEANING SUBSTRATE}
이 출원은 2017년 11월 22일자로 가출원 번호 제62/590,181호의 우선권을 청구하며, 이 가출원의 전체 내용은 인용에 의해 본 명세서에 통합된다.
본 개시물은 반도체 디바이스 및/또는 평판 디스플레이들의 제조 방법에 관한 것으로, 더욱 구체적으로는, 웨이퍼들 및/또는 기판들을 세정하는 방법들에 관한 것이다.
반도체 디바이스들 및/또는 평판 디스플레이들의 제조 동안, 에칭, 성막 및/또는 연마와 같은 다양한 프로세스들은 반도체 웨이퍼, 유리 기판 또는 다른 기판들 상에 입자들 및 결함들을 야기한다. 다양한 세정 동작들이 결함들 및/또는 입자들을 감소시키기 위해 수행되지만, 일반적으로 나노미터 단위의 입자들을 만들기는 어렵다.
도 1은 본 개시물의 실시예에 따른 기판을 세정하는 방법을 예시하는 흐름도이다.
도 2a, 도 2b, 및 도 2c는 본 개시물의 실시예에 따른 기판을 세정하는 방법을 예시한다.
도 3a, 도 3b, 및 도 3c는 본 개시물의 실시예에 따른 기판을 세정하는 방법을 예시한다.
도 4a는 본 개시물의 실시예와 관련된 기판 상의 입자들의 개략도를 도시한다. 도 4b는 본 개시물의 실시예에 따른 표면 조정제(surface modifier)로 사이즈 수정된 입자들의 개략도를 도시한다. 도 4c는 본 개시물의 실시예에 따른 폴리머로 사이즈 수정된 입자들의 개략도를 도시한다. 도 4d는 본 개시물의 실시예에 따른 사이즈 수정된 입자들의 개략도를 도시한다.
도 5a는 본 개시물의 실시예와 관련된 실란 커플링제의 개략도를 도시한다. 도 5b는 본 개시물의 실시예와 관련된 입자의 표면 구조의 개략도를 도시한다. 도 5c는 본 개시물의 실시예와 관련된 실란 커플링제가 반응된 이후의 표면 구조의 개략도를 도시한다.
도 6a는 폴리 아크릴산의 화학적 구조를 도시하고, 도 6b는 셀룰로오스의 화학적 구조를 도시하고, 도 6c는 폴리머 계면 활성제의 화학적 구조를 도시한다.
도 7a는 본 개시물의 실시예에 따른 오리지널 입자들의 입자 사이즈(직경)와 입자 제거 효율 간의 관계를 도시한다. 도 7b 및 도 7c는 본 개시물의 실시예에 따른 세정 유체의 입자 사이즈와 전단 속도(shear velocity) 간의 관계를 도시한다.
도 8은 본 개시물의 또 다른 실시예에 따른 기판을 세정하는 방법을 예시하는 흐름도이다.
도 9는 본 개시물의 또 다른 실시예에 따른 기판을 세정하는 방법을 예시하는 흐름도이다.
도 10은 본 개시물의 또 다른 실시예에 따른 기판을 세정하는 방법을 예시하는 흐름도이다.
도 11은 본 개시물의 또 다른 실시예에 따른 기판을 세정하는 방법을 예시하는 흐름도이다.
도 12는 본 개시물의 또 다른 실시예에 따른 기판을 세정하는 방법을 예시하는 흐름도이다.
도 13은 본 개시물의 실시예에 따른 세정 장치의 개략도이다.
아래의 개시내용은 발명의 상이한 피처들을 구현하기 위한 많은 상이한 실시예들 또는 예시들을 제공한다는 것이 이해되어야 한다. 본 개시내용을 단순화하기 위해 컴포넌트들 및 배열들의 특정 실시예들 또는 예들이 아래에서 설명된다. 물론, 이것들은 단지 예시들에 불과하며, 한정하는 것으로 의도된 것은 아니다. 예를 들어, 엘리먼트들의 치수들은 개시된 범위 또는 값들에 제한되지 않지만, 프로세스 조건들 및/또는 디바이스의 원하는 특성들에 의존할 수 있다. 뿐만 아니라, 이후의 상세설명에서 제2 피처 상의 또는 제2 피처 위의 제1 피처의 형성은 제1 피처 및 제2 피처가 직접적으로 접촉하여 형성되는 실시예들을 포함할 수 있으며, 또한 제1 피처 및 제2 피처가 직접적으로 접촉하지 않을 수 있도록 추가적인 피처들이 제1 피처와 제2 피처 사이에 개재하여 형성될 수 있는 실시예들을 포함할 수 있다. 다양한 피처들은 단순하고 명료하게 하기 위해 상이한 스케일들로 임의적으로 도시될 수 있다. 첨부 도면들에서, 몇몇 층들/피처들은 간략화를 위해 생략될 수 있다.
또한, "밑에", "아래에", "하부에", "위에", "상부에" 등과 같은 공간적으로 상대적인 용어들은 도면들에 예시될 때 다른 엘리먼트(들) 또는 피처(들)에 대한 하나의 엘리먼트 또는 피처의 관계를 설명하기 위하여 설명의 용이성을 위해 본 명세서에서 사용될 수 있다. 공간적으로 상대적인 용어들은 도면들에 도시된 배향에 부가하여 사용시 또는 동작시 디바이스의 상이한 배향들을 포함하도록 의도된다. 디바이스는 다른 방식으로 배향될 수 있거나(90도 또는 다른 배향으로 회전될 수 있음), 본 명세서에서 사용된 공간적으로 상대적인 디스크립터는 그에 따라 유사하게 해석될 수 있다. 또한, "~로 만들어진"이라는 용어는 "포함하는" 또는 "~로 구성되는"을 의미할 수 있다. 또한, 후속 제조 프로세스에서, 설명된 동작들에/동작들 간에 하나 이상의 부가적인 동작들이 있을 수 있고, 동작들의 순서는 변경될 수 있다. 본 명세서에서, 문구 "A, B 및 C 중 하나"는 "A, B 및/또는 C"(A; B; C; A 및 B; A 및 C; B 및 C; 또는 A, B 및 C)를 의미하며, 달리 설명되지 않는 한, A로부터의 하나의 엘리먼트, B로부터의 하나의 엘리먼트, C로부터의 하나의 엘리먼트를 의미하지는 않는다.
웨이퍼 상의 입자들을 제거하기 위해 반도체 제조 동작들에서 다양한 세정 동작들이 제안 및 이용되어 왔다. 예를 들어, 제트 스프레잉 방법들 또는 초음파 세정 방법들이 이용되었다. 그러나, 최소 패턴 사이즈가 감소함에 따라, 기계적 힘에 의해 제조된 패턴들에 손상을 주지 않는 더욱 부드러운 세정 동작들이 필요하다. 또한, 최소 패턴 사이즈가 감소함에 따라, 웨이퍼들로부터 제거될 입자들의 사이즈도 또한 감소한다.
유체 역학 항력(hydrodynamic drag force)은 효과적인 입자 세정 또는 제거의 핵심 요소들 중 하나이다. 유체 역학 항력(Ft)은 유동 유체에서 물체(예를 들어, 입자들)에 작용하는 힘이며, 아래에 도시된 바와 같이 입자 사이즈의 제곱에 비례한다.
Figure pat00001
(식 1)
식 1에서, d는 입자 직경이고, ρg는 유체 밀도이고, V는 입자에 대한 유체의 상대 속도이고, Cd는 항력 계수이고, Cc는 커닝햄(Cunningham) 슬립 보정 계수(slip correction factor)이다. 유체 역학 항력(Ft)이 웨이퍼의 표면 상에 입자를 부착시키는 접착력보다 클 때, 입자는 유동 유체에 의해 웨이퍼로부터 제거된다. 식 1로부터, 나노 사이즈 입자들에 대한 유체 역학 항력(Ft)이 급격히 감소하여, 입자 제거 효율을 낮아지게 한다는 것이 이해된다. 유체 속도(예를 들어, 스프레이 노즐로부터의 유체의 액적(droplet) 속도)를 증가시키기 위해 유체 저항 항력(Ft)을 증가시키는 하나의 옵션이 있다. 그러나, 전술한 바와 같이, 보다 높은 유체 속도는 제조된 패턴들에 손상을 야기할 수 있다.
본 명세서에서, 유체 저항 항력(Ft)을 증가시키기 위해 입자 사이즈(직경(d))를 증가시키는 기법들이 개시된다. 입자 사이즈를 증가시킴으로써, 입자 제거 효율은 향상될 수 있다.
도 1은 본 개시물의 실시예에 따른 기판을 세정하는 방법을 예시하는 흐름도이다. 도 1에 도시된 프로세스들 이전, 프로세스들 동안 및 프로세스들 이후에 부가적인 동작들이 제공될 수 있으며, 방법의 추가적 실시예들에 대해 아래에 설명되는 동작들 중 일부가 대체되거나 제거될 수 있다는 것이 이해된다.
초기에, 제거될 입자들이 존재하는 웨이퍼 또는 기판이 준비된다. 몇몇 실시예들에서, 기판은 반도체 웨이퍼 또는 유리 기판을 포함한다. 몇몇 실시예들에서, 입자들 중 일부의 사이즈들은 약 40 nm 미만이고, 약 0.1 nm 내지 약 40 nm의 범위이다. 약 40 nm를 초과하는 사이즈를 갖는 입자들이 기판 상의 입자들 내에 포함될 수 있다. 도 1의 S101에서, 사이즈 수정 동작이 수행된다. 사이즈 수정 동작에서, 입자들의 표면 상의 화학적 변형 또는 하나 이상의 화학제와 입자들 간의 상호작용을 통해 입자들의 사이즈는 증가된다.
도 1의 S102에서, 입자들의 사이즈가 증가된 후에, 기판은 적절한 세정액으로 세정 또는 세척된다. 그 후, 도 1의 S103에서, 탈이온수를 사용한 린싱 동작이 수행된다.
도 2a 내지 도 3c는 본 개시물의 실시예에 따른 기판을 세정하는 방법을 예시한다. 도 2a 내지 도 3c에 도시된 프로세스들 이전, 프로세스들 동안 및 프로세스들 이후에 부가적인 동작들이 제공될 수 있으며, 방법의 추가적 실시예들에 대해 아래에 설명되는 동작들 중 일부가 대체되거나 제거될 수 있다는 것이 이해된다. 도 2a 내지 도 3c는 도 1에 도시된 세정 동작의 세부사항들을 예시한다.
도 2a의 단면도에 도시된 바와 같이, 제거될 입자들(12)이 존재하는 기판(10)이 준비된다. 몇몇 실시예들에서, 기판(10)은 반도체 웨이퍼 또는 유리 기판을 포함한다. 일 실시예에서, 반도체 웨이퍼가 사용된다. 몇몇 실시예들에서, 기판(10)은 실리콘, 다이아몬드, 또는 게르마늄과 같은 적절한 원소 반도체; IV 족 화합물 반도체들(실리콘 게르마늄(SiGe), 실리콘 탄화물(SiC), 실리콘 게르마늄 탄화물(SiGeC), GeSn, SiSn, SiGeSn), III-V 족 화합물 반도체들(예를 들어, 갈륨 비화물(GaAs), 인듐 갈륨 비화물(InGaAs), 인듐 비화물(InAs), 인듐 인화물(InP), 인듐 안티몬화물(InSb), 갈륨 비소 인화물(GaAsP), 또는 갈륨 인듐 인화물(GaInP)), 등과 같은 적절한 합금 또는 화합물 반도체로 이루어진다. 더 나아가, 기판(10)은 에픽택셜 층(에피 층)을 포함할 수 있고, 이는 성능 강화를 위해 변형되고(strained) 그리고/또는 SOI(silicon-on-insulator) 구조물을 포함할 수 있다. 다른 실시예들에서, 기판(10)은 액정 디스플레이(LCD) 및 유기 전계 발광 디스플레이와 같은 평판 디스플레이용 직사각형 유리 기판이다. 특정 실시예들에서, 기판(10)은 태양열 패널용 유리 기판이다.
몇몇 실시예들에서, 하나 이상의 층(11)이 기판(10) 상에 배치된다. 몇몇 실시예들에서, 하나 이상의 층(11)이 패터닝된다. 다른 실시예들에서, 하나 이상의 층(11)은 패턴들을 갖지 않는다. 몇몇 실시예들에서, 하나 이상의 층(11)은 절연 재료 층, 도전성 재료 층, 유기 재료 층, 무기 재료 층, 금속성 층, 또는 이들의 임의의 조합을 포함한다.
몇몇 실시예들에서, 입자들(12)은 예를 들어 유기 재료들, 무기 재료들, 유전체 재료들, 세라믹 재료들 및/또는 금속 또는 금속성 재료들로 이루어진 임의의 종류의 입자들이다. 몇몇 실시예들에서, 입자들(12)은 약 50 nm 미만의 사이즈들을 갖는 나노 입자들을 포함한다. 특정 구현예들에서, 나노 입자들의 사이즈는 약 0.1 nm 내지 약 40 nm 범위이다. 약 50 nm 초과의 사이즈를 갖는 더 큰 사이즈의 입자들이 입자들(12)에 포함될 수 있다. 입자들(12)은 반 데르 발스(van der Waals) 힘에 의한 물리적 흡수 및/또는 화학적 결합에 의한 화학적 흡수에 의해, 기판(10)의 표면 상에 부착된다. 화학적 결합은 수소 결합 및 이온 결합을 포함한다.
도 2b의 단면도에서, 입자들(12)을 갖는 기판(10)의 표면 상에 화학 용액(15)이 노즐(25)로부터 도포된다. 화학 용액(15)은 기판(10) 상의 입자들(12)의 사이즈를 수정할(증가시킬) 수 있는 하나 이상의 화학제(20)를 함유한다. 도 2b에 도시된 바와 같이, 몇몇 실시예들에서는 화학 용액(15)이 기판(10)의 표면 상에 스핀 코팅된다. 스프레잉과 같은 다른 방법들은 또한 기판(10) 위에 화학 용액(15)을 도포하는데 이용될 수 있다. 몇몇 실시예들에서, 화학 용액(15)은 시간 기간, 예를 들어 약 1 초 내지 30 초 동안 기판의 표면 상에 도포되고, 그 후 기판은 화학 용액(15)에 함유된 화학 제제(chemical agent)(20)로부터 형성된 막의 원하는 두께를 얻기 위해 계속해서 회전한다.
화학 용액(15)을 도포함으로써, 화학 용액은 도 2c에 도시된 바와 같이 기판(10)의 실질적으로 전체 표면을 커버한다. 그 후, 하나 이상의 화학 제제(15)는 기판(10) 위에 배치된 입자들(12)과 반응하여, 도 3a에 도시된 바와 같이 사이즈 수정된 입자들(40)을 형성한다.
몇몇 실시예들에서, 화학 제제는 입자들(12)의 표면과 커플링될 수 있는 표면 조정제이고, 이에 의해 입자들(12)의 사이즈를 증가시킨다. 도 4a 및 도 4b에 도시된 바와 같이, 하나 이상의 표면 조정제(20S)가 입자들(12)의 표면에 커플링되고, 유체 역학 항력(Ft)을 생성할 수 있는 입자들의 유효 사이즈는 증가된다.
몇몇 실시예들에서, 표면 조정제(20S)는 실란 커플링제이다. 몇몇 실시예들에서, 도 5a에 도시된 바와 같이, 실란 커플링제는 일반식 SiX(OR)3을 가지며, 여기서 X는 Si에 커플링된 작용기이고 R은 -OCH3, -OC2H5 또는 -OCOCH3와 같은 알콕시기이다. 알킬 체인 링커(linker)는 몇몇 실시예들에서 작용기 X 및 Si를 연결한다. 다른 실시예들에서, 실란 커플링제는 일반식 SiX(CH3)n(OR)3-n을 가지며, 여기서 X는 Si에 커플링된 작용기이고 R은 알콕시기이다. X는 수소일 수 있다.
도 5b에 도시된 바와 같이, 입자(12)의 표면은 -OH 결합들을 갖는다. 입자(12)의 표면에 실란 커플링제가 도포된 후, 가수 분해 및 탈수 프로세스들을 통해 입자(12)의 표면에 실란 커플링제가 결합된다. 따라서, 입자들의 유효 사이즈는 증가될 수 있다.
몇몇 실시예들에서, 작용기 X는 Si에 직접 결합되거나 또는 탄소수 1 내지 18을 갖는 알킬 체인에 의해 Si에 연결된다. 다른 실시예들에서, 알킬 체인은 탄소수 1 내지 10을 갖는다. 특정 실시예들에서, 알킬 체인은 직선 체인을 갖는다. 다른 실시예들에서, 작용기 X는 케톤에 의해 Si에 연결된다.
실란 커플링제의 예들은: 비닐트리메톡시실란, 비닐트리에톡시실란, 또는 7-옥테닐트리메톡시실란과 같은 비닐계 실란; 2-(3,4 에폭시시클로헥실) 에틸트리메톡시실란, 3-글리시독시프로필 메틸디메톡시실란, 3-글리시독시프로필 트리메톡시실란, 3-글리시독시프로필 메틸디에톡시실란, 3-글리시독시프로필 트리에톡시실란, 또는 8-글리시독시옥틸 트리메톡시실란과 같은 에폭시계 실란; 3-메타크릴옥시프로필 메틸디메톡시실란, 3-메타크릴옥시프로필 트리메톡시실란, 3-메타크릴옥시프로필 메틸디에톡시실란, 3-메타크릴옥시프로필 트리에톡시실란, 또는 8-메타크릴옥시옥틸트리메톡시실란과 같은 메타크릴옥시계 실란; 3-아크릴옥시프로필 트리메톡시실란과 같은 아크릴옥시계 실란; N-2-(아미노에틸)-3-아미노프로필메틸디메톡시실란, N-2-(아미노에틸)-3-아미노프로필트리메톡시실란, 3-아미노프로필트리에톡시실란, 3-아미노프로필트리에톡시실란, 3-트리에톡시실릴-N-(1,3 di메틸-부틸리덴) 프로필아민, N-페닐-3-아미노프로필 트리메톡시실란, 또는 N-2-(아미노에틸)-8-아미노옥틸 트리메톡시실란과 같은 아미노계 실란; 3-이소시아네이트프로필 트리에톡시실란과 같은 이소시아네이트계 실란; 3-메르캅토프로필 메틸디메톡시실란, 또는 3-메르캅토프로필 트리메톡시실란과 같은 메르캅토계 실란; p-스티릴트리메톡시실란과 같은 스티릴계 실란; -우레이도프로필트리알콕시실란과 같은 우레이드계 실란; 및 트리스-(트리메톡시실릴프로필) 이소시안우레이트, 또는 트리스-(트리에톡시실릴프로필) 이소시안우레이트와 같은 이소시안우레이트계 실란이다. 표면 조정제(20S)로서 실란 커플링제가 단독으로 사용되거나 또는 2종 이상의 실란 커플링제가 사용된다.
다른 실시예들에서, 화학 용액(15)에 함유된 화학 제제(20)는 도 4c에 도시된 바와 같이 폴리머 또는 폴리머화가능 재료(20P)이다.
폴리머(20P)는 도 6a에 도시된 폴리 아크릴산, 도 6b에 도시된 셀룰로오스, 또는 도 6c에 도시된 폴리머 계면 활성제와 같은 수용성 폴리머를 포함한다. 폴리머(20P)는 그 내부에 입자들(12)을 흡수 또는 포함할 수 있고, 이에 의해 도 4c에 도시된 바와 같이 입자들(12)의 유효 사이즈를 증가시킬 수 있다. 이들 폴리머들은 단독으로 또는 이들의 임의의 조합으로 사용될 수 있다. 몇몇 실시예들에서, 폴리머(20P)는 약 1,000 내지 약 4,000,000 범위의 중량 평균 분자량을 갖는 폴리 아크릴산이다.
폴리머화가능 재료(모노머들)의 예들은 아크릴아미드, 메타크릴아미드, 아크릴레이트, 아크릴산 및 염, 아크릴로니트릴, 비스페놀 아크릴릭, 탄카보하이드레이트 모노머들, 플루오르화 아크릴릭, 말레이미드, 메타크릴레이트, 및 다기능(polyfunctional) 아크릴릭과 같은(그러나 이에 제한되는 것은 아님) 아크릴 모노머들을 포함한다. 이들 모노머들은 모노머들을 포함하는 수용액을 가열함으로써 폴리머화될 수 있다. 몇몇 실시예들에서, 과산화수소, 과산화나트륨, 과황산, 과황산염, 및/또는 과황산의 나트륨/칼륨 염과 같은 촉매가 이용될 수 있다.
몇몇 실시예들에서, 폴리머 계면 활성제는, 적어도 하나의 불소 원자 및/또는 적어도 하나의 실리콘 원자, 폴리옥시에틸렌 알킬 에테르들, 폴리옥시에틸렌 알킬 아릴 에테르들, 폴리옥시에틸렌-폴리옥시프로필렌 블록 코폴리머들, 및 폴리옥시에틸렌 소르비탄 지방산 에스테르들을 포함한다.
몇몇 실시예들에서, 폴리머 계면 활성제들의 특정 예들은, 폴리옥시에틸렌 라우릴 에테르, 폴리옥시에틸렌 스테아릴 에테르, 폴리옥시에틸렌 세틸 에테르, 폴리옥시에틸렌 올레일 에테르, 폴리옥시에틸렌 옥틸 페놀 에테르, 폴리옥시에틸렌 토틸 페놀 에테르, 폴리옥시에틸렌 소르비탄 모노라우레이트, 폴리옥시에틸렌 소르비탄 모노팔미테이트, 폴리옥시에틸렌 소르비탄 모노스테아레이트, 폴리옥시에틸렌 소르비탄 트리올리에이트, 폴리옥시에틸렌 소르비탄 트리스테아레이트, 폴리에틸렌 글리콜 디스테아레이트, 폴리에틸렌 글리콜 디라우레이트, 폴리에틸렌 글리콜 디라우레이트, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리옥시에틸렌스테아릴 에테르, 폴리옥시에틸렌 세틸 에테르, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리옥시에틸렌 세틸 에테르, 이들의 조합들 등을 포함한다.
다른 실시예들에서, 폴리머화가능 재료는 화학 제제(20)로서 사용된다. 몇몇 실시예들에서, 가교제(crosslinking agent)는 폴리모화가능 재료와 함께 사용된다. 폴리머화가능 재료가 사용될 때, 열 및/또는 자외선(UV) 광(30)이 코팅된 화학 용액(15)에 도포되어, 도 4c에 도시된 바와 같이 폴리머화를 유도한다. UV 광은 약 100 nm 내지 약 400 nm 범위의 피크 파장을 갖는다. 몇몇 실시예들에서, 저압 Hg 램프가 UV 광원으로서 사용된다.
하나 이상의 화학 제제(20)(표면 조정제(20S) 및/또는 폴리머 또는 폴리머화가능 재료(20P))는 화학 용액(15)의 혼합 및 분배를 돕기 위해 용매에 배치된다. 화학 용액(15)의 혼합 및 분배를 돕기 위해, 용매는 실란 커플링제 또는 폴리머에 대해 선택된 재료들에 적어도 부분적으로 기초하여 선택된다.
몇몇 실시예들에서, 용매는 물 또는 물 기반 용매이다. 다른 실시예들에서, 용매는 유기 용매이고, 케톤들, 알콜들, 폴리알콜들, 에테르들, 글리콜 에테르들, 사이클릭 에테르들, 방향족 하이드로카본들, 에스테르들, 프로피온산염들, 락테이트들, 락틱 에스테르들, 알킬렌 글리콜 모노알킬 에테르들, 알킬 락테이트들, 알킬 알콕시프로피온산염들, 사이클릭 락톤들, 고리를 포함하는 모노케톤 화합물들, 알킬렌 카보네이트들, 알킬 알콕시아세테이트, 알킬 피루베이트들, 락테이트 에스테르들, 에틸렌 글리콜 알킬 에테르 아세테이트들, 디에틸렌 글리콜들, 프로필렌 글리콜 알킬 에테르 아세테이트들, 알킬렌 글리콜 알킬 에테르 에스테르들, 알킬렌 글리콜 모노알킬 에스테르들 등과 같은 임의의 적절한 용매를 포함한다.
화학 용액(15)에 대한 용매로서 사용될 수 있는 재료들의 특정 예들은, 아세톤, 메탄올, 에탄올, 톨루엔, 자일렌, 4-하이드록시-4-메틸-2-펜타톤, 테트라하이드로푸란, 메틸 에틸 케톤, 사이클로헥사논, 메틸 이소아밀 케톤, 2-헵타논, 에틸렌 글리콜, 에틸렌 글리콜 모노아세테이트, 에틸렌 글리콜 디메틸 에테르, 에틸렌 글리콜 디메틸 에테르, 에틸렌 글리콜 메틸에틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 메틸 셀로솔브 아세테이트, 에틸 셀로솔브 아세테이트, 디에틸렌 글리콜, 디에틸렌 글리콜 모노아세테이트, 디에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 디에틸 에테르, 디에틸렌 글리콜 디메틸 에테르, 디에틸렌 글리콜 에틸메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 디에틸렌 글리콜 모노부틸 에테르, 에틸 2-하이드록시프로피오네이트, 메틸 2-하이드록시-2-메틸프로피오네이트, 에틸 2-하이드록시-2-메틸프로피오네이트, 에틸 에톡시아세테이트, 에틸 하이드록시아세테이트, 메틸 2-하이드록시-2-메틸부타네이트, 메틸 3-메톡시프로피오네이트, 에틸 3-메톡시프로피오네이트, 메틸 3-에톡시프로피오네이트, 에틸 3-에톡시프로피오네이트, 메틸 아세테이트, 에틸 아세테이트, 프로필 아세테이트, 부틸 아세테이트, 메틸 락테이트, 에틸 락테이트, 프로필 락테이트, 부틸 락테이트, 프로필렌 글리콜, 프로필렌 글리콜 모노아세테이트, 프로필렌 글리콜 모노에틸 에테르 아세테이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 프로필렌 글리콜 모노프로필 메틸 에테르 아세테이트, 프로필렌 글리콜 모노부틸 에테르 아세테이트, 프로필렌 글리콜 모노부틸 에테르 아세테이트, 프로필렌 글리콜 모노메틸 에테르 프로피오네이트, 프로필렌 글리콜 모노에틸 에테르 프로피오네이트, 프로필렌 글리콜 메틸 에테르 아세테이트, 프로필렌 글리콜 에틸 에테르 아세테이트, 에틸렌 글리콜 모노메틸 에테르 아세테이트, 에틸렌 글리콜 모노에틸 에테르 아세테이트, 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노에틸 에테르, 프로필렌 글리콜 모노프로필 에테르, 프로필렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 에틸 3-에톡시프로피오네이트, 메틸 3-메톡시프로피오네이트, 메틸 3-에톡시프로피오네이트, and 에틸 3-메톡시프로피오네이트, β-프로피오락톤, β-부티로락톤, γ-부티로락톤, α-메틸-γ-부티로락톤, β-메틸-γ-부티로락톤, γ-발레로락톤, γ-카프로락톤, γ-옥타노익 락톤, α-하이드록시-γ-부티로락톤, 2-부타논, 3-메틸부타논, 피나콜론, 2-펜타논, 3-펜타논, 4-메틸-2-펜타논, 2-메틸-3-펜타논, 4,4-디메틸-2-펜타논, 2,4-디메틸-3-펜타논, 2,2,4,4-테트라메틸-3-펜타논, 2-헥사논, 3-헥사논, 5-메틸-3-헥사논, 2-헵타논, 3-헵타논, 4-헵타논, 2-메틸-3-헵타논, 5-메틸-3-헵타논, 2,6-디메틸-4-헵타논, 2-옥타논, 3-옥타논, 2-노나논, 3-노나논, 5-노나논, 2-데카논, 3-데카논, 4-데카논, 5-헥센-2-온, 3-펜텐-2-온, 사이클로펜타논, 2-메틸사이클로펜타논, 3-메틸사이클로펜타논, 2,2-디메틸사이클로펜타논, 2,4,4-트리메틸사이클로펜타논, 사이클로헥사논, 3-메틸사이클로헥사논, 4-메틸사이클로헥사논, 4-에틸사이클로헥사논, 2,2-디메틸사이클로헥사논, 2,6-디메틸사이클로헥사논, 2,2,6-트리메틸사이클로헥사논, 사이클로헵타논, 2-메틸사이클로헵타논, 3-메틸사이클로헵타논, 프로필렌 카보네이트, 비닐렌 카보네이트, 에틸렌 카보네이트, 부틸렌 카보네이트, 아세테이트-2-메톡시에틸, 아세테이트-2-에톡시에틸, 아세테이트-2-(2-에톡시에톡시)에틸, 아세테이트-3-메톡시-3-메틸부틸, 아세테이트-1-메톡시-2-프로필, 디프로필렌 글리콜, 모노메틸에테르, 모노에틸에테르, 모노프로필에테르, 모노부틸에테르, 모노페닐에테르, 디프로필렌 글리콜 모노아세테이트, 디옥산, 메틸 피루베이트, 에틸 피루베이트, 프로필 피루베이트, 메틸 메톡시프로피오네이트, 에틸 에톡시프로피오네이트, n-메틸피롤리돈(NMP), 2-메톡시에틸 에테르(디글라임), 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르, 메틸 프로피오네이트, 에틸 프로피오네이트, 에틸 에톡시 프로피오네이트, 메틸에틸 케톤, 사이클로헥사논, 2-헵타논, 사이클로펜타논, 사이클로헥사논, 에틸 3-에톡시프로피오네이트, 프로필렌 글리콜 메틸 에테르 아세테이트(PGMEA), 메틸렌 셀로솔브, 2-에톡시에탄올, N-메틸포름아미드, N,N-디메틸포름아미드, N-메틸포름아닐라이드, N-메틸아세트아미드, N,N-디메틸아세트아미드, 디메틸설폭사이드, 벤질 에틸 에테르, 디헥실 에테르, 아세토닐아세톤, 이소포론, 카프로산, 카프릴산, 1-옥타놀, 1-노나놀, 벤질 알코올, 벤질 아세테이트, 에틸 벤조에이트, 디에틸 옥살레이트, 디에틸 말리에이트, 페닐 셀로솔브 아세테이트 등을 포함한다.
본 기술분야의 당업자가 알 수 있는 바와 같이, 화학 용액(15)의 용매 성분에 사용될 수 있는 재료들의 예로서 상기 나열되고 설명된 재료들은 단지 예시적인 것이며, 실시예들을 제한하고자 의도된 것이 아니다. 그러한 모든 재료들은 완전히 실시예들의 범위 내에 포함되도록 의도된다.
또한, 위에 설명된 재료들 중 각각의 재료가 화학 용액(15)을 위한 용매로서 사용될 수 있는 반면, 다른 실시예들에서는 위에 설명된 재료들 중 둘 이상이 사용된다. 예를 들어, 몇몇 실시예들에서, 용매는 설명된 재료들 중 둘 이상의 재료의 혼합물을 포함한다. 그러한 모든 조합들은 완전히 실시예들의 범위 내에 포함되도록 의도된다.
수정 동작 후에, 잔류하는 화학 용액(15)은 제거되고, 건조 동작이 몇몇 실시예들에서 수행된다. 잔류하는 화학 용액(15)은 단순히 기판을 더욱 고속으로 회전시킴으로써 제거되거나, 또는 물 또는 유기 용매와 같은 다른 용액을 사용함으로써 제거될 수 있다. 건조 프로세스는 몇몇 실시예들에서 약 50 ℃ 내지 120 ℃의 온도 범위의 가열을 포함한다.
표면 조정제(20S) 또는 폴리머(20P)에 의한 입자들(12)의 표면 수정 이후에, 사이즈 수정된 입자들(40)(도 3a 및 도 4d 참조)의 사이즈는 약 50 nm 이상, 약 100 nm 이상, 또는 약 200 nm 이상이다. 특정 실시예들에서, 표면 수정 이후의 입자들의 사이즈는 최대 약 1000 ㎛, 최대 약 200 ㎛, 또는 최대 약 50 ㎛이다.
잔류하는 화학 용액(15)이 제거 된 후, 도 3b에 도시된 바와 같이, 세정 용액(50)이 노즐(45)로부터 회전 기판(10) 상으로 도포된다. 몇몇 실시예들에서, 세정 용액(50)은 NH4OH 및 H2O2를 포함하는 유기 용매 또는 수용액(SC1 용액)이다. 유기 용매는 이소프로필 알코올(IPA, isopropyl alcohol)과 같은 알코올일 수 있다. 몇몇 실시예들에서, 세정 시간은 약 30 초 내지 120 초 범위이다. 세정 용액(50)을 사용하는 세정 프로세스에서, 몇몇 실시예들에서 기판 및/또는 세정 용액(50)에 초음파 진동이 인가되지 않는다. 몇몇 실시예들에서, 기판(10)은 세정 용액(50)에 침지되고, 액체 배쓰(liquid bath)에 유지된다.
다른 실시예들에서, 입자 사이즈 수정 후 화학 용액(15)을 제거하지 않고, 세정 용액(50)이 도포된다. 다시 말해, 세정 용액(50)은 또한 화학 용액(15)을 제거한다.
도 4a 및 도 4d에 도시된 바와 같이, 예를 들어 약 50 nm 미만의 직경을 갖는 초기 입자들(12)은 더 큰 사이즈, 예를 들어 약 100 nm 이상을 갖는 사이즈 수정된 입자들(40)로 사이즈가 수정된다. 따라서, 세정 용액(50)을 사용한 세정 프로세스 동안 사이즈 수정된 입자들은 보다 용이하고 효과적으로 제거될 수 있다. 초음파 또는 고압의 세정 유체(몇몇 실시예들에서, 예를 들어, 2 hPa 초과 및 10 hPa 미만의 압력이 가해진)가 필요하지 않기 때문에, 기판(10) 상에 형성된 미세 패턴에 대한 손상을 방지하는 것이 가능하다.
또한, 기판이 회전하는 동안 기판(10)의 표면에 탈이온화된 웨이퍼를 사용한 린싱 동작이 수행되고, 그 후 건조 동작이 수행되어, 도 3c에 도시된 바와 같이 세정된 기판(10)이 얻어진다.
도 7a 내지 도 7c는 본 개시물의 하나 이상의 유리한 효과를 보여준다. 도 7a에서, 라인(701)은 원래 입자들의 입자 사이즈(직경)와 사이즈 수정 없는 입자들에 대한 입자 제거 효율 간의 관계를 도시하고, 라인(702)은 원래 입자들의 입자 사이즈와 사이즈 수정된 입자들에 대한 입자 제거 효율 간의 관계를 도시한다. 도 7a에 도시된 바와 같이, 전술한 바와 같이, 입자의 사이즈가 더 작아질 때, 특히, 입자 사이즈가 약 50 nm 미만일 때, 입자 제거 효율은 현저하게 감소한다. 대조적으로, 전술한 바와 같은 사이즈 수정 동작을 이용함으로써, 약 50 nm 미만의 직경들을 갖는 입자들은 약 100 nm 초과의 직경들을 갖는 큰 입자들로 변형되고, 따라서 몇몇 실시예들에서 약 50 nm 미만의 원래 사이즈들을 갖는 입자들에 대해 입자 제거 효율이 향상된다.
도 7b 및 도 7c는 기판 위에 형성된 미세 패턴들에 손상을 야기하지 않으면서, 소정 사이즈의 입자들을를 제거하는 세정 유체의 입자 사이즈와 전단 속도 간의 관계를 도시한다. 도 7b 및 도 7c에 도시된 바와 같이, 입자 사이즈가 예를 들어, 50nm 미만으로 더 작아지면, 세정 유체의 전단 속도는 빠르게 증가한다. 전술한 바와 같이, 입자들의 사이즈를 예를 들어, 100 nm 초과로 증가시킴으로써, 세정 유체의 속도를 감소시키고, 따라서 기판 위에 형성된 미세 패턴들에 대한 손상을 억제하는 것이 가능하다. 세정 유체의 속도는 기판의 인가된 압력 및/또는 회전 속도를 변화시킴으로써 조정될 수 있다. 몇몇 실시예들에서, 대기압(가압되지 않음) 내지 약 2 hPa의 범위 내의 더 낮은 압력이 세정 유체를 가압하는데 사용될 수 있다.
도 8는 본 개시물의 또 다른 실시예에 따른 기판을 세정하는 방법을 예시하는 흐름도이다. 도 8에 의해 도시된 동작들 이전, 동작들 동안 및 동작들 이후에 부가적인 동작들이 제공될 수 있으며, 방법의 다른 실시예들에 대해 아래에 설명되는 동작들 중 일부가 대체되거나 제거될 수 있다는 것이 이해된다. 도 1a 내지 도 7c와 관련하여 설명된 전술한 실시예들과 동일하거나 유사한 재료, 구성, 치수 및/또는 프로세스들은 하기의 실시예들에 채용될 수 있으며, 그 상세한 설명은 생략될 수 있다.
도 8의 S801에서, 하나 이상의 플라즈마 건식 에칭 동작들이 기판(10) 위에 배치된 타겟 층 상에서 또는 그 위에서 수행된다. 몇몇 실시예들에서, 포토레지스트 패턴은 타겟 층 위에 배치되지 않는다. 예를 들어, 플라즈마 건식 에칭은 패턴들(예를 들어, 게이트 구조물들) 위에 측벽들을 형성하기 위해 또는 에치 백 동작을 위해, 하드 마스크 패턴을 에칭 마스크로서 사용함으로써, 타겟 층을 패터닝하기 위한 것이다. 플라즈마 건식 에칭 동작 동안, 입자들(12)이 생성되어 기판(10)의 표면 상에 떨어진다.
그 후, S802에서, 하나 이상의 화학 제제(20)를 함유하는 화학 용액(15)이 입자들(12)로 오염된 기판 상에 도포된다. S803에서, 도 1의 S101과 유사하게, 입자 사이즈들은 증가된다. 화학 제제(20)가 폴리머화가능 재료를 포함하는 경우, 열 및/또는 UV 광이 폴리머화가능 재료를 폴리머화하기 위해 도포되어, 폴리머화된 재료는 입자들(12)을 흡수 또는 통합한다. 그 후, S804에서, 도 1의 S102와 유사하게, SC1 용액과 같은 세정 용액(50)이 인가되어 사이즈 수정된 입자들(40)을 제거하고, 그 후 도 1의 S103과 유사한 린싱 동작(S805)이 수행된다. 앞서 말한 세정 동작으로, 플라즈마 건식 프로세싱 이후에 나노 사이즈 입자들을 효율적으로 제거하는 것이 가능하다.
도 9는 본 개시물의 또 다른 실시예에 따른 기판을 세정하는 방법을 예시하는 흐름도이다. 도 9에 의해 도시된 프로세스들 이전, 프로세스들 동안 및 프로세스들 이후에 부가적인 동작들이 제공될 수 있으며, 방법의 다른 실시예들에 대해 아래에 설명되는 동작들 중 일부가 대체되거나 제거될 수 있다는 것이 이해된다. 도 1a 내지 도 8과 관련하여 설명된 전술한 실시예들과 동일하거나 유사한 재료, 구성, 치수 및/또는 프로세스들은 하기의 실시예들에 채용될 수 있으며, 그 상세한 설명은 생략될 수 있다.
도 9의 S901에서, 하나 이상의 플라즈마 건식 에칭 동작들이 기판(10) 위에 배치된 타겟 층 상에서 또는 그 위에서 수행된다. 몇몇 실시예들에서, 포토레지스트 패턴은 타겟 층 위에 형성된다. 예를 들어, 플라즈마 건식 에칭은 에칭 마스크로서 레지스트 패턴을 사용함으로써 타겟 층을 패터닝하기 위한 것이거나, 또는 포토레지스트 또는 유기 재료 층을 사용하는 에치 백 동작을 위한 것이다.
에칭 동작 이후에, S902에서 포토레지스트 층을 제거하기 위해 레지스트 애싱 동작이 수행된다. 레지스트 애싱 동작은 몇몇 실시예들에서 플라즈마 프로세스이다. 플라즈마 건식 에칭 동작 및/또는 레지스트 애싱 동작 동안, 입자들(12)이 생성되어 기판(10)의 표면 상에 떨어진다.
그 후, S903에서, 하나 이상의 화학 제제(20)를 함유하는 화학 용액(15)이 입자들(12)로 오염된 기판 상에 도포된다. S904에서, 도 1의 S101과 유사하게, 입자 사이즈들은 증가된다. 화학 제제들(20)이 폴리머화가능 재료를 포함하는 경우, 열 및/또는 UV 광이 폴리머화가능 재료를 폴리머화하기 위해 도포되어, 폴리머화된 재료는 입자들(12)을 흡수 또는 통합한다. 그 후, S905에서, 도 1의 S102와 유사하게, SC1 용액과 같은 세정 용액(50)이 인가되어 사이즈 수정된 입자들(40)을 제거하고, 그 후 도 1의 S103과 유사한 린싱 동작(S906)이 수행된다. 앞서 말한 세정 동작으로, 플라즈마 프로세싱 이후에 나노 사이즈 입자들을 효율적으로 제거하는 것이 가능하다.
도 10은 본 개시물의 또 다른 실시예에 따른 기판을 세정하는 방법을 예시하는 흐름도이다. 도 10에 의해 도시된 프로세스들 이전, 프로세스들 동안 및 프로세스들 이후에 부가적인 동작들이 제공될 수 있으며, 방법의 다른 실시예들에 대해 아래에 설명되는 동작들 중 일부가 대체되거나 제거될 수 있다는 것이 이해된다. 도 1a 내지 도 9와 관련하여 설명된 전술한 실시예들과 동일하거나 유사한 재료, 구성, 치수 및/또는 프로세스들은 하기의 실시예들에 채용될 수 있으며, 그 상세한 설명은 생략될 수 있다.
도 10의 S1001에서, 하나 이상의 이온 주입 동작이 기판(10) 상에 또는 기판(10) 위에 수행된다. 몇몇 실시예들에서, 포토레지스트 패턴은 주입 마스크 패턴으로서 형성된다.
이온 주입 동작 이후에, S1002에서 포토레지스트 층을 제거하기 위해 레지스트 애싱 동작이 수행된다. 레지스트 애싱 동작은 몇몇 실시예들에서 플라즈마 프로세스이다. 이온 주입 에칭 동작 및/또는 레지스트 애싱 동작 동안, 입자들(12)이 생성되어 기판(10)의 표면 상에 떨어진다.
그 후, S1003에서, 하나 이상의 화학 제제(20)를 함유하는 화학 용액(15)이 입자들(12)로 오염된 기판 상에 도포된다. S1004에서, 도 1의 S101과 유사하게, 입자 사이즈들은 증가된다. 화학 제제들(20)이 폴리머화가능 재료를 포함하는 경우, 열 및/또는 UV 광이 폴리머화가능 재료를 폴리머화하기 위해 도포되어, 폴리머화된 재료는 입자들(12)을 흡수 또는 통합한다. 그 후, S1005에서, 도 1의 S102와 유사하게, SC1 용액과 같은 세정 용액(50)이 인가되어 사이즈 수정된 입자들(40)을 제거하고, 그 후 도 1의 S103과 유사한 린싱 동작(S1006)이 수행된다. 앞서 말한 세정 동작으로, 이온 주입 프로세스 및 플라즈마 프로세싱 이후에 나노 사이즈 입자들을 효율적으로 제거하는 것이 가능하다.
도 11은 본 개시물의 또 다른 실시예에 따른 기판을 세정하는 방법을 예시하는 흐름도이다. 도 11에 의해 도시된 프로세스들 이전, 프로세스들 동안 및 프로세스들 이후에 부가적인 동작들이 제공될 수 있으며, 방법의 다른 실시예들에 대해 아래에 설명되는 동작들 중 일부가 대체되거나 제거될 수 있다는 것이 이해된다. 도 1a 내지 도 10과 관련하여 설명된 전술한 실시예들과 동일하거나 유사한 재료, 구성, 치수 및/또는 프로세스들은 하기의 실시예들에 채용될 수 있으며, 그 상세한 설명은 생략될 수 있다.
도 11의 S1101에서, 하나 이상의 화학 기계적 연마 동작이 기판(10) 위에 배치된 타겟 층 상에 또는 그 위에 수행된다. CMP 프로세스는 연마 패드 및 화학적 슬러리를 사용하는 평탄화 프로세스이다. 몇몇 실시예들에서, 슬러리는 기판(10) ㅇ ㅟ에 타겟 층에서 재료를 에칭하기 위한 화학적 에천트로서 작용하는 재료의 콜로이드이다. 연마 패드는 재료를 제거하고 임의의 불규칙적인 토포그래피를 매끄럽게 하도록, 슬러리가 배치된 동안 웨이퍼에 관하여 회전된다. 슬러리 내의 연마 입자들은 실리카, 세리아 및/또는 알루미나와 같은 재료들이다. 실시예에서, 연마 입자들은 사용되는 애플리케이션 따라 약 10 nm 내지 약 100 nm 범위 또는 그 이상의 평균 입자 사이즈를 갖는, 일반적으로 균일한 형상 및 좁은 사이즈 분포를 갖는다. CMP 동작 동안, 입자들(12)이 생성되어 기판(10)의 표면 상에 떨어진다. 몇몇 실시예들에서, 입자들(12)은 슬러리의 연마 입자들을 포함한다.
CMP 동작 후에, S1102에서, 하나 이상의 화학 제제(20)를 함유하는 화학 용액(15)이 입자들(12)로 오염된 기판 상에 도포된다. 몇몇 실시예들에서, 탈이온수를 사용하는 세정 동작은 CMP 동작 이후 및 화학 용액의 도포 이전에 수행된다. S1103에서, 도 1의 S101과 유사하게, 입자 사이즈들은 증가된다. 화학 제제들(20)이 폴리머화가능 재료를 포함하는 경우, 열 및/또는 UV 광이 폴리머화가능 재료를 폴리머화하기 위해 도포되어, 폴리머화된 재료는 입자들(12)을 흡수 또는 통합한다. 그 후, S1104에서, 도 1의 S102와 유사하게, SC1 용액과 같은 세정 용액(50)이 인가되어 사이즈 수정된 입자들(40)을 제거하고, 그 후 도 1의 S103과 유사한 린싱 동작(S1105)이 수행된다. 앞서 말한 세정 동작으로, CMP 동작 이후에 나노 사이즈 입자들을 효율적으로 제거하는 것이 가능하다. 도 12는 본 개시물의 또 다른 실시예에 따른 기판을 세정하는 방법을 예시하는 흐름도이다. 도 12에 도시된 프로세스들 이전, 프로세스들 동안 및 프로세스들 이후에 부가적인 동작들이 제공될 수 있으며, 방법의 추가적 실시예들에 대해 아래에 설명되는 동작들 중 일부가 대체되거나 제거될 수 있다는 것이 이해된다. 도 1a 내지 도 11과 관련하여 설명된 전술한 실시예들과 동일하거나 유사한 재료, 구성, 치수 및/또는 프로세스들은 하기의 실시예들에 채용될 수 있으며, 그 상세한 설명은 생략될 수 있다.
도 12의 S1201에서, 하나 이상의 성막 동작들이 기판(10) 위에 배치된 타겟 층 상에 또는 그 위에서 수행된다. 성막 동작은 예를 들어, 물리 기상 증착(PVD, physical vapor deposition), 분자 빔 에피택시(MBE, molecular beam epitaxy), 펄스 레이저 증착(PLD, pulsed laser deposition), 원자 층 증착(ALD, atomic layer deposition), 전자 빔(e-beam) 에피택시, 화학 기상 증착(CVD, chemical vapor deposition), 예컨대 저압 CVD(LPCVD, low pressure CVD), 플라즈마 강화 CVD(PECVD, plasma enhanced CVD), 또는 금속 유기 CVD(MOCVD, metal-organic CVD), 및 전자 도금을 포함한다. 성막 동작 동안, 입자들(12)이 생성되어 기판(10)의 표면 상에 떨어진다.
그 후, S1202에서, 하나 이상의 화학 제제(20)를 함유하는 화학 용액(15)이 입자들(12)로 오염된 기판 상에 도포된다. S1203에서, 도 1의 S101과 유사하게, 입자 사이즈들은 증가된다. 화학 제제들(20)이 폴리머화가능 재료를 포함하는 경우, 열 및/또는 UV 광이 폴리머화가능 재료를 폴리머화하기 위해 도포되어, 폴리머화된 재료는 입자들(12)을 흡수 또는 통합한다. 그 후, S1204에서, 도 1의 S102와 유사하게, SC1 용액과 같은 세정 용액(50)이 인가되어 사이즈 수정된 입자들(40)을 제거하고, 그 후 도 1의 S103과 유사한 린싱 동작(S1205)이 수행된다. 앞서 말한 세정 동작으로, 막 형성 프로세싱 이후에 나노 사이즈 입자들을 효율적으로 제거하는 것이 가능하다.
도 13은 본 개시물의 실시예에 따른 세정 장치의 개략도이다. 본 기술분야의 당업자는 하나 이상의 추가 피처가 도 13에 도시된 장치와 함께 이용된다는 것을 이해할 것이다.
세정 장치(1300)는 기판 홀더(1303)가 배치되는 하우징 또는 인클로저(1301)를 포함한다. 기판 홀더는 기판(1310)을 유지하고 다양한 속도로 기판을 회전시키도록 구성된다. 장치는 화학 용액(15)을 분배하도록 구성되는 유체 노즐(1321), 세정 용액(50)을 분배하도록 구성되는 유체 노즐(1323), 및 탈이온수를 웨이퍼(1310) 상으로 분배하도록 구성되는 유체 노즐(1325)을 포함하는, 수개의 유체 노즐들을 포함한다. 노즐들은 몇몇 실시예들에서 횡방향 및 수직 방향으로 이동가능하다.
유체 노즐(1321)은 화학 용액(15)을 보유하는 화학 용액 소스(1315)에 유체 연결되고, 유체 노즐(1323)은 세정 용액(50)을 보유하는 세정 용액 소스(1350)에 유체 연결된다. 또한, 유체 노즐(1325)은 설비의 탈이온수 소스일 수 있는 탈이온수 소스에 유체 연결된다. 또한, 하우징(1301)의 내부 또는 외부에 UV 광원 또는 히터(1330)가 배치된다.
세정 장치(1300)의 동작들 중 적어도 일부는 하나 이상의 저장 장치(1390)에 연결되거나 거기 포함되는 하나 이상의 제어기(1380)에 의해 제어된다. 몇몇 실시예들에서, 제어기(1380)는 하나 이상의 프로세서를 포함하는 컴퓨터 시스템이고, 저장 장치(1390)는 제어 프로그램을 저장한다. 제어 프로그램이 프로세서에 의해 실행될 때, 제어기(1380)는 예를 들어 기판 홀더(1303), 노즐들(1321, 1323 및 1325), 그를 통해 흐르는 용액들의 유체 흐름들, 및 히터/UV 광원(1330)의 동작들을 제어한다.
실행된 프로그램에 따라, 전술한 세정 동작들이 수행된다.
모든 이점이 본 명세서에서 반드시 논의되지는 않았으며, 모든 실시예들 또는 예들에 대해 특별한 이점이 요구되지 않으며, 다른 실시예들 또는 예들은 상이한 장점을 제공할 수 있음을 이해할 것이다.
예를 들어, 하나 이상의 상기 실시예들에 따라, 입자 사이즈(직경)는 유체 역학 항력을 증가시키기 위해 하나 이상의 화학적 성분에 의해 증가된다. 입자 사이즈를 증가시킴으로써, 입자 제거 효율은 향상될 수 있다.
본 개시물의 양상에 따르면, 기판을 세정하는 방법에서, 제거될 입자들이 배치되는 기판 상에 사이즈 변형 재료를 포함하는 용액이 도포된다. 사이즈 수정 재료 및 제거될 입자들로부터, 제거될 입자들보다 더 큰 사이즈를 갖는 사이즈 수정된 입자들이 발생된다. 기판으로부터 사이즈 수정된 입자들이 제거된다. 전술한 또는 후속하는 실시예들 중 하나 이상에서, 사이즈 수정 재료는, 사이즈 수정된 입자들을 발생시키는 단계에서 제거될 입자들의 표면에 부착되는 표면 수정 재료를 포함한다. 전술한 또는 후속하는 실시예들 중 하나 이상에서, 표면 수정 재료는 일반식 SiX(CH3)n(OR)3-n의 실란 결합제(coupling agent)이고, 여기서 X는 Si에 결합된 작용기(functional group)이고, R은 알콕시기이고, n은 0, 1, 2 또는 3이다. 전술한 또는 후속하는 실시예들 중 하나 이상에서, X는 비닐, 에폭시, 메타크릴록시, 아크릴록시, 아미노, 및 메르캅토로 구성되는 그룹으로부터 선택되는 것이다. 전술한 또는 후속하는 실시예들 중 하나 이상에서, 알콕시기는 메톡시, 에톡시, 디알콕시, 및 트리알콕시로 구성되는 그룹으로부터 선택되는 것이다. 전술한 또는 후속하는 실시예들 중 하나 이상에서, 작용기 X는 Si에 직접 결합되거나, 또는 탄소수(carbon number)가 18 미만인 알킬 체인에 의해 연결된다. 전술한 또는 후속하는 실시예들 중 하나 이상에서,알킬 체인은 직선 체인을 갖는다. 전술한 또는 후속하는 실시예들 중 하나 이상에서, 사이즈 수정 재료는 폴리머화가능 재료 또는 폴리머를 포함한다. 전술한 또는 후속하는 실시예들 중 하나 이상에서, 사이즈 수정 재료는 폴리 아크릴산, 셀룰로오스, 및 폴리머 계면활성제로 구성되는 그룹으로부터 선택된 것을 포함한다. 전술한 또는 후속하는 실시예들 중 하나 이상에서, 폴리머는 1,000 내지 4,000,000 범위인 중량 평균 분자량을 갖는 폴리 아크릴산이다. 전술한 또는 후속하는 실시예들 중 하나 이상에서, 사이즈 수정 재료는 폴리머화가능 재료를 포함하고, 방법은, 열 또는 광을 인가함으로써 폴리머화를 유도하여, 사이즈 수정된 입자들을 발생시키는 단계를 더 포함한다. 전술한 또는 후속하는 실시예들 중 하나 이상에서, 기판으로부터 사이즈 수정된 입자들을 제거하는 단계는, NH4OH 및 H2O2를 포함하는 유기 용매 또는 수용액을 도포하는 단계를 포함한다. 전술한 또는 후속하는 실시예들 중 하나 이상에서, 기판으로부터 사이즈 수정된 입자들을 제거하는 단계는, NH4OH 및 H2O2를 포함하는 유기 용매 또는 수용액을 도포하는 단계 이후에, 물을 도포하여 기판을 린싱하는 단계를 더 포함한다. 전술한 또는 후속하는 실시예들 중 하나 이상에서, 사이즈 수정 이전에 제거될 입자들의 평균 입자 사이즈는 0.1 nm 내지 40 nm 범위이다. 전술한 또는 후속하는 실시예들 중 하나 이상에서, 사이즈 수정된 입자들의 평균 사이즈는 50 nm 내지 1000 μm 범위이다.
본 개시물의 다른 양상에 따르면, 반도체 디바이스를 제조하는 방법에서, 반도체 웨이퍼 상에 배치된 하나 이상의 층에 플라즈마 프로세싱이 수행된다. 플라즈마 프로세싱에 의해 야기된 입자들이 배치되는 웨이퍼 위에, 사이즈 수정 재료를 포함하는 용액이 도포된다. 사이즈 수정 재료 및 플라즈마 프로세싱에 의해 야기된 입자들로부터, 플라즈마 프로세싱에 의해 야기된 입자들보다 더 큰 사이즈를 갖는 사이즈 수정된 입자들이 발생된다. 기판으로부터 사이즈 수정된 입자들이 제거된다. 전술한 또는 후속하는 실시예들 중 하나 이상에서, 플라즈마 프로세싱은 플라즈마 건식 에칭, 플라즈마 레지스트 애싱, 및 플라즈마 막 증착으로 구성되는 그룹으로부터 선택된 것을 포함한다. 전술한 또는 후속하는 실시예들 중 하나 이상에서, 사이즈 수정 재료는 실란 결합제이다. 전술한 또는 후속하는 실시예들 중 하나 이상에서, 사이즈 수정 재료는 폴리머화가능 재료 또는 폴리머를 포함한다.
본 개시물의 다른 양상에 따르면, 반도체 디바이스를 제조하는 방법에서, 반도체 웨이퍼 상에 배치된 하나 이상의 층에 화학 기계적 연마(CMP, chemical mechanical polishing) 프로세싱이 수행된다. CMP 프로세싱에 의해 야기된 입자들이 배치되는 웨이퍼 위에, 사이즈 수정 재료를 포함하는 용액이 도포된다. 사이즈 수정 재료 및 CMP 프로세싱에 의해 야기된 입자들로부터, CMP 프로세싱에 의해 야기된 입자들보다 더 큰 사이즈를 갖는 사이즈 수정된 입자들이 발생된다. 기판으로부터 사이즈 수정된 입자들이 제거된다.
본 개시물의 양상들을 본 발명분야의 당업자들이 보다 잘 이해할 수 있도록 앞에서는 여러 실시예들의 피처들을 약술하였다. 본 발명분야의 당업자들은 여기서 소개한 실시예 또는 예들의 동일한 목적들을 수행하거나 및/또는 동일한 장점들을 달성하기 위한 다른 프로세스들 및 구조들을 설계하거나 또는 수정하기 위한 기반으로서 본 발명개시를 자신들이 손쉽게 이용할 수 있다는 것을 인식해야 한다. 본 기술분야의 당업자들은 또한 이와 같은 등가적 구성들은 본 개시물의 사상과 범위를 벗어나지 않으며, 본 개시물의 사상과 범위를 벗어나지 않고 당업자들이 다양한 변경들, 대체들, 및 개조들을 본 발명에서 행할 수 있음을 알아야 한다.
실시예들
실시예 1. 기판을 세정하는 방법에 있어서,
제거될 입자들이 배치되는 기판 상에 사이즈 수정 재료를 포함하는 용액을 도포하는 단계;
상기 사이즈 수정 재료 및 상기 제거될 입자들로부터, 상기 제거될 입자들보다 더 큰 사이즈를 갖는 사이즈 수정된 입자들을 발생시키는 단계; 및
상기 기판으로부터 상기 사이즈 수정된 입자들을 제거하는 단계
를 포함하는, 기판을 세정하는 방법.
실시예 2. 실시예 1에 있어서,
상기 사이즈 수정 재료는, 상기 사이즈 수정된 입자들을 발생시키는 단계에서 상기 제거될 입자들의 표면에 부착되는 표면 수정 재료를 포함하는 것인, 기판을 세정하는 방법.
실시예 3. 실시예 2에 있어서,
상기 표면 수정 재료는 일반식 SiX(CH3)n(OR)3-n의 실란 결합제(coupling agent)이고, 여기서 X는 Si에 결합된 작용기(functional group)이고, R은 알콕시기이고, n은 0, 1, 2 또는 3인 것인, 기판을 세정하는 방법.
실시예 4. 실시예 3에 있어서,
상기 X는 비닐, 에폭시, 메타크릴록시, 아크릴록시, 아미노, 및 메르캅토로 구성되는 그룹으로부터 선택되는 것인, 기판을 세정하는 방법.
실시예 5. 실시예 3에 있어서,
상기 알콕시기는 메톡시, 에톡시, 디알콕시, 및 트리알콕시로 구성되는 그룹으로부터 선택되는 것인, 기판을 세정하는 방법.
실시예 6. 실시예 3에 있어서,
상기 작용기 X는 Si에 직접 결합되거나, 또는 탄소수(carbon number)가 18 미만인 알킬 체인에 의해 연결되는 것인, 기판을 세정하는 방법.
실시예 7. 실시예 6에 있어서,
상기 알킬 체인은 직선 체인을 갖는 것인, 기판을 세정하는 방법.
실시예 8. 실시예 1에 있어서,
상기 사이즈 수정 재료는 폴리머화가능 재료 또는 폴리머를 포함하는 것인, 기판을 세정하는 방법.
실시예 9. 실시예 8에 있어서,
상기 사이즈 수정 재료는 폴리 아크릴산, 셀룰로오스, 및 폴리머 계면활성제로 구성되는 그룹으로부터 선택되는 것인, 기판을 세정하는 방법.
실시예 10. 실시예 9에 있어서,
상기 폴리머는 1,000 내지 4,000,000 범위인 중량 평균 분자량을 갖는 폴리 아크릴산인 것인, 기판을 세정하는 방법.
실시예 11. 실시예 8에 있어서,
상기 사이즈 수정 재료는 폴리머화가능 재료를 포함하고,
상기 방법은, 열 또는 광을 인가함으로써 폴리머화를 유도하여, 사이즈 수정된 입자들을 발생시키는 단계를 더 포함하는, 기판을 세정하는 방법.
실시예 12. 실시예 1에 있어서,
상기 기판으로부터 상기 사이즈 수정된 입자들을 제거하는 단계는, NH4OH 및 H2O2를 포함하는 유기 용매 또는 수용액을 도포하는 단계를 포함하는 것인, 기판을 세정하는 방법.
실시예 13. 실시예 12에 있어서,
상기 기판으로부터 상기 사이즈 수정된 입자들을 제거하는 단계는, 상기 NH4OH 및 H2O2를 포함하는 유기 용매 또는 수용액을 도포하는 단계 이후에, 물을 도포하여 상기 기판을 린싱하는 단계를 더 포함하는 것인, 기판을 세정하는 방법.
실시예 14. 실시예 1에 있어서,
사이즈 수정 이전에 상기 제거될 입자들의 평균 입자 사이즈는 0.1 nm 내지 40 nm 범위인 것인, 기판을 세정하는 방법.
실시예 15. 실시예 14에 있어서,
상기 사이즈 수정된 입자들의 평균 사이즈는 50 nm 내지 1000 μm 범위인 것인, 기판을 세정하는 방법.
실시예 16. 반도체 디바이스를 제조하는 방법에 있어서,
반도체 웨이퍼 상에 배치된 하나 이상의 층에 플라즈마 프로세싱을 수행하는 단계;
상기 플라즈마 프로세싱에 의해 야기된 입자들이 배치되는 상기 웨이퍼 위에, 사이즈 수정 재료를 포함하는 용액을 도포하는 단계;
상기 사이즈 수정 재료 및 상기 플라즈마 프로세싱에 의해 야기된 입자들로부터, 상기 플라즈마 프로세싱에 의해 야기된 입자들보다 더 큰 사이즈를 갖는 사이즈 수정된 입자들을 발생시키는 단계; 및
기판으로부터 상기 사이즈 수정된 입자들을 제거하는 단계
를 포함하는, 반도체 디바이스를 제조하는 방법.
실시예 17. 실시예 16에 있어서,
상기 플라즈마 프로세싱은 플라즈마 건식 에칭, 플라즈마 레지스트 애싱, 및 플라즈마 막 증착으로 구성되는 그룹으로부터 선택되는 것인, 반도체 디바이스를 제조하는 방법.
실시예 18. 실시예 17에 있어서,
상기 사이즈 수정 재료는 실란 결합제인 것인, 반도체 디바이스를 제조하는 방법.
실시예 19. 실시예 17에 있어서,
상기 사이즈 수정 재료는 폴리머화가능 재료 또는 폴리머를 포함하는 것인, 반도체 디바이스를 제조하는 방법.
실시예 20. 반도체 디바이스를 제조하는 방법에 있어서,
반도체 웨이퍼 상에 배치된 하나 이상의 층에 화학 기계적 연마(CMP, chemical mechanical polishing) 프로세싱을 수행하는 단계;
상기 CMP 프로세싱에 의해 야기된 입자들이 배치되는 상기 웨이퍼 위에, 사이즈 수정 재료를 포함하는 용액을 도포하는 단계;
상기 사이즈 수정 재료 및 상기 CMP 프로세싱에 의해 야기된 입자들로부터, 상기 CMP 프로세싱에 의해 야기된 입자들보다 더 큰 사이즈를 갖는 사이즈 수정된 입자들을 발생시키는 단계; 및
기판으로부터 상기 사이즈 수정된 입자들을 제거하는 단계
를 포함하는, 반도체 디바이스를 제조하는 방법.

Claims (10)

  1. 기판을 세정하는 방법에 있어서,
    제거될 입자들이 배치되는 기판 상에 사이즈 수정 재료를 포함하는 용액을 도포하는 단계;
    상기 사이즈 수정 재료 및 상기 제거될 입자들로부터, 상기 제거될 입자들보다 더 큰 사이즈를 갖는 사이즈 수정된 입자들을 발생시키는 단계; 및
    상기 기판으로부터 상기 사이즈 수정된 입자들을 제거하는 단계
    를 포함하는, 기판을 세정하는 방법.
  2. 제1항에 있어서,
    상기 사이즈 수정 재료는, 상기 사이즈 수정된 입자들을 발생시키는 단계에서 상기 제거될 입자들의 표면에 부착되는 표면 수정 재료를 포함하는 것인, 기판을 세정하는 방법.
  3. 제2항에 있어서,
    상기 표면 수정 재료는 일반식 SiX(CH3)n(OR)3-n의 실란 결합제(coupling agent)이고, 여기서 X는 Si에 결합된 작용기(functional group)이고, R은 알콕시기이고, n은 0, 1, 2 또는 3인 것인, 기판을 세정하는 방법.
  4. 제3항에 있어서,
    상기 X는 비닐, 에폭시, 메타크릴록시, 아크릴록시, 아미노, 및 메르캅토로 구성되는 그룹으로부터 선택되는 것인, 기판을 세정하는 방법.
  5. 제3항에 있어서,
    상기 알콕시기는 메톡시, 에톡시, 디알콕시, 및 트리알콕시로 구성되는 그룹으로부터 선택되는 것인, 기판을 세정하는 방법.
  6. 제1항에 있어서,
    상기 사이즈 수정 재료는 폴리머화가능 재료 또는 폴리머를 포함하는 것인, 기판을 세정하는 방법.
  7. 제6항에 있어서,
    상기 사이즈 수정 재료는 폴리머화가능 재료를 포함하고,
    상기 방법은, 열 또는 광을 인가함으로써 폴리머화를 유도하여, 사이즈 수정된 입자들을 발생시키는 단계를 더 포함하는, 기판을 세정하는 방법.
  8. 제1항에 있어서,
    상기 기판으로부터 상기 사이즈 수정된 입자들을 제거하는 단계는, NH4OH 및 H2O2를 포함하는 유기 용매 또는 수용액을 도포하는 단계를 포함하는 것인, 기판을 세정하는 방법.
  9. 반도체 디바이스를 제조하는 방법에 있어서,
    반도체 웨이퍼 상에 배치된 하나 이상의 층에 플라즈마 프로세싱을 수행하는 단계;
    상기 플라즈마 프로세싱에 의해 야기된 입자들이 배치되는 상기 웨이퍼 위에, 사이즈 수정 재료를 포함하는 용액을 도포하는 단계;
    상기 사이즈 수정 재료 및 상기 플라즈마 프로세싱에 의해 야기된 입자들로부터, 상기 플라즈마 프로세싱에 의해 야기된 입자들보다 더 큰 사이즈를 갖는 사이즈 수정된 입자들을 발생시키는 단계; 및
    기판으로부터 상기 사이즈 수정된 입자들을 제거하는 단계
    를 포함하는, 반도체 디바이스를 제조하는 방법.
  10. 반도체 디바이스를 제조하는 방법에 있어서,
    반도체 웨이퍼 상에 배치된 하나 이상의 층에 화학 기계적 연마(CMP, chemical mechanical polishing) 프로세싱을 수행하는 단계;
    상기 CMP 프로세싱에 의해 야기된 입자들이 배치되는 상기 웨이퍼 위에, 사이즈 수정 재료를 포함하는 용액을 도포하는 단계;
    상기 사이즈 수정 재료 및 상기 CMP 프로세싱에 의해 야기된 입자들로부터, 상기 CMP 프로세싱에 의해 야기된 입자들보다 더 큰 사이즈를 갖는 사이즈 수정된 입자들을 발생시키는 단계; 및
    기판으로부터 상기 사이즈 수정된 입자들을 제거하는 단계
    를 포함하는, 반도체 디바이스를 제조하는 방법.
KR1020180058988A 2017-11-22 2018-05-24 반도체 디바이스 제조 방법 및 기판 세정 방법 KR102162410B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762590181P 2017-11-22 2017-11-22
US62/590,181 2017-11-22
US15/907,663 2018-02-28
US15/907,663 US10468243B2 (en) 2017-11-22 2018-02-28 Method of manufacturing semiconductor device and method of cleaning substrate

Publications (2)

Publication Number Publication Date
KR20190059190A true KR20190059190A (ko) 2019-05-30
KR102162410B1 KR102162410B1 (ko) 2020-10-07

Family

ID=66532512

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180058988A KR102162410B1 (ko) 2017-11-22 2018-05-24 반도체 디바이스 제조 방법 및 기판 세정 방법

Country Status (4)

Country Link
US (2) US10468243B2 (ko)
KR (1) KR102162410B1 (ko)
CN (1) CN109817513B (ko)
TW (1) TWI682031B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102280853B1 (ko) * 2020-05-06 2021-07-23 주식회사 이엔에프테크놀로지 Cmp 후 세정제 조성물

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113831969B (zh) * 2021-10-29 2024-01-26 国网重庆市电力公司电力科学研究院 一种绝缘子用绝缘液体纳米带电清洗剂及其制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100100969A (ko) * 2007-12-14 2010-09-15 램 리써치 코포레이션 기판으로부터 오염물을 제거하기 위한 방법 및 장치
KR20120109999A (ko) * 2009-06-24 2012-10-09 램 리써치 코포레이션 손상이 없는 고효율 파티클 제거 세정
JP2015036443A (ja) * 2013-08-13 2015-02-23 Jx日鉱日石金属株式会社 表面処理された金属粉の製造方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4777804A (en) 1987-08-26 1988-10-18 Texas Instruments Incorporated Method and apparatus for easing surface particle removal by size increase
US5709755A (en) 1996-08-09 1998-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method for CMP cleaning improvement
US6455443B1 (en) * 2001-02-21 2002-09-24 International Business Machines Corporation Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density
US6964928B2 (en) 2002-08-29 2005-11-15 Chentsau Ying Method for removing residue from a magneto-resistive random access memory (MRAM) film stack using a dual mask
US20080268617A1 (en) * 2006-08-09 2008-10-30 Applied Materials, Inc. Methods for substrate surface cleaning suitable for fabricating silicon-on-insulator structures
US8741066B2 (en) 2007-02-16 2014-06-03 Akrion Systems, Llc Method for cleaning substrates utilizing surface passivation and/or oxide layer growth to protect from pitting
US7880210B2 (en) 2008-05-23 2011-02-01 Qimonda Ag Integrated circuit including an insulating structure below a source/drain region and method
US8314055B2 (en) * 2008-06-02 2012-11-20 Lam Research Corporation Materials and systems for advanced substrate cleaning
US8227394B2 (en) * 2008-11-07 2012-07-24 Lam Research Corporation Composition of a cleaning material for particle removal
US8354138B2 (en) * 2009-12-14 2013-01-15 Chung-Shan Institute Of Science And Technology, Armaments Bureau, Ministry Of National Defense Preparing method for coating PMMA particles with silicon dioxide
US9651821B2 (en) * 2012-05-18 2017-05-16 Sumitomo Osaka Cement Co., Ltd. Surface-modified metal oxide particle material, dispersion liquid, silicone resin composition, silicone resin composite body, optical semiconductor light emitting device, lighting device, and liquid crystal imaging device
US8987139B2 (en) * 2013-01-29 2015-03-24 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9478444B2 (en) * 2013-07-23 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for cleaning wafer and scrubber
US9982166B2 (en) * 2013-12-20 2018-05-29 Cabot Corporation Metal oxide-polymer composite particles for chemical mechanical planarization
WO2015146749A1 (ja) 2014-03-26 2015-10-01 東レ株式会社 半導体装置の製造方法及び半導体装置
KR102158963B1 (ko) 2014-05-23 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9741810B2 (en) 2014-07-30 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel of gate-all-around transistor
US9647139B2 (en) 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US10164012B2 (en) 2015-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100100969A (ko) * 2007-12-14 2010-09-15 램 리써치 코포레이션 기판으로부터 오염물을 제거하기 위한 방법 및 장치
KR20120109999A (ko) * 2009-06-24 2012-10-09 램 리써치 코포레이션 손상이 없는 고효율 파티클 제거 세정
JP2015036443A (ja) * 2013-08-13 2015-02-23 Jx日鉱日石金属株式会社 表面処理された金属粉の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102280853B1 (ko) * 2020-05-06 2021-07-23 주식회사 이엔에프테크놀로지 Cmp 후 세정제 조성물

Also Published As

Publication number Publication date
US10468243B2 (en) 2019-11-05
KR102162410B1 (ko) 2020-10-07
CN109817513A (zh) 2019-05-28
TW201925450A (zh) 2019-07-01
US20200020522A1 (en) 2020-01-16
US11107672B2 (en) 2021-08-31
TWI682031B (zh) 2020-01-11
US20190157070A1 (en) 2019-05-23
CN109817513B (zh) 2021-06-01

Similar Documents

Publication Publication Date Title
JP6801027B2 (ja) 有機金属溶液に基づいた高解像度パターニング組成物
JP6626121B2 (ja) 基材の表面を処理する組成物、方法及びデバイス
KR20160114736A (ko) 표면 처리액 및 표면 처리 방법, 그리고 소수화 처리 방법 및 소수화된 기판
US20080210660A1 (en) Medium For Etching Oxidic, Transparent, Conductive Layers
US20130323652A1 (en) Method of fabricating patterned functional substrates
US20160148802A1 (en) Liquid Chemical for Forming Protecting Film
US11107672B2 (en) Method of manufacturing semiconductor device and method of cleaning substrate
WO2008086479A2 (en) Tunable megasonics cavitation process using multiple transducers for cleaning nanometer particles without structure damage
WO2017159447A1 (ja) 撥水性保護膜形成剤、撥水性保護膜形成用薬液、及びウェハの洗浄方法
KR20190033339A (ko) Pva 브러쉬 세정 방법 및 장치
US10843223B2 (en) Substrate processing method and substrate processing apparatus
CN108602844A (zh) 金属组合物及其制备方法
JP2019080009A (ja) ウェハの洗浄方法
US9580672B2 (en) Cleaning composition and method for semiconductor device fabrication
US9418865B2 (en) Wet etching of silicon containing antireflective coatings
CN103078009B (zh) 基于免等离子工艺降低暗电流的光电探测器芯片制作方法
DE102018106643B4 (de) Verfahren zum herstellen einer halbleitervorrichtung und verfahren zum reinigen eines substrats
CN101630630B (zh) 湿法刻蚀中避免发生侧向侵蚀的方法
JP6587075B2 (ja) ウエハー支持構造体、その製造方法、および、ウエハーの加工におけるその構造体の使用
US8551252B2 (en) Methods for removing residual particles from a substrate
JPWO2019159749A1 (ja) 撥水性保護膜形成剤、撥水性保護膜形成用薬液、及びウェハの表面処理方法
Busnaina et al. Nanoscale defects and surface preparation in nanomanufacturing
Osabe et al. Bonding and photoluminescence characteristics of gainasp/inp membrane structure on silicon-on-insulator waveguides by surface activated bonding
Bindra et al. Simplified and cost-effective technique to enhance optical properties of microstructured silicon
KR20230090246A (ko) 금속 레지스트를 세정하기 위해서 사용되는 세정액, 및 그 세정액을 사용한 세정 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant