TW518640B - Dual substrate loadlock process equipment - Google Patents

Dual substrate loadlock process equipment Download PDF

Info

Publication number
TW518640B
TW518640B TW089126505A TW89126505A TW518640B TW 518640 B TW518640 B TW 518640B TW 089126505 A TW089126505 A TW 089126505A TW 89126505 A TW89126505 A TW 89126505A TW 518640 B TW518640 B TW 518640B
Authority
TW
Taiwan
Prior art keywords
substrate
load chamber
support
chamber
plate
Prior art date
Application number
TW089126505A
Other languages
English (en)
Inventor
Shinichi Kurita
Wendell T Blonigan
Akihiro Hosokawa
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23843644&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TW518640(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW518640B publication Critical patent/TW518640B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/068Stacking or destacking devices; Means for preventing damage to stacked sheets, e.g. spaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/02Controlled or contamination-free environments or clean space conditions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/04Arrangements of vacuum systems or suction cups

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Nonlinear Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Furnace Charging Or Discharging (AREA)

Description

518640 結構及該第二支撐結構係可相對於該冷卻板作移動。 4. 如申請專利範圍第3項所述之負載室,其中該冷卻板係 附著至該室體。 5. 如申請專利範圍第4項所述之負載室,其中該冷卻板之 中具有多數孔,以允許該第二支撐結構可移動於其間。 6. 如申請專利範圍第1項所述之負載室,其中該冷卻板包 含至少一結構從其中延伸出,且該中間板包含至少一開 口,該開口的尺寸係製作成可容納從該冷卻板延伸出之 至少一結構。 7. 如申請專利範圍第1項所述之負載室,其更包含一下 板,其中該第一支撐結構係連接至該中間板且該第二支 撐結構係連接至該下板。 8. 如申請專利範圍第1項所述之負載室,其中該中間板包 含^一冷卻層及一絕緣層。 9. 如申請專利範圍第1項所述之負載室,其中該第一支撐 結構包含多數銷,及該第二支撐結構包含多數銷。 1 0 . —種基材處理系統,至少包含: 第25頁 518640 至少一處理室; 一傳送室,連接至該至少一處理室;及 一負載室,連接至該傳送室,該負載室至少包含: 一單一基材上支撐件及一單一基材下支撐件; 一電梯,用以上升及下降該單一基材上支撐件 及該單一基材下支撐件; 一冷卻板,安置於該負載室中,並定位以接收 來自該單一基材下支樓件之一單一基材; 一中間板,安置於該冷卻板上方及該加熱元件 下方; 一裝載/卸載孔徑,一未處理基材可以經由該裝 載/卸載孔徑而載入至該負載室中,及一已處理基材可 以經由該裝載/卸載孔徑而由該負載室卸載; 一傳送孔徑,一未處理基材可以經由該傳送孔 徑從該負載室傳送至該傳送室,及一已處理基材可以經 由該傳送孔徑從該傳送室被傳送至該負載室;及 一加熱元件,安置於該單一基材上支樓件上方。 1 1.如申請專利範圍第1 0項所述之基材處理系統,其中該 單一基材上支撐件係連接至該中間板。 1 2.如申請專利範圍第1 1項所述之基材處理系統,更包含 一氣體入口 ,用以供應一氣體至該負載室。 第26頁 518640 1 3 .如申請專利範圍第1 2項所述之基材處理系統,其中該 負載室包含一頂面’該氣體入口係沿著該負载室之頂面 ί己置。 1 4 , 一種負載室,至少包含: 一第一結構,適用以支撐一第一基材; 一第二結構,適用以支撐一第二基材,其中該第二 結構包括數個連接至一下板的銷,其中該數個銷包括中 心銷及外銷; 一冷卻板,安置於該第一結構下方;及 一第三結構,用以使該第一結構與該第二結構互相 連接,該第三結構係適用以使該第一及第二結構一起在 垂直方向移動而不受該冷卻板支配。 1 5 .如申請專利範圍第1 4項所述之負載室,更包含一加熱 裝置安置於該第一結構上方。 1 6.如申請專利範圍第1 5項所述之負載室,更包含一頂板 安置於該加熱裝置及該第一結構之間。 1 7.如申請專利範圍第1 4項所述之負載室,其中該第一結 構包含多數支樓件’適用以支樓一玻璃基材。 1 8.如申請專利範圍第1 4項所述之負載室,更包含一第一 第27頁 518640 孔徑位於該負載室之第一侧及一第二孔徑位於該負載 室之第二側。 1 9.如申請專利範圍第1 8項所述之負載室,更包含一正在 處理之基材位於該第二結構上及一未處理基材位於該 第一結構上。 20。如申請專利範圍第14項所述之負載室,更包含一電 梯,該電梯適用以改變該第一、第二及第三結構之垂直 位置並保持該冷卻板靜止不動。 2 1 · —種用以處理顯示器基材之負載室,至少包含: 一室體,其界定一第一孔徑於一第一側表面及一第 二孔徑於一第二側表面; 一上支撐件,適用以支撐一顯示器基材; 一中間板,連接至該上支撐件; 一下支撐件,適用以支撐一顯示器基材; 一下板,連接至該下支樓件; 一單一冷卻板,定位於該室内,該單一冷卻板係定 位於該中間板及該下板之間; 一加熱元件,安置於該上支撐件上方;及 一上板,定位於該上支樓件上方; 其中該上板、該中間板及該下板係互相連接,以使 得該上板、該中間板及該下板可在垂直方向一起移動而 第28頁 518640 不受該冷卻板支配。 22. 如申請專利範圍第2 1項所述之負載室,更包含同時有 一已處理的顯示器基材於該下支撐件上及一未處理的 顯示器基材於該上支撐件上。 23. 如申請專利範圍第2 1項所述之負載室,其中該顯示器 基材包含玻璃。 24. 如申請專利範圍第2 1項所述之負載室,其中該上支撐 件及該下支撐件的尺寸係製作成可承接一形狀為矩形 的玻璃基材,該矩形之長度至少為650公釐且寬度至少 為8 3 0公釐。 2 5 .如申請專利範圍第2 1項所述之負載室,更包含一氣體 入口及一氣體供應器,該氣體供應器包含氦氣。 26.如申請專利範圍第25項所述之負載室,更包含一過濾 器鄰接於該氣體入口。 2 7.如申請專利範圍第21項所述之負載室,更包含一電梯 連接於該下板並適用以使該下板、該中間板及該上板在 一垂直方向移動,其中當該電梯移動該下板、該中間板 及該上板時,該冷卻板係為靜止不動。 第29頁 518640 二8 .如申#利釦圍第2 1項所述之負載室,其中該中間板 包含一冷卻層及一絕緣層。 2 9. —種負載至糸統,至少包含. 一負載室; 一下板’具有多數支撐件自其中延伸出,該等支撐 件適用以接收一單一基材; 一冷卻板’安置於該室中,該冷卻板係定位以接收 來自該支撐結構之一單一基材,該冷卻板包含多數孔徑 於其中’且該等孔徑的尺寸係製作成可接收該等由該下 方板延伸之支撐件; 一中間板,具有多數支撐件自其中延伸出,該等由 該中間板延伸之支撐件適用於接收一單一基材’該中間 板係定位於該冷卻板上方; 該下板係與該冷卻板成間隔设置且係位於該冷卻板 下方;及 該下板及多數支撐件係適用以在-垂直方向移動而 不受該冷卻板支配。 其中該中 垂直方向 h ren姑O Q tS所球之負載至糸統 30.如申請專利範圍第29項所 / A t_L扣、查接且係適用以在 間板及該下板係彼此相連接 上一起移動。 第30頁 518640 3 1 .如申請專利範圍第3 0項所述之負载室系統,更包含一 上板定位於該中間板上方且係連接於該中間板及該下 板,以使得該上板、該中間板及該下板係在一垂直方向 一起移動。 3 2.如申請專利範圍第29項所述之負載室系統,更包含一 加熱元件定位於該中間板上方。 3 3.如申請專利範圍第29項所述之負載室系統,更包含一 電梯連接於該下板且適用以改變該下板及多數支撐件 的垂直位置,同時該冷卻板係保持在一固定的垂直位 置,其中該電梯係定位於該下板下方。 3 4. —種負載室系統,至少包含: 一負載室; 一下支撐件結構,適用以支撐該負載室内之一基材; 一上支撐件結構,適用以支撐該負載室内之一基材; 一冷卻板,定位於該負載室中之該下支撐件結構與 該上支撐件結構之間,該冷卻板係適用以支撐一基材; 及 一電梯,定位於該下支撐件結構下方且連接於該下 支樓件結構及該上支撐件結構,該電梯係適用以改變該 下支撐件結構及該上支撐件結構的垂直位置,同時該冷 卻板係保持在一固定的垂直位置。 第31頁 518640 3 5.如申請專利範圍第34項所述之負載室系統,更包含一 加熱元件定位於該負載室中之該上支樓件結構上方。 3 6.如申請專利範圍第3 5項所述之負載室系統,更包含一 第一孔徑定位於該負載室之第一側上及一第二孔徑定 位於該負載室之第二側上,其中該上支撐件結構、該下 支撐件結構及該冷卻板係定位於該第一孔徑與該第二孔 徑之間。 3 7.如申請專利範圍第34項所述之負載室系統,更包含一 氣體入口適用以供應一氣體至該負載室。 3 8.如申請專利範圍第3 7項所述之負載室系統,其中一包 含氦氣之氣體係供應至該負載室。 3 9.如申請專利範圍第3 7項所述之負載室系統,其中一包 含氦氣及氮氣之氣體係供應至該負載室。 4 0.如申請專利範圍第39項所述之負載室系統,其中該供 應至該負載室之氣體係具有約754-759托耳壓力之氮氣 及約1 - 6托耳之氦氣。 4 1 .如申請專利範圍第3 7項所述之負載室系統,其中該負 第32頁 518640 載室係包含一頂面,該氣體入口係沿著該負載室 配置。 42 . —種處理系統,至少包含: 至少一處理室; 一傳送室,連接至該至少一處理室;及 一負載室,連接至該傳送室,該負載室至少 一下支撐件結構,適用以支撐一基材; 一上支撐件結構,適用以支撐一基材; 一冷卻板’定位於該下支禮件結構與該 件結構之間,該冷卻板適用以支撐一基材;及 一電梯,連接至該下支撐件結構及該上 結構,該電梯定位於該下支撐件結構下方且適用 該下支撐件結構及該上支撐件結構之垂直位置, 冷卻板係保持在一固定的垂直位置。 43. 如申請專利範圍第42項所述之處理系統,其中 一處理室係包含至少一室,該至少一室係由一物 沉積室、一化學氣相沉積室、一蝕刻室及一加熱 成之群組中選出。 44. 如申請專利範圍第42項所述之處理系統,更包 部基材供給台,其包含: 一第一機械手臂,以傳送基材至該負載室並 第33頁 之頂面 包含: 上支撐 支撐件 以改變 同時該 該至少 理氣相 室所組 含一外 從該負 518640 載室拾取基材; 至少一未處理基材卡匣,以供應未處理基材至該負 載室;及 至少一已處理基材卡匣,以接收來自該負載室之已 處理基材。 45.如申請專利範圍第44項所述之處理系統,更包含一第 二機械手臂,用以傳送一基材於該負載室及·該傳送室之 間。 4 6, —種基材處理系統,該系統包含至少一處理室及一傳送 室連接至該至少一處理室,該系統更包含一負載室,該 負載室至少包含: 一單一基材上支撐件及一單一基材下支撐件; 一傳送孔徑,適用以傳送一單一基材於該傳送室及 該負載室之間; 一冷卻板,安置於該負載室中,位於該上支撐件及 下支撐件之間,其並定位以接收來自該單一基材下支撐 件之一單一基材,該冷卻板包括數個孔徑,該單一基材 下支撐件可延伸通過該孔徑以支撐一基材; 一卡匣結構,連接該單一基材上支撐件及該單一基 材下支撐件,而未連接於該冷卻板;及 一電梯,適用以上升及下降該卡匣結構,以使得該 單一基材上支撐件及該單一基材下支撐件係一起移 第34頁 518640 動,阳該冷卻板則保持在〆固定位置。 申明專利範圍第46項所述之基材處理系統,其中該 貝载室更包含—加熱元件安置於該單一基材上支撐件 上方。 ' 48·如申請專利範圍第46項所述之基材處理系統,其中該 負载至更包含一含有氦氣及氮氣的冷卻氣體。 4 9.'一 種 ^^右一a 3另一員载室及—傳送室之基材處理系統,至少包 含: 第 支樓機構,用以支撐該負載室中之-未處理 基材; 加熱機構,用4 , 用以加熱該負載室中之該第一支撐機構 上的該未處理基材; $ -支撐機構’用以支撐該負載室中之一已處理 基材,該第二主擔嫵^ 牙钱構係定位於該第一支撐機構下方且 具有數個支撐銷; ϋ機m ’ n該第_支撐機構及該第二支撑機 構連接在一起,以使得該第一支撐機構及該第二支撐機 構係一致移動; 冷卻機構’用以冷卻該負載室中之該已處理基材, 該冷卻機構包含一具有數個孔徑之板,該第二支撐機構 之該數個支撐銷可通過該等孔徑以支撐該已處理基材; 第35頁 518640 機構,用以改變該第一支撐機構及該第二支撐機構 之位置,同時保持該板在一固定位置;及 其中該加熱機構及該冷卻機構係同時安置於該負載 室中。 第36頁
TW089126505A 1999-12-15 2000-12-12 Dual substrate loadlock process equipment TW518640B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/464,362 US6949143B1 (en) 1999-12-15 1999-12-15 Dual substrate loadlock process equipment

Publications (1)

Publication Number Publication Date
TW518640B true TW518640B (en) 2003-01-21

Family

ID=23843644

Family Applications (2)

Application Number Title Priority Date Filing Date
TW091121938A TW550653B (en) 1999-12-15 2000-12-12 Dual substrate loadlock process
TW089126505A TW518640B (en) 1999-12-15 2000-12-12 Dual substrate loadlock process equipment

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW091121938A TW550653B (en) 1999-12-15 2000-12-12 Dual substrate loadlock process

Country Status (6)

Country Link
US (4) US6949143B1 (zh)
EP (1) EP1109203A3 (zh)
JP (1) JP4409756B2 (zh)
KR (3) KR20010062475A (zh)
SG (1) SG90766A1 (zh)
TW (2) TW550653B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI510655B (zh) * 2013-06-08 2015-12-01 Beijing Nmc Co Ltd Heating chamber and plasma processing device
CN113035752A (zh) * 2021-03-05 2021-06-25 上海广川科技有限公司 负载锁定装置及基片传片方法

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000195925A (ja) 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6672864B2 (en) 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
TWI342582B (en) * 2003-07-17 2011-05-21 Applied Materials Inc Method of surface texturizing
US7126087B2 (en) * 2003-08-07 2006-10-24 Canon Kabushiki Kaisha Method of effecting heating and cooling in reduced pressure atmosphere
JP4003882B2 (ja) * 2003-09-26 2007-11-07 シャープ株式会社 基板移載システム
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
WO2005048313A2 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US20050176252A1 (en) * 2004-02-10 2005-08-11 Goodman Matthew G. Two-stage load for processing both sides of a wafer
TWI286529B (en) * 2004-05-06 2007-09-11 Hannstar Display Corp Method and structure for reception and delivery
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US8353986B2 (en) * 2005-03-31 2013-01-15 Tokyo Electron Limited Substrate processing apparatus
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US20080257260A9 (en) * 2005-09-30 2008-10-23 Applied Materials, Inc. Batch wafer handling system
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP5026715B2 (ja) * 2006-03-17 2012-09-19 株式会社アルバック 金属とSiO2の混合膜の成膜方法
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US20080025823A1 (en) * 2006-07-31 2008-01-31 Masahiko Harumoto Load lock device, and substrate processing apparatus and substrate processing system including the same
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
KR100744145B1 (ko) * 2006-08-07 2007-08-01 삼성전자주식회사 초임계 유체를 이용하는 웨이퍼 처리 장치 및 웨이퍼 처리방법
US7822324B2 (en) * 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
US9147588B2 (en) * 2007-03-09 2015-09-29 Tel Nexx, Inc. Substrate processing pallet with cooling
US9177843B2 (en) * 2007-06-06 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing contamination in integrated circuit manufacturing lines
US8905124B2 (en) 2007-06-27 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Temperature controlled loadlock chamber
US20100047954A1 (en) * 2007-08-31 2010-02-25 Su Tzay-Fa Jeff Photovoltaic production line
EP2198367A1 (en) * 2007-08-31 2010-06-23 Applied Materials, Inc. Photovoltaic production line
JP5084420B2 (ja) * 2007-09-21 2012-11-28 東京エレクトロン株式会社 ロードロック装置および真空処理システム
WO2010009048A2 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Tube diffuser for load lock chamber
WO2010067544A1 (ja) * 2008-12-12 2010-06-17 芝浦メカトロニクス株式会社 基板冷却装置および基板処理システム
GB2469112A (en) 2009-04-03 2010-10-06 Mapper Lithography Ip Bv Wafer support using controlled capillary liquid layer to hold and release wafer
WO2010094802A1 (en) * 2009-02-22 2010-08-26 Mapper Lithography Ip B.V. Preparation unit for lithogrpahy machine
JP5037551B2 (ja) * 2009-03-24 2012-09-26 東京エレクトロン株式会社 基板交換機構及び基板交換方法
US10655219B1 (en) * 2009-04-14 2020-05-19 Goodrich Corporation Containment structure for creating composite structures
US20120171002A1 (en) * 2011-01-05 2012-07-05 Electro Scientific Industries, Inc Apparatus and method for transferring a substrate
JP6054314B2 (ja) * 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
JP5490741B2 (ja) * 2011-03-02 2014-05-14 東京エレクトロン株式会社 基板搬送装置の位置調整方法、及び基板処理装置
JP2011117085A (ja) * 2011-03-10 2011-06-16 Canon Anelva Corp ロードロック室及びそれを備えた薄膜形成装置
TWI514089B (zh) 2011-04-28 2015-12-21 Mapper Lithography Ip Bv 在微影系統中用於轉移基板的設備
JP2013197401A (ja) * 2012-03-21 2013-09-30 Ulvac Japan Ltd ロードロックチャンバ
JP6082283B2 (ja) * 2012-05-30 2017-02-15 東京エレクトロン株式会社 筐体及びこれを含む基板処理装置
KR101375646B1 (ko) * 2012-06-18 2014-03-18 주식회사 씨엘디 가압 장치 및 방법
US20140061039A1 (en) * 2012-09-05 2014-03-06 Applied Materials, Inc. Target cooling for physical vapor deposition (pvd) processing systems
US9016998B2 (en) * 2013-03-14 2015-04-28 Varian Semiconductor Equipment Associates, Inc. High throughput, low volume clamshell load lock
JP5941016B2 (ja) * 2013-05-27 2016-06-29 株式会社神戸製鋼所 成膜装置およびそれを用いた成膜方法
US10796935B2 (en) 2017-03-17 2020-10-06 Applied Materials, Inc. Electronic device manufacturing systems, methods, and apparatus for heating substrates and reducing contamination in loadlocks
JP6863041B2 (ja) * 2017-04-21 2021-04-21 東京エレクトロン株式会社 基板加熱装置
CN108258467B (zh) * 2017-12-01 2020-08-28 番禺得意精密电子工业有限公司 电连接器
CN108193189A (zh) * 2017-12-27 2018-06-22 深圳市华星光电技术有限公司 一种真空溅射设备及其真空大气交换装置
US10607866B2 (en) * 2018-08-01 2020-03-31 Boston Process Technologies, Inc Hot wall flux free solder ball treatment arrangement
CN111968926A (zh) * 2019-05-20 2020-11-20 北京北方华创微电子装备有限公司 半导体设备以及半导体工艺处理方法
US20200395232A1 (en) * 2019-06-14 2020-12-17 Brooks Automation, Inc. Substrate process apparatus
JP2022549271A (ja) * 2019-09-22 2022-11-24 アプライド マテリアルズ インコーポレイテッド マルチウエハ空間の単一移送チャンバのファセット

Family Cites Families (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US4178113A (en) 1977-12-05 1979-12-11 Macronetics, Inc. Buffer storage apparatus for semiconductor wafer processing
US5187115A (en) 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
CH626214GA3 (zh) 1979-02-07 1981-11-13
US4680061A (en) 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4801241A (en) * 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4759681A (en) 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US5224809A (en) 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4687542A (en) 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4966519A (en) 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS63291419A (ja) 1987-05-24 1988-11-29 Tatsumo Kk 加熱処理装置
US4846102A (en) 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPH0333058Y2 (zh) 1987-06-26 1991-07-12
JPS6411320A (en) 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4911103A (en) 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
EP0306967B1 (en) 1987-09-11 1997-04-16 Hitachi, Ltd. Apparatus for performing heat treatment on semiconductor wafers
FR2621930B1 (fr) 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
US5020475A (en) 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
US5202716A (en) 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
DE58909880D1 (de) 1988-05-24 2001-12-20 Unaxis Balzers Ag Vakuumanlage
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5254170A (en) 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
EP0423608B1 (en) 1989-10-20 1996-06-05 Applied Materials, Inc. Two-axis magnetically coupled robot
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
JP2644912B2 (ja) 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5261935A (en) * 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
JP2595132B2 (ja) 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5685684A (en) 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5131460A (en) 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
US5376212A (en) 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
JP2748070B2 (ja) * 1992-05-20 1998-05-06 三菱電機株式会社 半導体装置およびその製造方法
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JPH0616206A (ja) 1992-07-03 1994-01-25 Shinko Electric Co Ltd クリーンルーム内搬送システム
US5697749A (en) 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
NZ255814A (en) * 1992-08-17 1996-06-25 Weyerhaeuser Co Particle binders for high bulk fibres for absorbent articles
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
EP0608620B1 (en) * 1993-01-28 1996-08-14 Applied Materials, Inc. Vacuum Processing apparatus having improved throughput
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3258748B2 (ja) 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5474410A (en) 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
KR100221983B1 (ko) 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
JP3380988B2 (ja) * 1993-04-21 2003-02-24 東京エレクトロン株式会社 熱処理装置
KR100267617B1 (ko) 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5421889A (en) 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
DE69402918T2 (de) 1993-07-15 1997-08-14 Applied Materials Inc Substratfangvorrichtung und Keramikblatt für Halbleiterbearbeitungseinrichtung
JP3654597B2 (ja) 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5738767A (en) * 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
JP3846740B2 (ja) * 1994-02-25 2006-11-15 臼井国際産業株式会社 パイプの曲げ加工装置
US5431460A (en) * 1994-03-07 1995-07-11 Orscheln Co. Hood release latch mechanism including spring clutch means
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
TW309503B (zh) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5615988A (en) 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
JP3225337B2 (ja) * 1995-07-13 2001-11-05 株式会社新川 マガジン搬送装置
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5716207A (en) 1995-07-26 1998-02-10 Hitachi Techno Engineering Co., Ltd. Heating furnace
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
JPH09107036A (ja) * 1995-08-09 1997-04-22 Toshiba Corp 半導体装置
US5588627A (en) * 1995-09-19 1996-12-31 Franklin Brass Manufacturing Company Paper roller
US5616964A (en) * 1995-09-19 1997-04-01 Deere & Company Lawn and garden tractor interlock circuit
CH691376A5 (de) * 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
US6299404B1 (en) * 1995-10-27 2001-10-09 Brooks Automation Inc. Substrate transport apparatus with double substrate holders
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
JPH09284344A (ja) * 1996-04-11 1997-10-31 Fujitsu Ltd Atm/stm混在ネットワークシステム
US6224680B1 (en) * 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5954472A (en) 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US5891251A (en) 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JP3202929B2 (ja) 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
US5997235A (en) 1996-09-20 1999-12-07 Brooks Automation, Inc. Swap out plate and assembly
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5902088A (en) 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5909994A (en) 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5833426A (en) 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
US5795355A (en) 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
KR100234539B1 (ko) * 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
KR100271758B1 (ko) 1997-06-25 2001-01-15 윤종용 반도체장치 제조설비 및 이의 구동방법
US6276072B1 (en) * 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JPH1154496A (ja) 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
WO1999028951A2 (en) * 1997-11-28 1999-06-10 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3966594B2 (ja) * 1998-01-26 2007-08-29 東京エレクトロン株式会社 予備真空室およびそれを用いた真空処理装置
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6079693A (en) 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6176668B1 (en) * 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6375746B1 (en) * 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US6120229A (en) * 1999-02-01 2000-09-19 Brooks Automation Inc. Substrate carrier as batchloader
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6145673A (en) 1999-03-31 2000-11-14 Applied Materials, Inc. Wafer transfer cassette
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6318945B1 (en) * 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6568899B1 (en) * 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6410455B1 (en) * 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
KR20080109062A (ko) * 2000-09-15 2008-12-16 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
US6609869B2 (en) * 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US20020137346A1 (en) * 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI510655B (zh) * 2013-06-08 2015-12-01 Beijing Nmc Co Ltd Heating chamber and plasma processing device
CN113035752A (zh) * 2021-03-05 2021-06-25 上海广川科技有限公司 负载锁定装置及基片传片方法

Also Published As

Publication number Publication date
US7641434B2 (en) 2010-01-05
KR20060125651A (ko) 2006-12-06
JP2001257250A (ja) 2001-09-21
KR100916026B1 (ko) 2009-09-08
US7976635B2 (en) 2011-07-12
SG90766A1 (en) 2002-08-20
EP1109203A3 (en) 2005-08-03
TW550653B (en) 2003-09-01
KR100809139B1 (ko) 2008-02-29
US6949143B1 (en) 2005-09-27
KR20010062475A (ko) 2001-07-07
US20100107672A1 (en) 2010-05-06
EP1109203A2 (en) 2001-06-20
US20050016454A1 (en) 2005-01-27
US20070086881A1 (en) 2007-04-19
KR20070078420A (ko) 2007-07-31
JP4409756B2 (ja) 2010-02-03

Similar Documents

Publication Publication Date Title
TW518640B (en) Dual substrate loadlock process equipment
KR970003907B1 (ko) 기판처리 장치 및 기판처리 방법
KR100567521B1 (ko) 가열·냉각처리장치 및 기판처리장치
JP2002525848A (ja) 基板を冷却するための方法及び装置
KR20100090651A (ko) 기판 처리 장치
KR20010020971A (ko) 기판처리장치
JP7105751B2 (ja) 処理装置
JP3774283B2 (ja) 処理システム
TW201126633A (en) Substrate processing apparatus and method
JP3938436B2 (ja) 基板移載装置およびそれを用いた基板処理装置
KR100535714B1 (ko) 기판처리장치
JP3745064B2 (ja) 基板搬送装置およびそれを用いた基板搬送方法ならびに基板姿勢変換装置
JP2519096B2 (ja) 処理装置及びレジスト処理装置及び処理方法及びレジスト処理方法
JP3916040B2 (ja) 反応管及び熱処理装置
JP2001168009A (ja) 基板処理装置
JP2000058438A (ja) 処理装置
JP4053728B2 (ja) 加熱・冷却処理装置及び基板処理装置
JP5920981B2 (ja) 基板処理システム
JP3340956B2 (ja) 塗布現像処理装置
JP4028198B2 (ja) 熱処理装置
JP3340945B2 (ja) 塗布現像処理装置
JP2926592B2 (ja) 基板処理装置
JP2877744B2 (ja) 半導体基板のレジスト処理装置
JPH0271544A (ja) 基板移載装置
JP3246659B2 (ja) レジスト処理装置及び液処理装置及び基板処理装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees