KR100916026B1 - 이중기판 로드록 프로세스 장비 - Google Patents

이중기판 로드록 프로세스 장비 Download PDF

Info

Publication number
KR100916026B1
KR100916026B1 KR1020070069588A KR20070069588A KR100916026B1 KR 100916026 B1 KR100916026 B1 KR 100916026B1 KR 1020070069588 A KR1020070069588 A KR 1020070069588A KR 20070069588 A KR20070069588 A KR 20070069588A KR 100916026 B1 KR100916026 B1 KR 100916026B1
Authority
KR
South Korea
Prior art keywords
substrate
plate
loadlock
cooling
delete delete
Prior art date
Application number
KR1020070069588A
Other languages
English (en)
Other versions
KR20070078420A (ko
Inventor
신이찌 구리따
웬델 티. 블로니간
아끼히로 호소까와
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23843644&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR100916026(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070078420A publication Critical patent/KR20070078420A/ko
Application granted granted Critical
Publication of KR100916026B1 publication Critical patent/KR100916026B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/068Stacking or destacking devices; Means for preventing damage to stacked sheets, e.g. spaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/02Controlled or contamination-free environments or clean space conditions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/04Arrangements of vacuum systems or suction cups

Abstract

일실시예는 하나의 미처리 기판을 지지하는 제1 지지 구조체와 하나의 처리된 기판을 지지하는 제2 지지 구조체를 갖는 로드록에 관한 것이다. 제1 지지 구조체는 제2 지지 구조체 위에 배치된다. 로드록은 지지 구조체의 수직 위치를 제어하는 엘리베이터를 포함한다. 로드록은 또한 로드록으로 미처리 기판의 삽입과 로드록으로부터 처리된 기판의 제거를 허용하는 제1 개구뿐만 아니라 로드록으로부터 미처리 기판의 제거와 로드록으로 처리된 기판의 삽입을 허용하는 제2 개구를 포함한다. 냉각판은 처리된 기판을 지지하도록 채택된 표면을 포함한다. 가열장치는 로드록 내에서 제1 지지 구조체 위에 배치된다.

Description

이중기판 로드록 프로세스 장비{DUAL SUBSTRATE LOADLOCK PROCESS EQUIPMENT}
도 1은 본 발명의 실시예에 따른 로드록, 이송챔버 및 프로세싱 챔버를 포함하는 클러스터 장비의 평면도.
도 2는 본 발명의 실시예에 따른 도 1의 로드록의 일부 단면도.
도 3은 본 발명의 실시예에 따른 로드록의 사시도.
도 4는 본 발명의 실시예에 따른 내부 격실 주위의 외부체 구역을 포함하는 도 3의 로드록의 사시도.
도 5는 본 발명의 실시예에 따른 커버부와 하부를 포함하는 도 3 및 도 4의 로드록의 사시도.
도 6a는 본 발명의 실시예에 따른 로드록의 소정의 내부 부품의 분해도.
도 6b는 본 발명의 실시예에 따라 서로 조립될 때 몇몇 로드록 부품의 사시도.
도 7a-도 7f는 본 발명의 실시예에 따른 프로세싱 개략도.
도 8은 본 발명의 실시예에 따른 로드/언로드 조건에서 로드록 시스템의 일부 사시도.
도 9는 본 발명의 실시예에 따른 냉각조건에서 로드록 시스템의 일부 사시 도.
도 10은 본 발명의 실시예에 따른 냉매이송 채널을 갖는 냉각판의 단면도.
도 11은 본 발명의 실시예에 따른, 냉각판의 바닥부에서 냉매 이송 채널을 갖는 냉각판의 단면도.
도 12는 본 발명의 실시예에 따라서 냉매 이송 채널을 갖는 중간판의 단면도.
도 13은 본 발명의 실시예에 따른 중간판의 상부에서 냉매이송 채널을 갖는 중간판의 단면도.
도 14는 본 발명의 실시예에 따른 고방사율 구역을 갖는 플레이트의 평면도.
도 15는 본 발명의 실시예에 따른 냉각판 및 기판 지지시스템의 단면도.
도 16은 본 발명의 실시예에 따른 클러스터 챔버의 평단면도.
도 17은 본 발명의 실시예에 따른 클러스터 챔버의 평단면도.
< 도면의 주요부분에 대한 부호의 설명 >
10 : 이송챔버
20 : 주연 프로세스 챔버
30 : 로드록 기구
40 : 로봇
50 : 이중 기판 카세트
51 : 상부 슬롯
52 : 냉각판
53 : 하부 슬롯
58 : 엘리베이터
94 : 가열장치
128 : 샤프트
130 : 커넥터
본 발명은 기판 프로세싱 시스템에 관한 것이고, 특히 기판을 취급하기 위한 로드록 시스템(loadlock system)에 관한 것이다.
예를 들어, 텔레비젼 및 컴퓨터 디스플레이와 같은 분야에 사용된 유리 패널과 같은 기판은 소정 장치를 생산하기 위하여 물리기상증착(PVD), 화학기상증착(CVD), 에칭 및 어닐링을 포함하는 연속 공정을 사용하여 제작될 수 있다. 이러한 단계들은 다중챔버를 갖는 다양한 프로세싱 시스템을 사용하여 수행된다. 이들 중 하나의 시스템은 "클러스터 장비"(cluster tool)로서 알려져 있다. 클러스터 장비는 일반적으로 중앙의 웨이퍼 취급 모듈 또는 이송 챔버와, 대상물이 그 내부를 통해 시스템으로 도입되고 시스템으로부터 제거되는 로드록 챔버(loadlock chamber)를 포함하는 다수의 주연 챔버(peripheral chamber)와, 가열, 에칭 및 증착과 같은 프로세싱 단계들을 수행하기 위한 복수의 다른 챔버들을 포함한다. 또한, 클러스터 장비는 일반적으로 챔버들 사이에서 대상들을 전달하기 위한 로봇을 포함한다.
디스플레이용 대형 유리 기판의 프로세싱은 몇가지 방식에서 반도체 웨이퍼와 같은 다른 형태의 기판의 프로세싱과 유사하다. 그러나, 이러한 유리 기판들은 종종 전형적인 실리콘 웨이퍼보다 크다. 예를 들어, 유리 기판은 550mm X 650mm의 크기이고, 더 큰 디스플레이의 제작을 위하여, 650mm X 830mm나 그 이상과 같이 더 큰 크기를 지향하는 경향이 있다.
대형 유리 기판의 사용으로 인해, 다른 형태의 기판을 프로세싱할 때 발생하지 않을 복잡함이 처리 과정에 발생한다. 크기 문제 외에도, 예컨대, 디스플레이용 유리 기판은 전형적으로 직사각형 형상이다. 유리 기판의 대형 크기와 형상으로 인해, 유리 기판은, 더 작은 원형 기판과 비교해, 프로세싱 시스템 내에서 소정의 위치에서 다른 위치로 이송하기가 어렵다. 결과적으로, 유리 기판을 프로세싱하기 위한 시스템은 일반적으로 대형의 챔버, 대형 개구 및 대형 이송기구를 필요로 한다. 게다가, 알려진 바와 같이, 배치 프로세싱 작업에 적합한 프로세싱 챔버에 많은 수의 기판을 공급하기 위하여 로드록 내에 약 12개의 기판을 보유하는 대형 카세트를 사용한다. 또한, 대형 기판을 취급하기 위해서는 보다 대형의 챔버가 필요할 뿐만 아니라 로드록에서 대형 기판 카세트를 사용하기 때문에, 더 크고 더 강력한 진공펌프, 동력원, 제어기구 등이 필요하고, 이에 따라 시스템의 비용도 증가한다.
그리고, 유리 기판은 종종 실리콘 기판과는 다른 열적 특성을 갖는다. 특히, 유리는 상대적으로 낮은 열전도 특성을 가지기 때문에, 기판을 균일하게 가열 하고 냉각하는 것이 어려울 수 있다. 온도 구배는 유리 기판 면을 따라 발생할 수 있어, 냉각시에 기판에 불필요한 응력을 야기시킬 수 있다. 기판 에지 근처에서의 열손실이 중심에서보다 더 큰 편이다. 프로세싱 동안의 온도 구배로 인해 기판표면 상에 형성된 구성부품(component)들이 결과적으로 비균일한 전기적 (및 구조적) 특성을 갖게 될 수 있다. 결과적으로, 적합한 온도 제어를 유지하기 위하여, 가열 및 냉각 작업은 종종 비교적 느리게 이루어진다. 시스템의 구성부품 크기가 더 커지게 됨에 따라, 대형 요소들을 가열하고 냉각시키는 데 걸리는 시간이 대체로 길어지기 때문에 이들 단계들은 더욱더 천천히 진행될 수 있다. 이렇게 작업이 느려지므로, 시스템 생산효율이 저하된다.
본 발명의 특정 실시예들은 크기가 상대적으로 작고 효율적으로 기판 이송, 냉각 및/또는 가열 작업을 수행할 수 있는 기판 프로세싱 시스템에 사용하기 위한 로드록 장치에 관한 것이다.
일 실시예는 제1 기판을 지지하기 위한 제1 지지 구조체와, 제2 기판을 지지하기 위한 냉각판을 갖는 로드록에 관한 것이다.
다른 실시예는 하나의 미처리 기판을 지지하기 위한 제1 지지 구조체와, 하나의 처리된 기판을 지지하기 위한 제2 지지 구조체를 갖는 로드록에 관한 것이다. 상기 제1 지지 구조체는 상기 제2 지지 구조체 위에 위치하고 엘리베이터는 상기 지지 구조체들의 수직 위치를 제어하도록 제공된다. 상기 로드록은 또한 상기 로드록으로의 상기 미처리 기판의 삽입 및 상기 로드록으로부터 처리된 기판의 제거 를 허용하는 제1 개구뿐만 아니라 상기 로드록으로부터의 미처리 기판의 제거 및 상기 로드록으로의 처리된 기판의 삽입을 허용하는 제2 개구를 포함한다. 또한, 처리된 기판을 지지하기 위한 표면을 갖는 냉각판이 로드록 내에 포함된다. 상기 냉각판은 처리된 기판의 냉각속도를 차등적으로 조절하기 위한 복수의 구역들을 갖도록 설계될 수 있다. 또한, 가열장치는 로드록 내에서 상기 제1 지지 구조체 위에 제공된다. 상기 가열장치는 연속 프로세싱을 위하여 다른 챔버로 미처리 기판을 삽입하기 전에 상기 제1 지지 구조체 상의 미처리 기판을 가열하는데 사용될 수 있다.
또 다른 실시예는 하나 이상의 프로세싱 챔버와, 상기 프로세싱 챔버에 연결된 이송챔버와, 상기 이송챔버에 연결된 로드록을 갖는 반도체 프로세싱 시스템에 관한 것이다. 상기 로드록은 단일 기판 상부 지지 구조체 및 단일 기판 하부 지지 구조체뿐만 아니라 상기 이송챔버와 로드록 사이에서 단일 기판을 이송하기 위한 이송 개구를 포함한다. 상기 로드록은 또한 상기 지지체들을 승하강시키기 위한 엘리베이터와 상기 단일 기판 하부 지지 구조체로부터 단일 기판을 수용하도록 배치된 냉각판을 포함한다.
또 다른 실시예는 로드록 챔버와, 상기 챔버 내의 지지 구조체를 포함하는 로드록에 관한 것이다. 상기 지지 구조체는 로봇 아암으로부터 단일 기판을 수용하도록 구성된다. 냉각판 또한 상기 챔버에 배치되고 상기 지지 구조체로부터 단일 기판을 수용하도록 배치된다. 상기 지지 구조체는 상기 냉각판에 대하여 이동가능하다.
또 다른 실시예는 미처리 단일 기판만을 지지하기 위한 제1 수단과, 처리된 단일 기판만을 지지하기 위한 제2 수단을 갖는 로드록 시스템에 관한 것이다. 상기 제1 수단은 상기 제2 수단 위에 배치된다. 상기 시스템은 또한 처리된 기판을 상기 로드록 시스템 내에 배치된 냉각판으로 전달하기 위한 전달 수단을 포함한다.
본 발명의 실시예들은 또한 로드록을 사용하는 단계를 포함하는 방법과, 기판을 처리하기 위한 방법에 관한 것이다. 이와 같은 실시예 중 하나는 미처리 기판을 로드록 내의 개구를 통해 로드록 내의 상부 지지 구조체에 전달하는 단계를 포함하는, 로드록을 사용하기 위한 방법에 관한 것이다. 상기 개구는 폐쇄되고 상기 로드록은 소정 압력 수준까지 진공화된다(evacuate). 상기 미처리 기판은 상기 로드록의 외부에 있는 챔버로 이송된다. 처리된 기판은 상기 로드록의 외부에 있는 챔버(예컨대, 프로세싱 시스템에서 이송챔버 또는 다른 챔버)로부터 상기 로드록 내의 하부 지지 구조체로 전달된다. 처리된 기판은 상기 하부 지지 구조체로부터 상기 로드록 내의 냉각판으로 전달되고, 상기 처리된 기판은 냉각된다.
다른 실시예는, 미처리 기판을 로드록 내의 제1 개구를 통해 로드록 내의 상부 지지 구조체로 이송하는 단계를 포함하는, 기판을 프로세싱하기 위한 방법에 관한 것이다. 상기 개구는 폐쇄되고 상기 로드록은 진공화된다. 상기 미처리 기판은 상기 로드록 내의 제2 개구를 통해 로드록의 외부에 있는 챔버로 전달된다. 처리된 기판은 상기 로드록의 외부에 있는 챔버로부터 상기 로드록 내의 제2 개구를 통해 상기 하부 지지 구조체로 전달된다. 상기 제2 지지 구조체는 하강하여 상기 처리된 기판을 상기 로드록 내의 냉각판에 전달한다.
또 다른 실시예는, 제1 로봇을 사용하여 하나의 미처리 기판을 로드록의 외측에 배치된 미처리 기판 공급부로부터 상기 로드록 내측의 제1 로드록 지지 구조체로 전달하는 단계를 포함하는, 기판을 프로세싱하기 위한 방법에 관한 것이다. 제2 로봇을 사용하여 상기 미처리 기판을 상기 제1 로드록 지지 구조체로부터 이송챔버로 이송한다. 상기 미처리 기판은 상기 이송챔버로부터 하나 이상의 프로세싱 챔버로 이송되고 처리되어 처리된 기판이 된다. 처리된 기판은 상기 하나 이상의 프로세싱 챔버로부터 상기 이송챔버로 이송된다. 제2 로봇을 사용하여 상기 처리된 기판을 상기 이송챔버로부터 제2 로드록 지지 구조체로 이송한다. 상기 제2 로드록 지지 구조체는 상기 제1 로드록 지지 구조체 아래에 배치될 수 있다. 처리된 기판은 제2 로드록 지지 구조체로부터 로드록 내의 냉각판으로 이송되어 냉각된다. 제1 로봇을 사용하여 처리된 기판을 로드록으로부터 제거한다.
또 다른 실시예는, 미처리 단일 기판을 로드록 내의 상부 지지 구조체로 이송하고 상기 로드록을 진공화시키는 단계를 포함하는, 기판을 프로세싱하기 위한 다른 방법에 관한 것이다. 미처리 단일 기판은 로드록으로부터 이송챔버로 이송된다. 처리된 단일 기판은 이송챔버로부터 상기 로드록 내의 하부 지지 구조체로 전달된다. 처리된 단일 기판은 상기 하부 지지 구조체로부터 상기 로드록 내의 냉각판으로 전달된다. 상기 로드록은 통기되고(vented) 상기 처리된 단일 기판은 로드록과 이송챔버 외부의 위치로 전달된다. 다른 미처리 단일 기판이 로드록으로 전달된다.
본 발명의 실시예들은 첨부도면을 참조하여 설명된다.
특정의 바람직한 실시예들은 로드록 시스템 및 이의 운용 방법에 관한 것이다. 이들 로드록 시스템은 대형의 클러스터 형태의 프로세싱 시스템의 일부로서 사용될 수 있다. 도 1에 도시된 바와 같이, 일 실시예는 중앙의 기판 취급 모듈 또는 이송챔버(10), 다수의 주연 프로세스 챔버(20), 시스템 내로 기판을 삽입하고 시스템으로부터 기판을 제거하기 위한 하나 이상의 로드록 기구(30)를 갖는 클러스터 시스템을 포함한다. 중앙의 이송챔버(10)는 다양한 챔버들 사이에서 기판을 유지하고 전달하기 위한 로봇(40)을 포함한다. "기판"이란 용어는, 유리, 반도체, 세라믹, 금속, 합성물 및 이들의 조합을 포함하는 다양한 재료로 제작된 기판을 포함하며, 이에 한정되지는 않는다.
로드록(30)의 바람직한 실시예가 도 2의 단면도로 도시되어 있다. 로드록(30)은 미처리 기판을 지지하기 위한 상부 슬롯(51)과 처리된 기판을 지지하기 위한 하부 슬롯(53)을 갖는 이중 기판 카세트(50)를 포함한다. 상부 슬롯(51)은 바람직하게는 카세트(50)의 상부판(54)과 중간판(56) 사이에 배치된다. 하부 슬롯(53)은 바람직하게는 카세트(50)의 하부판(76) 위에 중간판(56)과 냉각판(52) 사이에 형성된다. 판들(54, 56, 57)은 조립되어 카세트(50)를 형성한다. 냉각판(52)의 거의 모든 부분들이 카세트(50) 내에 위치한다. 그러나, 카세트(50)에 연결되지 않는 것이 바람직하다. 대신에, 냉각판(52)의 플랜지부(100, 102)는 카세트(50)를 둘러싸는 프레임 부재(64)에 부착된다. 이 구조체 덕택에, 카세트는 샤프트(128)를 통해 엘리베이터(58)[도 3 참조]와 결합할 수 있어, 카세트(50)를 냉각판(52)과 무관하게 이동시킬 수 있다. 냉각판(52)과 무관하게 카세트(50)를 이동시킬 수 있으므로, 카세트의 이동에 따라 하부 슬롯(53) 내의 지지체(78, 80) 상의 기판은 냉각판(52) 상으로 하강되거나 냉각판으로부터 떨어져 상승될 수 있다.
특정의 바람직한 실시예에서, 냉각판(52) 상의 기판은 (상부에 기판을 갖는) 냉각판과 중간판(56)을 서로 근접하도록 위치시킴으로써 냉각될 수 있다. 냉각판(52)과 중간판(56) 사이에 기판을 샌드위치시킴으로써, 기판은 효과적으로 냉각될 수 있다. 하기에 좀더 상세히 설명되는 바와 같이, 중간판(56) 및 냉각판(52) 모두는 수냉될 수도 있고, 고방사율을 갖는 표면적을 가질 수 있다.
로드록(30)의 개량된 면모들은 도 3 내지 도 5에 도시되어 있다. 카세트(50)는 작업동안 카세트 내부를 관찰하기 위한 개구(62)를 포함한다. 도 4는 도3의 로드록(30)을 도시하고 있는데, 로드록은 카세트(50)를 둘러싸는 로드록 본체부또는 프레임 부재(64)를 포함한다. 윈도우(66; window)는 개구(62)를 통해 카세트의 내부를 관찰하기 위해 제공되고, 도어(68)는, 기판을 삽입하고 제거하기 위해 로드록의 내부로 접근하기 위해 제공된다. 엘리베이터(58)는 카세트(50) 아래에 제공되어 냉각판(52)과 프레임 부재(64)에 대해 상대적으로 카세트(50)를 이동시키는데 사용된다. 도 2에 도시된 바와 같이, 엘리베이터(58)는, 하나 이상의 커넥터(130) 및 플레이트(132)와 같은 연결부를 통해 카세트(50)의 바닥에 부착된 샤프트(128)를 포함한다. 커넥터(130)는 카세트(50)가 오정렬되면 수평이 될 수 있도록 조정가능하게 구성되어 있다. 대안으로, 샤프트(128)는 카세트(50)에 직접 연 결될 수도 있다.
도 5는 로드록 챔버의 영역을 정의하는 상부 압력용기부 또는 상부 커버(70)와 하부 압력용기부 또는 바닥 커버(72)를 더 포함하여 도 3 및 도 4의 로드록(30)을 도시한다. 상부 커버(70) 및 바닥 커버(72)는 적합한 진공 또는 다른 소정의 압력 조건을 유지할 수 있고 기판 가열동안 접하게 되는 높은 온도를 견딜 수 있는 데 적합한 구조체일 수 있다. 또한, 로드록(30)은 로드록(30)을 지지하고 이동시키기 위한 휠 프레임 구조체(74)를 포함할 수 있다.
도 6a는 카세트(50), 냉각판(52) 및 프레임 부재(64)로부터의 구성부품들을 포함하는 로드록(30) 내의 특정 구성부품의 분해도면을 도시하고 있다. 도 6b는 프레임 부재(64) 내에서 조립된 카세트(50)를 예시한다. 프레임 부재(64)는 서로 대향하는 개구들(96, 98)을 포함하고, 이들을 통해 기판은 로드록으로 삽입되고 로드록으로부터 제거된다. 개구(96)는 로드록의 대기를 접한 부분에 있고 개구(98)는 로드록의 이송챔버 측에 있다.
바람직하게는, 카세트(50)의 하부판(76)은 기판(82)을 지지하기 위한 지지체들(78, 80)을 포함하는 지지 구조체를 갖는다. (하부판(76) 위에 배치된) 냉각판(52)은 개구들(84, 86)을 포함하고 이들을 통해 지지체(78, 80)는 하부 슬롯(53) 내의 기판(82)을 지지하도록 연장될 수 있다. 바람직하게는, 중간판(56)은 상부 슬롯(51) 내의 기판을 지지하기 위한 지지체들(88, 90)을 포함하는 지지 구조체를 갖는다. 중간판(56) [및 기판(92)] 위에는 상부판(54) 및 가열장치(94)가 놓인다. 가열장치(94)는, 예를 들어, 저항요소 또는 가열램프를 포함할 수 있다. 다른 실 시예들에서는 상부판(54) 및/또는 가열장치(94)가 없을 수 있다.
도 6a에 도시된 바와 같이, 가열장치(94)는 상부판(54) 내의 홈에 꼭 맞아서 카세트(50) 내에서 이동하고 상부 지지 구조체에 근접하여 위치할 수 있다. 대안으로, 가열장치(94)는, 도 2에 도시된 바와 같이, 상부판(54) 위 또는 로드록 내의 다른 위치에 위치할 수 있다. 가열장치의 바람직한 용례 중 하나는 기판을 다른 챔버로 이송하기 전에 미처리 기판을 예열하는 것이다. 기판을 예열하기 때문에, 그렇지 않았으면 미처리 기판을 가열하기 위하여 가열 챔버로서 사용되었을 시스템 내의 하나 이상의 프로세싱 챔버의 위치를 자유롭게 활용할 수 있다. 로드록에서 기판을 예열함으로써, 가열챔버는 생략될 수 있다. 실시예는 프로세싱 작업의 종류에 따라 소정 온도로, 예를 들어, 100℃ 내지 500℃ 범위 또는 그 이상의 온도로 기판을 가열한다. 필요하다면, 어닐링 또는 애싱(ashing)과 같은 다른 형태의 가열 작업에 적합하게 로드록을 사용하는 것이 가능하다. 소정 형태의 고온 프로세싱 또는 다른 프로세싱 단계들 사이에서 기판을 가열하는 프로세싱에서는, 개별적인 가열챔버가 필요할 수 있다.
도 7a-f는 하나의 가능한 프로세싱 실시예 동안 사용되는 로드록의 여러 구성부품을 개략적으로 도시하고 있다. 도시의 편의를 위해, 소정 구성부품의 사이즈 및 형상은 앞의 도면들로부터 변경되었다. 도시된 구성부품들은 하부판(76), 냉각판(52), 및 중간판(56)을 포함한다. 하부 지지체들(78, 80)은 하부판에 결합되고 상부 지지체들(88, 90)은 중간판(56)에 결합된다. 하부판(76) 및 중간판(56)은 서로 결합되어 점선으로 표시된 바와 같이 카세트(50)를 형성한다. 하부 지지 체들(78, 80)은 냉각판(52) 내의 구멍들을 통해 연장한다. 대기측의 로봇(atmospheric robot; 도 7a-f에는 도시되지 않음)은 대기측의 개구(96)와 도어(68)를 통해 로드록으로 기판을 전달하고 로드록으로부터 기판을 제거하며, 이송챔버측의 로봇(도 7a-f에는 도시되지 않음)은 진공측의 개구(98)와 도어(99)를 통해 기판을 로드록으로부터 제거하고 기판을 로드록으로 전달한다. 도 7a-f에 도시된 바와 같이, 냉각판(52)은 프레임(64)에 결합되고 개구(96, 98)에 대해 이동하지 않는다. [하부판(76), 하부 지지체들(78, 80), 중간판(56), 상부 지지체들(88, 90)을 포함하는] 카세트(50)는 개구(96, 98)에 대해 이동가능하다.
로드록 내에 기판이 없는 상황은 도 7a에 도시되어 있다. 이것은 프로세싱 싸이클의 초기 상황이다. 일 실시예에서, 프로세싱 방법은 로드록으로 미처리 기판(92)을 공급하는 단계를 포함한다. 도 7b에 도시된 바와 같이, 상부 지지체들(88, 90)은 개구(96)와 정렬되고, 미처리 기판(92)은 화살표로 표시된 방향으로부터 대기측의 개구를 통해 로드록으로 삽입된다. 다음, 대기측 개구 도어(68)는 폐쇄되고, 로드록은 진공화되고, 도 7c에 도시된 바와 같이, 카세트(50)는 상승하여 하부 지지체(78, 80)들이 냉각판(52) 내의 구멍들(59)을 통과하여 진공측의 개구(98)와 정렬되게 한다. 진공측의 개구 도어(99)는 개방되어 처리된 기판(82)이 화살표에 의해 표시된 방향으로부터 이송챔버(또는 다른 프로세싱 챔버)로부터 로드록으로 이송되어 지지체(78, 80) 상에 배치될 수 있도록 한다.
이 후에, 도 7d에 도시된 바와 같이, 카세트(50)는 하강하여 처리된 기판(82)을 냉각을 위해 냉각판(52)에 위치시킨다. 바람직한 실시예는 냉각판(52)으 로부터 중간판(56)의 바닥 내의 구멍들(61)로 연장하는 핀들(57)과 같은 구조체를 포함한다. 핀들(57)은 냉각판(52)과 중간판(56)의 적절한 정렬을 보장할 뿐만 아니라 냉각과정 동안 챔버로 도입되는 가스의 압력에 의해 발생할 수 있는, 측방향으로 기판이 냉각판 상에서 미끄러지는 것을 방지하는 차단판 역할을 할 수 있다. 도 7d에 도시된 바와 같이, 처리된 기판(82)이 실질적으로 판들 사이에 샌드위치되도록 냉각판(52)과 중간판(56)을 배치한다. 이것은 처리된 기판(82)의 효과적인 냉각을 진전시킨다. 일반적으로, 중간판(56)이 처리된 기판(82)에 더 밀접하게 위치할수록, 처리된 기판(82)의 냉각속도는 더 빨라진다. 일 실시예에서, 중간판(56)과 처리된 기판(82) 사이의 5mm 갭은 1인치(약 25mm) 갭보다 냉각속도가 약 5배 빠르다.
도 7d는 미처리 기판(92)이 화살표에 의해 지시된 방향으로 진공측의 개구(98)를 통해 전달되기 위해 정렬하여 배치된 것을 보여준다. 미처리 기판은 진공측의 개구를 통해 전달되고, 이 후에 진공측의 도어(99)는 폐쇄되고 챔버는 통기되어, 다른 미처리 기판(92')이, 도 7e에 도시된 바와 같이, 화살표에 의해 지시된 방향으로부터 대기측의 개구를 통해 상부 지지체들(88, 90) 상에 배치될 수 있게 한다. 통기(venting)는 처리된 기판(82)의 균일한 냉각을 촉진시키도록 제어된다. 카세트(50)는 이 후에 상승하여 처리된 기판을 냉각판(52)으로부터 들어올려서, 도 7f에 도시된 바와 같이, 화살표에 의해 지시된 방향으로 대기측의 개구(96)를 통해 로드록으로부터 제거될 수 있는 위치로 이동시킨다.
상술된 단계들은 원하는 바에 따라 변경될 수 있고, 본 발명의 실시예들에 따라 수행가능한 다수의 상이한 프로세싱 방법들이 있다는 점을 인식하여야 한다. 예를 들어, 다른 프로세싱 실시예는 이송챔버로 이송되기 전에 로드록에서 미처리 기판(92)을 가열하는 것을 포함한다. 이러한 실시예에서, 가열단계가 수행되고, 가열된 미처리 기판(92)은, 처리된 기판(82)을 이송챔버로부터 로드록으로 전송하기 전에, 진공측의 개구(98)를 통해 이송챔버로 전달되는 것이 바람직하다.
카세트(50) 및 냉각판(52)의 더욱 상세한 도면은 도 8 및 도 9이다. 상부판(54), 중간판(56) 및 하부판(76)은 측부들(77, 79)을 통해 서로 결합된다. 측부들(77, 79)은 핀들(89)을 사용하여 서로 결합된 별개의 단편들이다. 대안으로, 측부들(77, 79)은 단일 유닛일 수 있고, 하나 이상의 판들(54, 56, 76)로 일체화될 수도 있다.
카세트(50) 및 냉각판(52)의 더욱 상세한 도면은 도 8 및 도 9이다. 상부판(54), 중간판(56) 및 하부판(76)은 측부들(77, 79)을 통해 서로 결합된다. 측부들(77, 79)은 핀들(89)을 사용하여 서로 결합된 별개의 단편들이다. 대안으로, 측부들(77, 79)은 단일 유닛일 수 있고, 하나 이상의 판들(54, 56, 76)로 일체화될 수도 있다.
도 8에 도시된 바와 같이, 하부 지지체들(78, 80)은 냉각판(52)의 표면보다 높은 곳에서 처리된 기판(82)을 지지한다. 이 모습은 처리된 기판(82)이 로드록으로 로드되는 중이거나 이로부터 제거되고 있는 중의 로드/언로드 상황에 해당한다. 본 실시예에서, 처리된 기판(82)은 투명하다. 지지체들(78, 80)은 핀, 볼트, 스크류 또는 페그형(peg-like) 형태를 포함하여 기판을 지지할 수 있는 다양한 구조를 가질 수 있는데, 이에 한정되는 것은 아니다. 지지체의 팁 또한 다양한 구조를 가질 수 있다. 예를 들어, 도 7a에 도시된 바와 같이, 지지체들(78, 80, 88, 90)의 팁들은 둥근데 반해, 도 9에서는, 지지체들(78, 80)의 팁들은 편평하고 구멍들(81)을 갖는다. 도 8 및 도 9에 도시된 바와 같이, 일 실시예에서는 4개의 외부 핀들(78)과 2개의 중앙 핀들(80)을 포함하는 것이 바람직하다.
도 9는 지지체가 냉각판(52)의 표면 상에 처리된 기판(82)을 배치시키도록 하강된 후의 하부 지지체(78, 80)의 위치를 도시한다. 냉각판(52)은 기판의 온도를 차등적으로 제어하기 위한 하나 이상의 구역을 갖도록 설계될 수 있다. 이것은 상부면 상에 하나 이상의 채널 또는 홈(104; groove)의 형상을 제공함으로써 달성될 수 있다. 홈(104)의 위치 및 수는 냉각하는 동안 더 양호한 온도제어가 가능하도록 기판과 냉각판(52) 표면 사이의 접촉면적을 조정할 수 있도록 고안된다. 예를 들어, 단위 면적당 더 많은 홈들(104)이 중심 근처보다 냉각판(52)의 주연 근처에 배치되면, 기판의 더 넓은 표면적이 중심 근처에서 냉각판(52)에 접촉할 것이다. 중심이 예를 들어 금속과 같은 열전도 재료이면, 많은 열이 기판의 중심으로부터 전도될 것이다. 홈들(104)은 열손실을 방지하기 위해 고안된 것인데, 열손실은 보통 기판의 주연 근처에서 보다 신속하게 발생한다. 이에 따라 냉각하는 동안 기판 전체에 있어서 보다 균일한 온도분포가 이루어진다. 일 실시예에서, 홈들(104)은 약 6mm의 폭과 약 1mm의 깊이를 갖는다. 다른 치수는 특정 응용에 적합할 수 있다.
실시예들은 판으로부터 열을 신속하게 제거하기 위하여 냉각판 및 중간판의 일부에 합체되거나 또는 부착된 하나 이상의 냉매 이송채널을 포함한다. 기판 전체에 더욱 균일한 온도분포를 제공하기 위하여 냉각판의 서로 다른 부분들에 대해 상이한 냉각특성들을 만들어내기 위해 요구되는 바대로, 냉매 이송채널을 냉각판을 따라 분포시킬 수 있다. 도 10은 다수의 홈들(104)과 그 안에 형성된 냉매 이송채널(108)을 포함하는 냉각판(106)의 일 실시예의 단면도를 보여준다. 도 11은 냉각판(110)의 바닥에 (영구적으로 또는 분리가능하게) 연결된 냉매 이송채널인 파이프 또는 튜브(112), 그리고 홈들(104)을 포함하는 냉각판(110)의 실시예를 도시한다. 특정 실시예들에서는, 중간판은 처리된 기판을 냉각시키는 것을 보조하기 위한 제2 냉각판으로 기능한다. 도 12는 냉매 이송채널(118)을 그 내부에 포함하는 중간판(116)의 일 실시예를 도시한다. 도 13은 중간판(120)의 상부에 (영구적으로 또는 분리가능하게) 연결된 냉매 이송채널인 파이프 또는 튜브(122)를 갖는 중간판(120)의 일 실시예를 도시한다.
실시예들은 상이한 위치에서 상이한 표면 마무리(finish)와 같이 다중 특성들을 갖는 표면을 각각 포함하는 냉각판 및 중간판을 또한 포함할 수 있다. 예를 들어, 무딘(dull) 및/또는 블랙(black) 마무리 (또는 다른 어두운 색 마무리)는 보다 많은 열을 기판으로 다시 반사시키는 반사성 및/또는 매끄러운 마무리보다 더 많은 열을 흡수할 수 있어서 냉각을 가속시킬 수 있다. 냉각판의 전체 또는 일부를 애노다이징(anodizing) 또는 비드 브라스팅(bead blasting)함에 따라, 냉각을 가속화시키는 바람직한 고방사율 마무리를 형성할 수 있다. 도 14에 도시된 바와 같이, 예를 들어, (냉각판 및/또는 중간판과 같은) 판(130)의 표면은 고방사율 중 심영역(131)을 포함할 수 있다. 도 14에 도시된 바와 같이, 판(130)의 고방사율 중심영역(131)은 투명기판(132)을 통해 관찰된다. 기판(132)은 바람직하게 고방사율 영역(131)보다 더 큰 사이즈를 갖는다(그러나, 판(130)보다는 더 작은 사이즈임). 특정 실시예들에서는, 기판을 보다 균일하게 냉각시키기 위하여, 기판(132)의 에지 근처에 고방사율 구역(130)을 제공하지 않는 것이 바람직하다. 이것은 기판(132)의 에지가 그 중심영역보다 더욱 신속하게 냉각하는 편이어서, 전체 표면을 고방사율 구역(130)으로 할 경우 기판(132)의 에지가 중심 영역보다 더 빠르게 냉각하기 때문이다. 이러한 비균일한 냉각은 기판(132)에 불필요한 응력 및/또는 휘어짐(warping)을 야기할 수 있다.
로드록(30)의 상부 및 하부 커버들(70, 72; 도 2)은 로드록 프레임 부재(64)에 상부 커버(70) 및 하부 커버(72)를 장착하는데 사용되는 플랜지(116) 및 오링(118)을 포함할 수 있다. 상부 커버(70)는 로드록의 내부로 가스가 전송되는 가스 전송 파이프 또는 튜브를 포함하는 유입/배출 통기구(inlet/outlet vent; 120)를 또한 포함한다. 수행되려는 프로세싱 작업(냉각, 어닐링, 예열, 애싱 등)에 따라 다양한 가스가 로드록에 전송될 수 있다. 특정 실시예들에서, 냉각판(52) 상의 처리된 기판을 냉각시키는 것을 보조하기 위해 통기할 때 냉각가스가 챔버로 전송되는 것이 바람직하다. 챔버에 사용하기에 바람직한 냉각가스는 질소 및/또는 헬륨을 포함한다. 여기에 한정되는 것은 아니지만, 아르곤 및 네온을 포함하는 다른 불활성 가스도 사용될 수 있다. 특정 실시예들은 약 754 ~ 759 Torr 질소와 약 1 ~ 6 Torr 헬륨의 압력인 헬륨과 질소의 혼합가스를 사용한다. 바람직한 일 실시 예에서, 챔버에 3 Torr 헬륨 및 757 Torr 질소를 통기함으로써, 냉각 가스가 챔버에 공급된다. 이러한 냉각 방식은 균일한 급속 냉각을 제공하는 것으로 관찰되어 왔다. 바람직한 실시예들은 헬륨의 불활성 성질과 열전도성에 근거하여 냉각가스로서 헬륨만을 포함할 수도 있다. 기판을 따라 약 100℃ 미만, 심지어 약 50℃ 미만의 균일성을 갖고 기판이 냉각되는 것이 바람직하다. 요구된다면, 불필요한 불순물을 여과시키고 로드록 챔버 전체에서 가스의 균일한 분포를 향상시키기 위하여 필터(122; 도 2)는 로드록의 상부 근처에 배치될 수 있다. 필터(122)는 홀더(124)에 의해 제위치에 유지되고 스크류(126)를 사용하여 조정될 수 있다.
특정의 바람직한 실시예들에서, 하부 지지체(78, 80)는 냉각판(52) 내의 구멍들을 통해 연장한다. 다른 실시예에서는 냉각판 내의 구멍들을 관통하는 대신에 냉각판에 인접하여 연장하는 하부 지지체를 이용한다. 도 15에 도시된 바와 같이, 이러한 실시예는 냉각판(138)의 상부면 상에 처리된 기판(82)을 안착시키기 위하여 냉각판(138) 내에 하나 이상의 홈(142)으로 하강될 수 있는 하나 이상의 가동 아암(140)을 포함하는, 냉각판(138)에 인접한 지지체(136)를 포함한다.
다른 실시예들은 도 6a에 도시된 것들과 몇가지 방식에서 유사한 구성부품을 갖지만 로드록 내외에서, 그리고 로드록과 이송챔버 사이에서 기판을 이송하기 위한 단일 개구를 포함하는 로드록을 포함한다.
본 발명의 실시예에 따른 클러스터 프로세싱 시스템의 여러 실시예는 도 16 및 도 17에 도시된다. 도 16은, 본 발명의 일 실시예에 따라, 미처리 기판 카세트(162)로부터의 미처리 기판들의 공급을 포함하는 시스템(158)을 도시하고 있는 데, 이 시스템은 로봇(166)을 사용하여 기판을 한번에 하나씩 기판 카세트 및 로봇 스테이션(164)으로부터 로드록(160)으로 공급할 수 있다. 로드록(160)은 도 2 내지 도 4에 도시된 로드록(30)과 유사한 구조를 가질 수 있다. 일단 미처리 기판이 로드록(160) 내부에 있으면, 로드록(160)은 진공화되고 미처리 기판은 그 내부에 제2 로봇(170)을 갖는 이송챔버(168)로 전달된다. 일단 이송챔버(168) 내에 있게 되면, 미처리 기판은 프로세싱용 챔버들 사이에서 전달된다. 일 실시예에서, 기판은 가열을 위해 챔버(172)로 먼저 이송되고, 이 후에 이송챔버(168)로 복귀된 후, 예를 들어 화학기상증착(CVD) 챔버, 물리기상증착(PVD) 챔버 또는 식각챔버와 같은 다른 형태의 프로세싱 챔버일 수 있는 다른 프로세싱 챔버(174)로 이송된다. 프로세싱 챔버(174)에서 처리된 후에, 기판은 이송챔버(168)로 전달되고 이 후에 다른 프로세싱 챔버(174)로 전달된다. 기판이 원하는 만큼 완전히 처리된 때, 최종 프로세싱 챔버로부터 이송챔버(168)로 전달되고 다시 로드록(160)으로 전달된다. 처리된 기판은 이 후에 로드록(160)에서 냉각된다. 냉각은 로드록(160)이 진공화되는 동안 이루어지고 또한 로드록(160)이 통기됨에 따라 이루어진다. 일단 통기가 완료되고 처리된 기판이 (예를 들어, 약 100℃까지) 충분히 냉각되면, 처리된 기판은 로봇(166)을 사용하여 로드록(160)으로부터 제거되고 스테이션(164)의 처리된 기판 카세트(176)로 전송된다.
도 16의 것과 몇가지 점에서 유사한 프로세싱 시스템(178)의 다른 실시예는 도 17에 도시된다. 그러나, 도 17의 실시예는 2개의 로드록(160) 및 5개의 프로세싱 챔버(174) 뿐만 아니라 하나의 가열챔버(172) 및 로봇(170)을 갖는 이송챔 버(168)를 포함한다. 본 실시예는 프로세싱이 신속하게 수행될 수 있고 시스템으로 많은 기판을 공급함으로써 처리량이 증가될 수 있는 경우에 특히 유용하다. 도시된 바와 같이, 시스템(178)은 로봇(167)을 사용하여 로드록(167)으로 미처리 기판들을 공급하고 로드록(160)으로부터 처리된 기판들을 수용하기 위해 더 많은 카세트들(162, 176)을 갖는 대형 스테이션(165)을 포함한다. 본 발명의 특정 실시예들은 로드록 내에 히터를 포함할 수 있다. 이러한 실시예들을 실시하는 경우, 도 16 및 도 17의 가열 챔버(172)와 같은 가열 챔버를 제거하는 것이 가능하다. 이러한 경우에, 추가의 프로세싱 챔버(174)가 바람직하다면 사용될 수 있고, 단지 하나의 로드록(160)이 사용된다면 시스템은 7개의 프로세싱 챔버를 갖는다. 바람직한 공정 단계들과 사용된 플랫포옴에 따라, 사용되는 프로세싱 챔버, 로드록 및 가열 챔버의 갯수가 달라질 수 있다. 특정 플랫포옴은 또한 하나 이상의 이송챔버를 사용할 수 있다.
본 발명의 선택된 실시예들은 하나 이상의 장점을 제공할 수 있다. 예를 들어, 특정 실시예에서, 단일 로드록 챔버가 처리된 기판을 냉각시키고 미처리 기판을 가열시키기 위해 사용될 수 있다. 또한, 다양한 특징들로 인해 대형 유리 기판을 신속하게 냉각시키거나 가열시킬 수 있으므로, 시스템의 생산량을 증가시킨다. 로드록 설계의 다양한 특징은 냉각판 상의 기판의 온도를 제어하는 것을 도와서 기판 전체에 걸쳐 좀더 균일한 온도를 제공하거나 또는 기판 전체에 특정 온도분포를 제공할 수 있다. 예를 들어, 특정 실시예들에서는 가열 장치(94)가 기판 전체의 온도분포를 제어하기 위하여 냉각작업 동안 사용된다. 중간판(56) 및/또는 로드록의 다른 부분의 절연특성을 제어함으로써, 기판의 온도를 제어하기 위하여 가열장치(94)로부터의 열은 기판의 일 부분으로 전송될 수 있다. 일 실시예에서, 기판의 외부 에지를 기판의 중간구역보다 높은 온도로 유지함으로써, 기판이 냉각됨에 따라 외부 에지가 압박 상태에 놓이게 되어, 에지 파손의 위험을 감소시킨다. 특정 실시예에서는, 기판의 내부보다 낮은 속도로 기판의 외부 에지를 냉각시키는 것을, 가열요소(92)로부터의 열을 중간판(56)의 에지 주변에 지향시켜, 냉각판(52) 상의 처리된 기판의 외부 에지 영역과 접촉하도록 함으로써 달성한다.
부가적으로, 처리된 기판을 냉각시키고 로드록으로부터 언로딩시키는 동안 기다리는데 소요되는 시간은 예를 들어 12개 기판을 보유하는 대형 카세트를 갖는 로도록을 사용하는 것과 비교할 때 본 발명의 실시예에 따른 이중 기판 카세트를 사용하는 경우 획기적으로 짧아질 수 있다. 로드록에 12개 기판을 보유하는 카세트를 갖는 시스템에서, 시스템을 통기시키는 데 대략 2분이 소요되고 각각의 기판을 언로드시키기 위해 통기된 후에 로봇 아암을 사용하여 로드록으로부터 기판을 언로드시키는 데 추가적으로 8분이 소요된다. (12개 기판을 갖는 로드록보다 상대적으로 더 작은 내부챔버 체적을 갖는) 본 발명의 특정 실시예는 최대 약 1분, 더 바람직하게는 약 30초의 시간 내에 통기와 처리된 기판의 제거를 모두 수행한다. 부가적으로, 로드록에 가열요소를 갖는 특정 실시예는 로드록 내의 상대적으로 작은 내부체적 때문에 그리고, 기판이 히터에 근접하여 위치될 수 있기 때문에 기판을 신속하게 가열할 수 있다. 바람직한 실시예는 1분 미만, 더 바람직하게는 약 30초의 시간 내에 기판을 가열할 수 있다.
본 발명의 특정 실시예에 의해 제공된 더 빠른 통기, 기판 제거 및/또는 가열시간은 여러 장점을 제공한다. 먼저, 특정 형태의 프로세싱의 경우, 시스템의 생산량은 높아질 수 있다. 특정 실시예는 하나의 기판이 시스템으로 도입되고, 처리되고 이 후에 시스템으로부터 배출되는 데 걸리는 시간인 택트 시간(tact time)을 빠르게 한다. 둘째, (기판을 언로딩시키기 위해) 가능한 가장 빠른 속도를 갖는 로봇을 사용해야 할 필요성은 시스템이 휴지 시간(down time)을 축소할 수 있기 때문에 감소된다. 느린 속도의 로봇을 사용하는 것은 프로세싱 시스템의 신뢰성을 개선시킨다.
수행되려는 공정 단계들에 따라서, 특정 실시예에서는 일시에 로드록에 0 또는 1개의 기판이 있는 것이 바람직하다. 다른 실시예에서는, 일시에 로드록에 2개이하의 기판이 있는 것이 바람직하다. 다른 실시예에서는 일시에 로드록에 2개 이상의 기판이 있는 것이 허용된다. 본 발명의 선택된 실시예는 특정의 다른 실시예보다 시스템에 일시에 더 적은 기판을 있는데도 불구하고 높은 생산성을 달성한다. 예를 들어, 로드록에 기판 카세트를 갖는 하나의 배치(batch) 프로세싱 시스템은 소정의 시간에 로드록에 12개의 기판, 가열 챔버에 12개의 기판 및 다른 시스템 챔버에서 처리되는 16개의 기판이 있어서, 시스템 내에 거의 40개의 기판을 갖는다. 로드록과 가열 챔버에서의 기판의 수를 일치시키면, 시스템의 대칭적인 레이아웃(layout) 때문에 원활한 기판 이송이 가능해진다. 본 발명의 특정의 바람직한 실시예에 따른 시스템은 소정의 시간에 로드록에 1개, 가열챔버에 8개 그리고 다른 시스템 챔버에 6개가 있어서, 시스템 내에 약 15개의 기판을 갖는다. 이들 숫자는 시스템의 다양한 챔버들의 구성에 따라 상당히 변할 수 있다. 정확한 공정 단계들과 그들의 소요 시간에 따라, 더 빠른 기판의 삽입 및 제거 때문에, 본 발명의 특정 실시예는 로드록에 12개 기판 카세트를 갖는 시스템보다 단위 시간당 더 높은 전체 생산량을 갖는다.
몇몇 다른 시스템에서 사용되는 로드록 카세트에 비하여 본 발명의 특정 실시예의 로드록 이중 기판 카세트의 보다 작은 사이즈는 로드록을 더 적은 양의 재료로 제작하고 더 작은 진공, 엘리베이터, 동력 부품 등을 사용하는 것이 가능하게 한다. 이들 더 작은 구성부품은 로드록 내에 다중 기판 카세트를 포함하는 대형 시스템보다 시스템을 상당히 저렴하게 한다.
상술된 다양한 챔버에 사용된 전형적인 프로세싱 압력은 약 10-8 Torr 내지 수 Torr의 범위에 있을 수 있고, 챔버와 수행되는 공정 단계(PVD, CVD, 에칭, 어닐링 등)에 따라 변한다. 오염을 최소화시키기 위하여, 인접한 챔버들이 서로 접촉하는 경우, 인접한 챔버들 사이의 압력차를 최소로 유지하거나 또는 조절하는 것이 요구된다.
본 발명의 실시예는 시스템의 레이아웃에 따라 기판이 로드록으로부터 하나 이상의 프로세싱 챔버에 연속적으로 이송되고, 다시 동일 또는 다른 로드록으로 이송되는 선형 시스템(linear system)과 같은 다른 형태의 프로세싱 시스템을 포함한다.
물론, 다양한 측면에서 본 발명의 수정은 기술분야의 숙련자들에게 명백하다 는 점을 유의하여야 한다. 다양한 다른 실시예는 특정 응용에 따른 그들의 특정 설계를 가능하게 한다. 또한, 본 발명의 요지는 상술된 특정 실시예에 의해 한정되지 않고 청구범위에 의해 한정된다. 물론, 본 발명의 수정은, 다양한 특징 내에서, 기술분야의 숙련자들에게 명백하다는 것을 인식하여야 한다. 다양한 다른 실시예는 특정 응용에 따른 그들의 특정 설계를 가능하게 한다. 또한, 본 발명의 요지는 상술된 특정 실시예에 의해 한정되지 않고 청구범위에 의해 한정된다.

Claims (35)

  1. 챔버 본체;
    상기 챔버 본체의 내부 공간에 대한 엑세스를 제공하는 도어들;
    상기 내부 공간 내에 배치된 제1 판;
    상기 제1 판의 제1 면(面) 상의 상기 내부 공간 내에 정의된 제1 기판 수용 슬롯;
    상기 내부 공간 내에 배치된 제2 판; 및
    상기 내부 공간 내에 정의되고, 상기 제1 판의 제2 면과 상기 제2 판 사이의 상대적인 간격에 의해 정의되는 조절가능한 높이를 갖는 제2 기판 수용 슬롯을 포함하는 로드록 챔버.
  2. 제 1항에 있어서,
    상기 제1 판은 기판 온도 조절판인 로드록 챔버.
  3. 제 2항에 있어서,
    상기 기판 온도 조절판의 온도 분포는 상기 기판 온도 조절판의 상면 구역에 걸쳐 조절가능한 로드록 챔버.
  4. 제 2항에 있어서,
    상기 제2 판은 기판 냉각판인 로드록 챔버.
  5. 제 2항에 있어서,
    상기 기판 온도 조절판은 기판 냉각판인 로드록 챔버.
  6. 제 1항에 있어서,
    상기 내부 공간의 상부 영역에 배치된 가열기를 더 포함하는 로드록 챔버.
  7. 챔버 본체;
    상기 챔버 본체의 내부 공간에 대한 액세스를 제공하는 도어들;
    상기 내부 공간 내에 배치된 중간판;
    상기 내부 공간 내에 배치된 하부판;
    상기 내부 공간 내에 배치된 냉각판; 및
    상기 중간판 및 하부판 중 적어도 하나를 이동시키기 위한 구동기를 포함하고, 상기 중간판 및 냉각판 사이에는 조절가능한 높이의 기판 수용 슬롯이 정의되는 로드록 챔버.
  8. 제 7항에 있어서,
    상기 중간판과 상기 하부판은 상기 냉각판에 대해 이동하는 로드록 챔버.
  9. 삭제
  10. 제 7항에 있어서,
    상기 냉각판은 상기 냉각판 근처에 위치한 기판을 냉각하기 위한 냉매 이송 채널을 더 포함하는 로드록 챔버.
  11. 제 7항에 있어서,
    상기 냉각판의 온도 분포는 상기 냉각판의 상면 구역에 걸쳐 조절가능한 로드록 챔버.
  12. 제 7항에 있어서,
    상기 중간판은 제2 냉각판인 로드록 챔버.
  13. 삭제
  14. 제 7항에 있어서,
    상기 중간판은 제2 냉각판이고,
    상기 기판 수용 슬롯은, 상기 제2 냉각판이 상기 냉각판에 대해 제1 위치에 있을 때에는 제1 높이를 가지고, 상기 제2 냉각판이 상기 제1 위치보다 상기 냉각판에 더 가까운 제2 위치에 있을 때에는 제2 높이를 가지는, 로드록 챔버.
  15. 제 12항에 있어서,
    기판 가열기;
    상기 냉각판 근처에 정의된 제1 기판 수용 슬롯; 및
    상기 가열기 근처에 정의된 제2 기판 수용 슬롯을 더 포함하는 로드록 챔버.
  16. 삭제
  17. 제 12항에 있어서,
    상기 제2 냉각판은 상기 내부 공간 내에서 위치가 변할 수 있는 로드록 챔버.
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
KR1020070069588A 1999-12-15 2007-07-11 이중기판 로드록 프로세스 장비 KR100916026B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/464,362 US6949143B1 (en) 1999-12-15 1999-12-15 Dual substrate loadlock process equipment
US09/464,362 1999-12-15

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020060107074A Division KR100809139B1 (ko) 1999-12-15 2006-11-01 이중기판 로드록 프로세스 장비

Publications (2)

Publication Number Publication Date
KR20070078420A KR20070078420A (ko) 2007-07-31
KR100916026B1 true KR100916026B1 (ko) 2009-09-08

Family

ID=23843644

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020000076959A KR20010062475A (ko) 1999-12-15 2000-12-15 이중기판 로드록 프로세스 장비
KR1020060107074A KR100809139B1 (ko) 1999-12-15 2006-11-01 이중기판 로드록 프로세스 장비
KR1020070069588A KR100916026B1 (ko) 1999-12-15 2007-07-11 이중기판 로드록 프로세스 장비

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020000076959A KR20010062475A (ko) 1999-12-15 2000-12-15 이중기판 로드록 프로세스 장비
KR1020060107074A KR100809139B1 (ko) 1999-12-15 2006-11-01 이중기판 로드록 프로세스 장비

Country Status (6)

Country Link
US (4) US6949143B1 (ko)
EP (1) EP1109203A3 (ko)
JP (1) JP4409756B2 (ko)
KR (3) KR20010062475A (ko)
SG (1) SG90766A1 (ko)
TW (2) TW518640B (ko)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000195925A (ja) 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6672864B2 (en) 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
TWI342582B (en) * 2003-07-17 2011-05-21 Applied Materials Inc Method of surface texturizing
US7126087B2 (en) * 2003-08-07 2006-10-24 Canon Kabushiki Kaisha Method of effecting heating and cooling in reduced pressure atmosphere
JP4003882B2 (ja) * 2003-09-26 2007-11-07 シャープ株式会社 基板移載システム
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20050113964A1 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Sensor methods and systems for semiconductor handling
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20050176252A1 (en) * 2004-02-10 2005-08-11 Goodman Matthew G. Two-stage load for processing both sides of a wafer
TWI286529B (en) * 2004-05-06 2007-09-11 Hannstar Display Corp Method and structure for reception and delivery
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US8353986B2 (en) * 2005-03-31 2013-01-15 Tokyo Electron Limited Substrate processing apparatus
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US20080257260A9 (en) * 2005-09-30 2008-10-23 Applied Materials, Inc. Batch wafer handling system
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP5026715B2 (ja) * 2006-03-17 2012-09-19 株式会社アルバック 金属とSiO2の混合膜の成膜方法
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US20080025823A1 (en) * 2006-07-31 2008-01-31 Masahiko Harumoto Load lock device, and substrate processing apparatus and substrate processing system including the same
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
KR100744145B1 (ko) * 2006-08-07 2007-08-01 삼성전자주식회사 초임계 유체를 이용하는 웨이퍼 처리 장치 및 웨이퍼 처리방법
US7822324B2 (en) * 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
US9147588B2 (en) * 2007-03-09 2015-09-29 Tel Nexx, Inc. Substrate processing pallet with cooling
US9177843B2 (en) * 2007-06-06 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing contamination in integrated circuit manufacturing lines
US8905124B2 (en) * 2007-06-27 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Temperature controlled loadlock chamber
WO2009029901A1 (en) * 2007-08-31 2009-03-05 Applied Materials, Inc. Production line module for forming multiple sized photovoltaic devices
US20100047954A1 (en) * 2007-08-31 2010-02-25 Su Tzay-Fa Jeff Photovoltaic production line
JP5084420B2 (ja) * 2007-09-21 2012-11-28 東京エレクトロン株式会社 ロードロック装置および真空処理システム
US20100011785A1 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Tube diffuser for load lock chamber
US20110290185A1 (en) * 2008-12-12 2011-12-01 Shibaura Mechatronics Corporation Substrate cooling device and substrate treatment system
GB2469112A (en) 2009-04-03 2010-10-06 Mapper Lithography Ip Bv Wafer support using controlled capillary liquid layer to hold and release wafer
JP5670351B2 (ja) 2009-02-22 2015-02-18 マッパー・リソグラフィー・アイピー・ビー.ブイ. リソグラフィ機械装置のための準備ユニット
JP5037551B2 (ja) * 2009-03-24 2012-09-26 東京エレクトロン株式会社 基板交換機構及び基板交換方法
US10655219B1 (en) * 2009-04-14 2020-05-19 Goodrich Corporation Containment structure for creating composite structures
US20120171002A1 (en) * 2011-01-05 2012-07-05 Electro Scientific Industries, Inc Apparatus and method for transferring a substrate
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
JP5490741B2 (ja) * 2011-03-02 2014-05-14 東京エレクトロン株式会社 基板搬送装置の位置調整方法、及び基板処理装置
JP2011117085A (ja) * 2011-03-10 2011-06-16 Canon Anelva Corp ロードロック室及びそれを備えた薄膜形成装置
US9176397B2 (en) 2011-04-28 2015-11-03 Mapper Lithography Ip B.V. Apparatus for transferring a substrate in a lithography system
JP2013197401A (ja) * 2012-03-21 2013-09-30 Ulvac Japan Ltd ロードロックチャンバ
JP6082283B2 (ja) * 2012-05-30 2017-02-15 東京エレクトロン株式会社 筐体及びこれを含む基板処理装置
KR101375646B1 (ko) * 2012-06-18 2014-03-18 주식회사 씨엘디 가압 장치 및 방법
US20140061039A1 (en) * 2012-09-05 2014-03-06 Applied Materials, Inc. Target cooling for physical vapor deposition (pvd) processing systems
US9016998B2 (en) * 2013-03-14 2015-04-28 Varian Semiconductor Equipment Associates, Inc. High throughput, low volume clamshell load lock
JP5941016B2 (ja) * 2013-05-27 2016-06-29 株式会社神戸製鋼所 成膜装置およびそれを用いた成膜方法
CN104233191A (zh) * 2013-06-08 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 加热腔室及等离子体加工设备
US10796935B2 (en) 2017-03-17 2020-10-06 Applied Materials, Inc. Electronic device manufacturing systems, methods, and apparatus for heating substrates and reducing contamination in loadlocks
JP6863041B2 (ja) * 2017-04-21 2021-04-21 東京エレクトロン株式会社 基板加熱装置
CN108258467B (zh) * 2017-12-01 2020-08-28 番禺得意精密电子工业有限公司 电连接器
CN108193189A (zh) * 2017-12-27 2018-06-22 深圳市华星光电技术有限公司 一种真空溅射设备及其真空大气交换装置
US10607866B2 (en) * 2018-08-01 2020-03-31 Boston Process Technologies, Inc Hot wall flux free solder ball treatment arrangement
CN111968926A (zh) * 2019-05-20 2020-11-20 北京北方华创微电子装备有限公司 半导体设备以及半导体工艺处理方法
US20200395232A1 (en) * 2019-06-14 2020-12-17 Brooks Automation, Inc. Substrate process apparatus
CN113035752B (zh) * 2021-03-05 2022-11-11 上海广川科技有限公司 负载锁定装置及基片传片方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08339948A (ja) * 1995-06-09 1996-12-24 Tokyo Electron Ltd 真空処理装置

Family Cites Families (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US5187115A (en) 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US4178113A (en) 1977-12-05 1979-12-11 Macronetics, Inc. Buffer storage apparatus for semiconductor wafer processing
CH626214GA3 (ko) 1979-02-07 1981-11-13
US4680061A (en) 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4801241A (en) * 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US5224809A (en) * 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US4759681A (en) 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4966519A (en) 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US4687542A (en) 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS63291419A (ja) 1987-05-24 1988-11-29 Tatsumo Kk 加熱処理装置
US4846102A (en) 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPH0333058Y2 (ko) 1987-06-26 1991-07-12
JPS6411320A (en) 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4911103A (en) 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
US5001327A (en) 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
US5020475A (en) * 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
FR2621930B1 (fr) 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
US5202716A (en) 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
EP0343530B1 (de) 1988-05-24 2001-11-14 Unaxis Balzers Aktiengesellschaft Vakuumanlage
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5254170A (en) 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
DE69032945T2 (de) 1989-10-20 1999-09-16 Applied Materials Inc Robotereinrichtung
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
JP2644912B2 (ja) 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5261935A (en) * 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
JP2595132B2 (ja) 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5685684A (en) 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5131460A (en) 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
US5376212A (en) 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JP2748070B2 (ja) * 1992-05-20 1998-05-06 三菱電機株式会社 半導体装置およびその製造方法
JPH0616206A (ja) 1992-07-03 1994-01-25 Shinko Electric Co Ltd クリーンルーム内搬送システム
US5697749A (en) 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
EP0655970B1 (en) * 1992-08-17 2002-10-09 Weyerhaeuser Company Particle binding to fibers
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
EP0608620B1 (en) * 1993-01-28 1996-08-14 Applied Materials, Inc. Vacuum Processing apparatus having improved throughput
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
JP3258748B2 (ja) 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
KR100261532B1 (ko) 1993-03-14 2000-07-15 야마시타 히데나리 피처리체 반송장치를 가지는 멀티챔버 시스템
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
KR100221983B1 (ko) 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
JP3380988B2 (ja) * 1993-04-21 2003-02-24 東京エレクトロン株式会社 熱処理装置
KR100267617B1 (ko) 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5421889A (en) 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
TW276353B (ko) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
EP0634787B1 (en) 1993-07-15 1997-05-02 Applied Materials, Inc. Subsrate tray and ceramic blade for semiconductor processing apparatus
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5738767A (en) * 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
JP3846740B2 (ja) * 1994-02-25 2006-11-15 臼井国際産業株式会社 パイプの曲げ加工装置
US5431460A (en) * 1994-03-07 1995-07-11 Orscheln Co. Hood release latch mechanism including spring clutch means
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
TW309503B (ko) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5615988A (en) 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
JP3225337B2 (ja) * 1995-07-13 2001-11-05 株式会社新川 マガジン搬送装置
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5716207A (en) 1995-07-26 1998-02-10 Hitachi Techno Engineering Co., Ltd. Heating furnace
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
JPH09107036A (ja) * 1995-08-09 1997-04-22 Toshiba Corp 半導体装置
US5616964A (en) * 1995-09-19 1997-04-01 Deere & Company Lawn and garden tractor interlock circuit
US5588627A (en) * 1995-09-19 1996-12-31 Franklin Brass Manufacturing Company Paper roller
CH691376A5 (de) * 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
US6299404B1 (en) * 1995-10-27 2001-10-09 Brooks Automation Inc. Substrate transport apparatus with double substrate holders
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
JPH09284344A (ja) * 1996-04-11 1997-10-31 Fujitsu Ltd Atm/stm混在ネットワークシステム
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6224680B1 (en) * 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US5954472A (en) 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US5891251A (en) 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JP3202929B2 (ja) 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
US5997235A (en) 1996-09-20 1999-12-07 Brooks Automation, Inc. Swap out plate and assembly
US6048154A (en) 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5902088A (en) 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5909994A (en) 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5833426A (en) 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
KR100234539B1 (ko) * 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US5795355A (en) 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
KR100271758B1 (ko) 1997-06-25 2001-01-15 윤종용 반도체장치 제조설비 및 이의 구동방법
US6276072B1 (en) * 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JPH1154496A (ja) 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
JP2002504744A (ja) * 1997-11-28 2002-02-12 マットソン テクノロジイ インコーポレイテッド 真空処理を行う非加工物を、低汚染かつ高処理能力で取扱うためのシステムおよび方法
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3966594B2 (ja) * 1998-01-26 2007-08-29 東京エレクトロン株式会社 予備真空室およびそれを用いた真空処理装置
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6176668B1 (en) * 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6079693A (en) 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
US6431807B1 (en) * 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6375746B1 (en) * 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US6120229A (en) * 1999-02-01 2000-09-19 Brooks Automation Inc. Substrate carrier as batchloader
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6145673A (en) 1999-03-31 2000-11-14 Applied Materials, Inc. Wafer transfer cassette
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6318945B1 (en) * 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6568899B1 (en) * 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US6410455B1 (en) * 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
KR100960773B1 (ko) * 2000-09-15 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
US6609869B2 (en) * 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US20020137346A1 (en) * 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08339948A (ja) * 1995-06-09 1996-12-24 Tokyo Electron Ltd 真空処理装置

Also Published As

Publication number Publication date
US20100107672A1 (en) 2010-05-06
TW550653B (en) 2003-09-01
US20050016454A1 (en) 2005-01-27
SG90766A1 (en) 2002-08-20
US7976635B2 (en) 2011-07-12
US6949143B1 (en) 2005-09-27
US20070086881A1 (en) 2007-04-19
US7641434B2 (en) 2010-01-05
EP1109203A3 (en) 2005-08-03
KR100809139B1 (ko) 2008-02-29
TW518640B (en) 2003-01-21
EP1109203A2 (en) 2001-06-20
JP2001257250A (ja) 2001-09-21
KR20060125651A (ko) 2006-12-06
KR20010062475A (ko) 2001-07-07
KR20070078420A (ko) 2007-07-31
JP4409756B2 (ja) 2010-02-03

Similar Documents

Publication Publication Date Title
KR100916026B1 (ko) 이중기판 로드록 프로세스 장비
JP4860167B2 (ja) ロードロック装置,処理システム及び処理方法
US5674786A (en) Method of heating and cooling large area glass substrates
US7022948B2 (en) Chamber for uniform substrate heating
US6193507B1 (en) Multi-function chamber for a substrate processing system
KR100613171B1 (ko) 반도체 기판 냉각 방법 및 장치
KR100867839B1 (ko) 연속적인 증착 시스템
KR100614348B1 (ko) 기판 가열 및 냉각을 개선한 진공 프로세싱 장치
KR100773192B1 (ko) 기판을 처리하기 위한 방법 및 진공 장치
EP1303449B1 (en) Dual degas/cool loadlock cluster tool
US6382895B1 (en) Substrate processing apparatus
KR100768598B1 (ko) 기판을 가열 및 냉각하는 방법 및 장치
US20100040437A1 (en) Load lock apparatus, processing system and substrate processing method
KR100445392B1 (ko) 기판 지지 프레임
KR20100048967A (ko) 기판 처리 장치 및 기판 처리 방법
KR100270458B1 (ko) 스터퍼링후의 기판의 취급방법 및 스퍼터링 장치

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120727

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20130729

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140730

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190801

Year of fee payment: 11